TWI684225B - Self directed metrology and pattern classification - Google Patents

Self directed metrology and pattern classification Download PDF

Info

Publication number
TWI684225B
TWI684225B TW105127595A TW105127595A TWI684225B TW I684225 B TWI684225 B TW I684225B TW 105127595 A TW105127595 A TW 105127595A TW 105127595 A TW105127595 A TW 105127595A TW I684225 B TWI684225 B TW I684225B
Authority
TW
Taiwan
Prior art keywords
design
defect
sample
information
area
Prior art date
Application number
TW105127595A
Other languages
Chinese (zh)
Other versions
TW201727789A (en
Inventor
艾倫 派克
艾潔 古普傑
珍 勞勃
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/247,774 external-priority patent/US10483081B2/en
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201727789A publication Critical patent/TW201727789A/en
Application granted granted Critical
Publication of TWI684225B publication Critical patent/TWI684225B/en

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/24Classification techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0006Industrial image inspection using a design-rule based approach
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical, image processing or photographic arrangements associated with the tube
    • H01J37/222Image processing arrangements associated with the tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • Data Mining & Analysis (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Evolutionary Computation (AREA)
  • Evolutionary Biology (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Artificial Intelligence (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

Methods and systems for determining parameter(s) of a process to be performed on a specimen are provided. One system includes one or more computer subsystems configured for determining an area of a defect detected on a specimen. The computer subsystem(s) are also configured for correlating the area of the defect with information for a design for the specimen and determining a spatial relationship between the area of the defect and the information for the design based on results of the correlating. In addition, the computer subsystem(s) are configured for automatically generating a region of interest to be measured during a process performed for the specimen with a measurement subsystem based on the spatial relationship.

Description

自定向計量和圖樣分類Self-directed measurement and pattern classification

本發明大體上係關於用於準確特性化包含但不限於局部臨界尺寸(CD)改變、線或空間寬度改變及曲率之圖樣形態學之自動化圖樣計量位點放置及最佳化。某些實施例係關於用於判定將對一樣本執行之一計量程序之一或多個參數之方法及系統。The present invention generally relates to automated pattern measurement site placement and optimization for accurately characterizing pattern morphology including, but not limited to, local critical dimension (CD) changes, line or space width changes, and curvature. Certain embodiments relate to methods and systems for determining one or more parameters of a metrology procedure to be performed on a sample.

下列描述及實例不憑藉其包含於此章節中而被視為係先前技術。 在一半導體製造程序期間之各個步驟使用檢測程序以偵測晶圓上之缺陷以驅動製造程序中之更高良率及因此更高利潤。檢測始終係製造半導體裝置之一重要部分。然而,隨著半導體裝置之尺寸減小,檢測對於成功製造可接受半導體裝置變得更加重要,此係因為更小缺陷可導致裝置故障。 缺陷再檢測通常涉及再偵測藉由一檢測程序偵測之缺陷且使用一高放大率光學系統或一掃描式電子顯微鏡(SEM)以按一更高解析度產生關於缺陷之額外資訊。因此,在晶圓上之離散位置(其中已藉由檢測偵測缺陷)處執行缺陷再檢測。藉由缺陷再檢測產生之缺陷之更高解析度資料更適用於判定缺陷之屬性(諸如輪廓、粗糙度、更準確大小資訊等)。由於針對藉由檢測在晶圓上偵測之缺陷執行缺陷再檢測,故可基於藉由檢測程序判定之缺陷屬性判定用於一經偵測缺陷之一位置處之缺陷再檢測之參數。然而,用於一經偵測缺陷之一位置處之缺陷再檢測之輸出獲取參數(例如,光學、電子束等參數)通常不基於關於缺陷位置中或附近之設計之部分之資訊加以判定,此係因為此資訊通常與在缺陷再檢測期間針對所偵測缺陷執行之輸出獲取功能無關。 在一半導體製造程序期間之各種步驟中亦使用計量學程序來監測且控制程序。計量程序與檢測程序不同之處在於,不同於其中在一晶圓上偵測缺陷之檢測程序,計量程序用於量測無法使用當前所使用之檢測工具判定之晶圓之一或多個特性。舉例而言,計量程序用於量測一晶圓之一或多個特性(諸如在一程序期間形成於該晶圓上之特徵之一尺寸(例如,線寬、厚度等)),使得可自該一或多個特性判定程序之效能。另外,若晶圓之一或多個特性係不可接受的(例如,在該(等)特性之一預定範圍之外),則可使用該晶圓之一或多個特性之量測以更改程序之一或多個參數,使得由該程序製造之額外晶圓具有(若干)可接受特性。 計量程序與缺陷再檢測程序不同之處亦在於,不同於其中在缺陷再檢測中再訪問藉由檢測偵測之缺陷之缺陷再檢測程序,計量程序可在未偵測到缺陷之位置處執行。換言之,不同於缺陷再檢測,在一晶圓上執行一計量程序之位置可獨立於對晶圓執行之一檢測程序之結果。特定言之,可獨立於檢測結果選擇執行一計量程序之位置。另外,由於可獨立於檢測結果選擇在晶圓上執行計量之位置,故不同於無法判定晶圓上待執行缺陷再檢測之位置直至晶圓之檢測結果產生且可用於使用之缺陷再檢測,可在已對晶圓執行一檢測程序之前判定執行計量程序之位置。 用於實施計量程序之當前方法具有數個缺點。舉例而言,使用一SEM之圖樣計量(包含(例如)臨界尺寸(CD)及疊對量測)之習知配方設置需要待量測位置之先驗知識。另外,習知配方設置程序通常包含設計之使用。此外,若發現使用者希望量測一次或持續量測之一新所關注圖樣(POI),則需要更新計量工具配方。 因此,開發不具有上述缺點之一或多者之用於判定將對一樣本執行之一計量程序之一或多個參數之系統及方法將係有利的。The following description and examples are not considered prior art by virtue of their inclusion in this section. Inspection procedures are used at various steps during a semiconductor manufacturing process to detect defects on the wafer to drive higher yields and therefore higher profits in the manufacturing process. Inspection is always an important part of manufacturing semiconductor devices. However, as the size of semiconductor devices decreases, inspection becomes more important for the successful manufacture of acceptable semiconductor devices, because smaller defects can cause device failure. Defect re-inspection usually involves re-inspecting the defects detected by an inspection procedure and using a high magnification optical system or a scanning electron microscope (SEM) to generate additional information about the defects at a higher resolution. Therefore, defect re-inspection is performed at discrete locations on the wafer where defects have been detected by inspection. The higher resolution data of defects generated by defect re-detection are more suitable for determining the attributes of defects (such as outline, roughness, more accurate size information, etc.). Since defect re-inspection is performed for defects detected on the wafer by inspection, the parameters for defect re-inspection at a position of a detected defect can be determined based on the defect attributes determined by the inspection procedure. However, the output acquisition parameters (eg, optical, electron beam, etc. parameters) used for defect re-detection at a location where a defect is detected are generally not determined based on information about the design part in or near the defect location. This information is usually not related to the output acquisition function performed on the detected defects during defect re-examination. Metrology procedures are also used in various steps during a semiconductor manufacturing procedure to monitor and control the procedure. The measurement procedure differs from the inspection procedure in that it is different from the inspection procedure in which defects are detected on a wafer. The measurement procedure is used to measure one or more characteristics of a wafer that cannot be determined using the currently used inspection tool. For example, a metrology program is used to measure one or more characteristics of a wafer (such as the size (eg, line width, thickness, etc.) of a feature formed on the wafer during a process), so that The one or more characteristics determine the effectiveness of the program. In addition, if one or more characteristics of the wafer are unacceptable (eg, outside the predetermined range of one of the (etc.) characteristics), the measurement of one or more characteristics of the wafer can be used to modify the program One or more parameters make the additional wafers manufactured by this procedure have (several) acceptable characteristics. The metrology procedure is also different from the defect re-inspection procedure in that, unlike the defect re-inspection procedure in which the defects detected by the inspection are re-accessed during the defect re-inspection, the metrology procedure can be performed at a location where no defect is detected. In other words, unlike defect re-inspection, the position of performing a metrology procedure on a wafer can be independent of the result of performing an inspection procedure on the wafer. In particular, the location where a measurement procedure is executed can be selected independently of the detection result. In addition, since the measurement position on the wafer can be selected independently of the inspection result, it is different from the position where the defect re-inspection on the wafer cannot be determined until the inspection result of the wafer is generated and can be used for defect re-inspection. The location where the metrology process is performed is determined before an inspection process has been performed on the wafer. The current methods used to implement metrology procedures have several disadvantages. For example, the conventional recipe setting using an SEM pattern measurement (including, for example, critical dimension (CD) and overlay measurement) requires a priori knowledge of the position to be measured. In addition, conventional recipe setting procedures usually include the use of design. In addition, if it is found that the user wishes to measure a new pattern of interest (POI) once or continuously, a measurement tool recipe needs to be updated. Therefore, it would be advantageous to develop a system and method that does not have one or more of the above-mentioned shortcomings and that is used to determine one or more parameters of a metrology procedure that will be performed on a sample.

各種實施例之下列描述不應以任何方式解釋為限制隨附發明申請專利範圍之標的物。 一項實施例係關於一種經組態以判定將對一樣本執行之一程序之一或多個參數之系統。該系統包含一量測子系統,該量測子系統包含至少一能量源及一偵測器。該能量源經組態以產生經引導至一樣本之能量。該偵測器經組態以偵測來自該樣本之能量且回應於該經偵測之能量產生輸出。該系統亦包含一或多個電腦子系統,其(等)經組態用於:判定該樣本上偵測到之一缺陷之一區域;使該缺陷之該區域與該樣本之一設計之資訊關聯;基於該關聯之結果判定該缺陷之該區域與該設計之該資訊之間的一空間關係;及基於該空間關係自動產生待在針對該樣本執行之一程序期間使用該量測子系統量測之一所關注區(ROI)。可如本文中描述般進一步組態該系統。 另一實施例係關於一種用於判定將對一樣本執行之一程序之一或多個參數之電腦實施方法。該方法包含上文描述之判定一區域、關聯、判定一空間關係及自動產生一ROI之步驟。該方法之該等步驟係藉由一或多個電腦系統執行。 可如本文中進一步描述般進一步實行上文描述之該方法之該等步驟之各者。另外,上文描述之該方法之該實施例可包含本文中描述之(若干)任何其他方法之(若干)任何其他步驟。此外,可藉由本文中描述之該等系統之任一者執行上文描述之該方法。 另一實施例係關於一種非暫時性電腦可讀媒體,其儲存可在一電腦系統上實行以執行用於判定將對一樣本執行之一程序之一或多個參數之一電腦實施方法之程式指令。該電腦實施方法包含上文描述之該方法之該等步驟。該電腦可讀媒體可如本文中描述般進一步組態。可如本文中進一步描述般執行該電腦實施方法之該等步驟。另外,該電腦實施方法(可針對其執行該等程式指令)可包含本文中描述之(若干)任何其他方法之(若干)任何其他步驟。The following description of various embodiments should not be construed in any way as limiting the subject matter of the patent application of the accompanying invention. An embodiment relates to a system configured to determine one or more parameters of a program to be executed on a sample. The system includes a measurement subsystem including at least one energy source and a detector. The energy source is configured to produce energy directed to a sample. The detector is configured to detect energy from the sample and generate an output in response to the detected energy. The system also includes one or more computer subsystems, which (etc.) are configured to: determine a region of a defect detected on the sample; design information for the region of the defect and one of the samples Correlation; determining a spatial relationship between the area of the defect and the information of the design based on the result of the correlation; and automatically generating the measurement subsystem based on the spatial relationship to be used during a procedure performed on the sample Measure one area of interest (ROI). The system can be further configured as described in this article. Another embodiment relates to a computer-implemented method for determining that one or more parameters of a program will be executed on a sample. The method includes the steps of determining a region, associating, determining a spatial relationship, and automatically generating an ROI described above. The steps of the method are performed by one or more computer systems. Each of the steps of the method described above can be further implemented as described further herein. Additionally, this embodiment of the method described above may include any other step(s) of any other method(s) described herein. Furthermore, the method described above can be performed by any of the systems described herein. Another embodiment relates to a non-transitory computer-readable medium whose storage can be executed on a computer system to execute a program for determining a computer-implemented method of one or more parameters of a program to be executed on a sample instruction. The computer-implemented method includes the steps of the method described above. The computer-readable medium can be further configured as described herein. The steps of the computer-implemented method can be performed as described further herein. In addition, the computer-implemented method (for which the program instructions may be executed) may include any other step(s) of any other method(s) described herein.

如本文中使用之術語「設計」及「設計資料」通常係指一IC之實體設計(佈局)及透過複雜模擬或簡單幾何及布林運算自實體設計導出之資料。實體設計可儲存於一資料結構中,諸如一圖形資料串流(GDS)檔案、任何其他標準機器可讀檔案、此項技術中已知之任何其他適合檔案及一設計資料庫。一GDSII檔案係用於設計佈局資料之表示之一類檔案之一者。此等檔案之其他實例包含GL1及OASIS檔案及專屬檔案格式(諸如RDF資料),其專屬於加利福尼亞州,米爾皮塔斯市(Milpitas),KLA-Tencor。另外,藉由一倍縮光罩檢測系統擷取之一倍縮光罩之一影像及/或其之導出物可用作用於設計之一「代理」或「若干代理」。此一倍縮光罩影像或其之一導出物可在使用一設計之本文中描述之任何實施例中充當對於設計佈局之一取代物。設計可包含2009年8月4日頒予Zafar等人之共同擁有之美國專利第7,570,796號及2010年3月9日頒予Kulkarni等人之共同擁有之美國專利第7,676,077號中描述之任何其他設計資料或設計資料代理,該兩個專利以宛如全文陳述引用之方式併入本文中。另外,設計資料可係標準單元庫資料、整合佈局資料、一或多個層之設計資料、設計資料之導出物及完全或部分晶片設計資料。 在一些例項中,來自一晶圓或倍縮光罩之模擬或擷取影像可用作用於設計之一代理。影像分析亦可用作用於設計分析之一代理。舉例而言,可自印刷於一晶圓及/或倍縮光罩上之一設計之一影像提取設計中之多邊形,假定以足夠解析度擷取晶圓及/或倍縮光罩之影像以使設計之多邊形充分成像。另外,本文中描述之「設計」及「設計資料」係指由半導體裝置設計者在一設計程序中產生且因此可在將設計印刷於任何實體晶圓上之前良好地用於本文中描述之實施例中之資訊及資料。 較佳地,如本文中所使用之術語「設計」或「實體設計」係指如將理想地形成於晶圓上之設計。以此方式,本文中描述之一設計或實體設計較佳將不包含將不印刷於晶圓上之設計之特徵(諸如光學接近校正(OPC)特徵),其等經添加至設計以增強將特徵印刷於晶圓上而不實際印刷其等自身。以此方式,在一些實施例中,用於本文中進一步描述之自動產生及自動判定步驟之樣本之設計不包含不會印刷於樣本上之設計之特徵。 本文中描述之一「設計」及「設計資料」可包含與形成於晶圓上之裝置之實體意圖相關之資料及資訊,其等可包含上文描述之設計及設計資料之各種類型之任一者。一「設計」及「設計資料」亦可或替代地包含與形成於晶圓上之裝置之電意圖相關之資料及資訊。此資訊及資料可包含(例如)接線對照表及SPICE命名法及/或一「註釋佈局」(例如,其中設計包含電接線對照表參數標記)。此資料及資訊可用於判定一佈局或晶圓影像之哪些部分在一或多個電子態樣中係關鍵的。 現參考圖式,應注意,圖未按比例繪製。特定言之,在很大程度上放大圖之一些元件之尺度以強調元件之特性。亦應注意,該等圖未按相同比例繪製。已使用相同元件符號指示可經類似組態之展示於一個以上圖中之元件。除非本文中另有說明,否則所描述且展示之任何元件可包含任何適合市售元件。 一項實施例係關於一種經組態以判定將對一樣本執行之一計量程序之一或多個參數之系統。在一項實施例中,樣本包含一晶圓。在另一實施例中,樣本包含一倍縮光罩。晶圓及倍縮光罩可包含此項技術中已知之任何晶圓及倍縮光罩。 在圖1中展示此一系統之一項實施例。該系統包含一量測子系統,該量測子系統包含至少一能量源及一偵測器。能量源經組態以產生引導至一樣本之能量。偵測器經組態以從樣本偵測能量且回應於該所偵測之能量產生輸出。 在一項實施例中,引導至樣本之能量包含光,且從樣本偵測之能量包含光。例如,在圖1中展示之系統之實施例中,量測子系統10包含經組態以將光引導至樣本14之一照明子系統。該照明子系統包含至少一個光源。例如,如圖1中所展示,照明子系統包含光源16。在一項實施例中,照明子系統經組態以按可包含一或多個傾斜角及/或一或多個法向角之一或多個入射角將光引導至樣本。例如,如圖1中所展示,來自光源16之光被引導穿過光學元件18及接著穿過透鏡20至光束分離器21,該光束分離器21按一法向入射角將光引導至樣本14。入射角可包含任何適當入射角,其可取決於(例如)樣本及待在樣本上偵測之缺陷之特性而變化。 照明子系統可經組態以在不同時間按不同入射角將光引導至樣本。例如,量測子系統可經組態以更改照明子系統之一或多個元件之一或多個特性,使得光可按不同於圖1中展示之入射角之一入射角引導至樣本。在一個此實例中,量測子系統可經組態以移動光源16、光學元件18及透鏡20,使得光以一不同入射角引導至樣本。 在一些例項中,量測子系統可經組態以在相同時間按一個以上入射角將光引導至樣本。例如,照明子系統可包含一個以上照明通道,該等照明通道之一者可包含如圖1中展示之光源16、光學元件18及透鏡20,且該等照明通道之另一者(未展示)可包含可不同或相同組態之類似元件,或可包含至少一光源及可能一或多個其他組件(諸如本文中進一步描述之組件)。若在與其他光相同之時間將此光引導至樣本,則按不同入射角引導至樣本之光之一或多個特性(例如,波長、偏光等等)可不同,使得可在(若干)偵測器處將源自按不同入射角照明樣本之光彼此區分。 在另一實例中,照明子系統可包含僅一個光源(例如,圖1中展示之源16)且來自該光源之光可藉由照明子系統之一或多個光學元件(未展示)而分成不同光學路徑(例如,基於波長、偏光等等)。接著,可將不同光學路徑之各者中之光引導至樣本。多個照明通道可經組態以在相同時間或不同時間(例如,當使用不同照明通道以依序照明樣本時)將光引導至樣本。在另一例項中,相同照明通道可經組態以在不同時間將具有不同特性之光引導至樣本。舉例而言,在一些例項中,光學元件18可經組態為一光譜濾光器且可以多種不同方式(例如,藉由改變光譜濾光器)改變光譜濾光器之性質使得可在不同時間將不同波長之光引導至樣本。照明子系統可具有此項技術中已知之用於依序或同時按不同或相同入射角將具有不同或相同特性之光引導至樣本之任何其他適合組態。 在一項實施例中,光源16可包含一寬頻電漿(BBP)光源。以此方式,由光源產生且引導至樣本之光可包含寬頻光。然而,光源可包含任何其他適合光源(諸如一雷射)。雷射可包含此項技術中已知之任何適合雷射且可經組態以產生任何適合波長或此項技術中已知之波長之光。另外,雷射可經組態以產生單色或近單色光。以此方式,雷射可係一窄頻雷射。光源亦可包含產生多個離散波長或波帶之光之一多色光源。 來自光學元件18之光可藉由透鏡20聚焦至光束分離器21。雖然透鏡20在圖1中展示為一單折射光學元件,但應理解,實務上,透鏡20可包含將來自光學元件之光組合地聚焦至樣本之若干折射及/或反射光學元件。圖1中展示且本文中描述之照明子系統可包含任何其他適合光學元件(未展示)。此等光學元件之實例包含(但不限於) (若干)偏光組件、(若干)光譜濾光器、(若干)空間濾光器、(若干)反射光學元件、(若干)變跡器、(若干)光束分離器、(若干)孔徑及可包含此項技術中已知之任何此等適合光學元件之類似者。另外,系統可經組態以基於用於計量之照明之類型而更改照明子系統之元件之一或多者。 量測子系統亦可包含經組態以引起光掃描遍及樣本之一掃描子系統。舉例而言,量測子系統可包含在量測期間在其上安置樣本14之載物台22。掃描子系統可包含可經組態以移動樣本使得光可掃描遍及樣本之任何適合機械及/或機器人總成(包含載物台22)。另外或替代地,量測子系統可經組態使得量測子系統之一或多個光學元件執行光遍及樣本之某一掃描。可以任何適合方式使光掃描遍及樣本。 量測子系統進一步包含一或多個偵測通道。一或多個偵測通道之至少一者包含一偵測器,該偵測器經組態以偵測歸因於藉由量測子系統照明樣本而來自樣本之光且回應於所偵測光產生輸出。舉例而言,圖1中展示之量測子系統包含兩個偵測通道,一偵測通道由集光器24、元件26及偵測器28形成且另一偵測通道由集光器30、元件32及偵測器34形成。如圖1中展示,兩個偵測通道經組態以按不同收集角收集且偵測光。在一些例項中,一偵測通道經組態以偵測鏡面反射光且另一偵測通道經組態以偵測並非自樣本鏡面反射(例如,散射、繞射等)之光。然而,兩個或兩個以上偵測通道可經組態以偵測來自樣本之相同類型之光(例如,鏡面反射光)。雖然圖1展示包含兩個偵測通道之量測子系統之一實施例,但量測子系統可包含不同數目個偵測通道(例如,僅一個偵測通道或兩個或兩個以上偵測通道)。雖然在圖1中將各集光器展示為單折射光學元件,但應理解,各集光器可包含一或多個折射光學元件及/或一或多個反射光學元件。 一或多個偵測通道可包含此項技術中已知之任何適合偵測器。舉例而言,偵測器可包含光電倍增管(PMT)、電荷耦合裝置(CCD)及延時積分(TDI)相機。偵測器亦可包含此項技術中已知之任何其他適合偵測器。偵測器亦可包含非成像偵測器或成像偵測器。以此方式,若偵測器係非成像偵測器,則各偵測器可經組態以偵測散射光之某些特性(諸如強度)但不可經組態以偵測依據成像平面內之位置而變化之此等特性。因而,由包含於量測系統之各偵測通道中之各偵測器產生之輸出可係信號或資料,而非影像信號或影像資料。在此等例項中,一電腦子系統(諸如系統之電腦子系統36)可經組態以自偵測器之非成像輸出產生樣本之影像。然而,在其他例項中,偵測器可經組態為經組態以產生成像信號或影像資料之成像偵測器。因此,系統可經組態以依若干方式產生本文中描述之影像。 應注意,本文中提供圖1以大體上繪示可包含於本文中描述之系統實施例中之一量測子系統之一組態。顯然,可更改本文中描述之量測子系統組態以如在設計一商業計量系統時通常執行般最佳化系統之效能。另外,可使用諸如商業上可購自KLA-Tencor之SpectraShape系列工具及Archer系列工具之一現有計量系統(例如,藉由將本文中描述之功能性添加至一現有計量系統)實施本文中描述之系統。對於一些此等系統,本文中描述之方法可提供為計量系統之選用功能性(例如,除了計量系統之其他功能性之外)。替代地,可「從頭開始」設計本文中描述之計量系統以提供一全新計量系統。 系統之電腦子系統36可以任何適合方式(例如,經由一或多個傳輸媒體,該一或多個傳輸媒體可包含「有線」及/或「無線」傳輸媒體)耦合至量測子系統之偵測器使得電腦子系統可接收在樣本之掃描期間由偵測器產生之輸出。電腦子系統36可經組態以使用如本文中描述之偵測器之輸出執行若干功能及本文中進一步描述之任何其他功能。可如本文中描述般進一步組態此電腦子系統。 此電腦子系統(以及本文中描述之其他電腦子系統)在本文中亦可稱為(若干)電腦系統。本文中描述之(若干)電腦子系統或系統之各者可採取多種形式,包含一個人電腦系統、影像電腦、主機電腦系統、工作站、網路設備、網際網路設備或其他裝置。一般言之,術語「電腦系統」可經廣泛定義以涵蓋具有執行來自一記憶體媒體之指令之一或多個處理器之任何裝置。(若干)電腦子系統或系統亦可包含此項技術中已知之任何適合處理器(諸如一平行處理器)。另外,(若干)電腦子系統或系統可包含具有高速處理及軟體之一電腦平台(作為一獨立工具或一網路工具)。 若系統包含一個以上電腦子系統,則不同電腦子系統可彼此耦合,使得如本文中進一步描述般可在該等電腦子系統之間發送影像、資料、資訊、指令等等。例如,電腦子系統36可藉由可包含此項技術中已知之任何適合有線及/或無線傳輸媒體之任何適合傳輸媒體耦合至(若干)電腦子系統102 (如藉由圖1中之虛線所展示)。兩個或兩個以上此等電腦子系統亦可藉由一共用電腦可讀儲存媒體(未展示)而有效耦合。 雖然量測子系統在上文描述為一光學或基於光之量測子系統,但該量測子系統可為一基於電子束之量測子系統。例如,在一項實施例中,引導至樣本之能量包含電子,且從樣本偵測之能量包含電子。以此方式,能量源可為一電子束源。在圖2中展示之一項此實施例中,量測子系統包含耦合至電腦子系統124之電子柱122。 亦如圖2中展示,電子柱包含經組態以產生由一或多個元件130聚焦至樣本128之電子之電子束源126。電子束源可包含(例如)一陰極源或射極尖端,且一或多個元件130可包含(例如)一槍透鏡、一陽極、一限束孔徑、一閘閥、一束電流選擇孔徑、一物鏡及一掃描子系統,其等全部可包含此項技術中已知之任何此等適合元件。 從樣本返回之電子(例如,二次電子)可藉由一或多個元件132聚焦至偵測器134。一或多個元件132可包含(例如)一掃描子系統,該掃描子系統可為包含在(若干)元件130中之相同掃描子系統。 電子柱可包含此項技術中已知之任何其他適合元件。另外,可如2014年4月4日頒予Jiang等人之美國專利第8,664,594號、2014年4月8日頒予Kojima等人之美國專利第8,692,204號、2014年4月15日頒予Gubbens等人之美國專利第8,698,093號及2014年5月6日頒予MacDonald等人之美國專利第8,716,662號中描述般進一步組態電子柱,該等專利以宛如全文陳述引用的方式併入本文中。 雖然電子柱在圖2中展示為經組態使得電子按一傾斜入射角引導至樣本且按另一傾斜角自樣本散射,但應瞭解,電子束可以任何適合角引導至樣本且自樣本散射。另外,基於電子束之量測子系統可經組態以使用多個模式來產生樣本之影像(例如,運用不同照明角、收集角等等)。基於電子束之量測子系統之多個模式可在量測子系統之任何影像產生參數方面不同。 如上文所描述,電腦子系統124可耦合至偵測器134。偵測器可偵測從樣本之表面返回之電子,藉此形成該樣本之電子束影像。該等電子束影像可包含任何適合電子束影像。電腦子系統124可經組態以使用偵測器之輸出及/或電子束影像執行本文中描述之任何功能。電腦子系統124可經組態以執行本文中描述之(若干)任何額外步驟。可如本文中描述般進一步組態包含圖2中展示之量測子系統之一系統。 注意,本文中提供圖2以大體上繪示可包含在本文中描述之實施例中之一基於電子束之量測子系統之一組態。如同上文描述之光學量測子系統,可更改本文中描述之基於電子束之量測子系統組態以如在設計一商業計量系統時通常執行般最佳化量測子系統之效能。另外,可使用諸如商業上可購自KLA-Tencor之eDR-xxxx系列之工具之一現有計量或高解析度缺陷再檢測系統(例如,藉由將本文中描述之功能性添加至一現有計量系統)來實施本文中描述之系統。對於一些此等系統,本文中描述之方法可提供為系統之選用功能性(例如,除系統之其他功能性之外)。替代地,可「從頭開始」設計本文中描述之系統以提供一全新系統。 雖然量測子系統在上文描述為一基於光或基於電子束之量測子系統,但該量測子系統可為一基於離子束之量測子系統。可如圖2中展示般組態此一量測子系統,惟可使用此項技術中已知之任何適合離子束源替換電子束源除外。另外,量測子系統可為任何其他適合基於離子束之量測子系統,諸如包含在市售聚焦離子束(FIB)系統、氦離子顯微鏡(HIM)系統及二次離子質譜儀(SIMS)系統中之量測子系統。 包含於本文中描述之系統實施例中之一或多個電腦子系統經組態用於基於樣本之一設計自動產生待在針對樣本執行之一計量程序期間使用量測子系統量測之所關注區(ROI)。由於基於樣本之設計判定ROI,故ROI可稱為「基於設計之ROI」。另外,計量程序(如本文中描述般針對其判定一或多個參數)可稱為一「設計驅動之計量程序」。 圖3提供本文中使用之各種術語(包含ROI)之一些內容背景。舉例而言,圖3展示以量測位點302為中心之一量測子系統(諸如本文中描述之該等量測子系統之一者)之視場(FOV) 300。量測位點可係一經偵測缺陷(藉由檢測及/或再檢測偵測)之一位點或一取樣位點。在一計量程序期間晶圓上之各FOV位置可與量測位點(將針對其等執行計量程序)之僅一者相關聯。舉例而言,在一計量程序期間,一掃描電子顯微鏡(SEM)或其他量測子系統可以從量測位點至量測位點之方式驅動。 如在圖3中亦展示,在FOV 300內,可定位多個ROI 304、306及308。儘管在圖3中展示三個ROI,但任何一個FOV中可存在任何數目個ROI (即,一或多個ROI)。如在圖3中進一步展示,ROI可定位於FOV內之各種位置中,且儘管三個ROI展示為在FOV中不重疊,但在一些例項中,ROI可在FOV中在某種程度上重疊。在ROI之各者內,可選擇執行至少一個量測,此可如本文進一步描述般進行自動選擇或判定。儘管圖3未展示將形成於定位在圖3中展示之FOV中之晶圓之區域中之任何圖案化特徵,但量測一般將針對圖案化特徵之一或多個特性。 為繪示可在不同ROI中執行之不同量測,圖3將此等不同量測抽象地繪示為展示尺寸之範圍及方向之雙頭箭頭,可跨該尺寸執行此等量測。舉例而言,如在圖3中展示,可在ROI 304中在一個方向上跨ROI在該方向上之一整個尺寸之僅一部分執行量測310。可在ROI 306中在一不同方向上跨ROI在該方向上之一整個尺寸執行量測312。另外,可在垂直方向上跨ROI 308執行量測314及316。量測314可跨ROI在該量測方向上之一整個尺寸之僅一部分執行,而量測316可跨ROI在該量測方向上之一整個尺寸執行。因此,如本文進一步描述,不同量測可在不同ROI中執行,且在任一個ROI中執行之量測可如本文進一步描述般選擇或判定。 一或多個電腦子系統亦經組態用於基於分別定位於ROI之第一及第二子集中之樣本之設計之部分自動判定在使用量測子系統之計量程序期間在ROI之第一及第二子集中執行之一或多個量測之一或多個參數。在第一子集中執行之一或多個量測之一或多個參數單獨地且獨立於在第二子集中執行之一或多個量測之一或多個參數而判定。換言之,可基於僅定位於第一子集中之設計之部分針對ROI之第一子集判定一或多個參數,可基於僅定位於第二子集中之設計之部分針對ROI之第二子集判定一或多個參數等等。另外,儘管本文中關於第一及第二子集描述一些實施例,但應理解,可針對ROI之兩個以上子集(例如,ROI之兩個或兩個以上子集)執行藉由(若干)電腦子系統執行之(若干)步驟。此外,ROI之子集之各者可包含一或多個ROI。舉例而言,ROI之第一子集可包含僅一個ROI,而ROI之第二子集可包含一個以上ROI。以此方式,本文中描述之實施例經組態用於自動化圖樣保真度量測計劃產生。本文中描述之實施例亦可經組態用於執行所產生之圖樣保真度量測計劃。 在一項實施例中,在計量程序之設置期間執行自動產生及自動判定。以此方式,方法可包含在設置期間使用晶圓之實體設計之自動ROI產生。另外,可使圖樣保真度量測之配方設置完全自動化,此係由於數千個獨有位點之ROI可在設置期間自動產生。 在另一實施例中,在計量程序之運行時間期間即時執行自動產生及自動判定。以此方式,本文中描述之實施例可經組態用於自動化即時圖樣保真度量測計劃產生。另外,方法可包含在運行時間期間使用晶圓之實體設計之自動ROI產生。 本文中描述之實施例亦可產生一計量量測計劃而無需待量測結構之先驗知識。舉例而言,本文中描述之實施例不必使用藉由另一系統或方法針對待量測結構產生之資訊執行功能。因此,本文中描述之實施例針對量測計劃產生提供優於當前使用之方法及系統之數個優點。舉例而言,在新的程序節點,藉由檢測工具偵測之圖樣偏差將需要定量分析來判定其等是否滿足係一「缺陷」之準則。吾人無法提前預測此等缺陷候選者可能在何處出現,因此,需要即時自動化計量計劃產生。 在一些實施例中,自動產生包含在計量程序之設置期間執行設計之基於規則之搜尋。舉例而言,可使圖樣保真度量測之配方設置完全自動化,此係由於可在設置期間使用晶圓之實體設計之一基於規則搜尋來自動產生數千個獨有位點之ROI。以此方式,本文中描述之實施例可經組態用於基於規則之自動ROI產生。 將ROI產生之規則應用於一設計可以數個不同方式執行。舉例而言,一基於規則之方法可係一非影像處理方法,其中將規則應用於設計資料以產生ROI。可使用CAD軟體來執行此等應用。在另一實例中,可使用一基於影像處理之方法,其可包含將設計資料呈現為一影像且接著使用規則作為輸入以使用影像處理演算法產生ROI。以此方式,設計資料可藉由設計分析軟體及/或演算法之各種類型消費以便使用規則作為輸入而產生ROI。 在用於自動產生ROI之一基於規則之搜尋之一項實施例中,可針對各不同量測類型產生一個規則。換言之,規則1可用於量測類型1,規則2可用於量測類型2等等。另外,各規則不可用於一個以上量測類型。以此方式,各規則可定義待形成於晶圓上之設計中之圖樣之特性,該等特性將做出對適用於該圖樣之其量測類型之一量測。舉例而言,可設計用於一線寬量測類型之一規則以將跨圖樣之一相對大區段具有一實質上均勻尺寸之圖樣或圖樣之部分識別為用於線寬量測類型之候選者。 在一些此等例項中,可針對包含於任何一個FOV中之圖樣之任一者及/或所有者執行各規則。因此,可在每一FOV基礎上執行所有規則。由於各規則可識別該規則針對其寫入之類型之量測之可能位置,故各規則可識別該FOV之數個可能ROI,其中一量測類型之各潛在位置對應於ROI之一者。因此,將各規則應用於各FOV之結果可包含FOV中之一或多個ROI位置。因而,將多個規則應用於各FOV可在各FOV中產生一或多個ROI位置,一些該等位置可對應於不同量測類型。在一些此等例項中,FOV內之ROI位置之各者可對應於僅一個類型之僅一個量測。然而,一FOV內之多個ROI位置可在FOV內彼此(部分或完全)重疊(例如,當適合在FOV之相同部分中執行兩個不同類型之兩個不同量測時)係可能的。在此等例項中,重疊ROI之各個別ROI可對應於僅一個類型之僅一個量測。換言之,每一ROI可存在僅一個量測類型。因此,為執行針對一給定ROI位置之多個量測,可產生多個ROI,其中各ROI具有相同ROI界限(或位置、座標等)但各具有不同量測類型。 總而言之,因此,對於一晶圓上之任何一個量測位點,可針對該量測位點指定一個FOV。所有規則可針對各FOV運行。由於運行所有規則,每一FOV每一規則之一或多個ROI可憑藉每一ROI一個量測產生。可針對各FOV/量測位點重複相同步驟,直至已處理所有FOV/量測位點。 在一項實施例中,一或多個電腦子系統包含一電子設計自動化(EDA)工具之一電腦子系統。舉例而言,針對在運行時間之ROI產生,方法可使用EDA實體設計分析工具或將客製演算法應用至實體設計。在一些此等例項中,一設計縮略形式(design clip)或設計之另一表示可藉由實體設計分析軟體自動分析以判定設計縮略形式或設計之另一表示內之有效量測。在一個此實例中,針對在運行時間之ROI產生,一演算法可基於圖樣之一給定段是否筆直/平行(即,一結構/圖樣之兩個邊緣彼此平行)、彎曲(例如,在一隅角上)或在一線之端部處而對設計自動分段。EDA工具可包含任何適當市售EDA工具。在一些此等實施例中,本文中描述之一或多個電腦子系統(例如,(若干)電腦子系統102)可經組態為一EDA工具。 在另一實施例中,針對ROI之第一子集自動判定之一或多個參數導致在ROI之第一子集中執行之一第一類型之(若干)量測,針對ROI之第二子集自動判定之一或多個參數導致在ROI之第二子集中執行之一第二類型之(若干)量測,且第一及第二類型之(諸)量測彼此不同。以此方式,方法可包含在ROI產生程序期間自動判定量測類型。每一ROI可存在一個量測類型且可在ROI產生程序期間自動判定。因而,本文中描述之實施例可經組態用於自動產生具有針對各ROI之適當量測類型之計量計劃。舉例而言,計量計劃產生可包含針對各FOV自實體設計自動定義ROI及量測類型。自動定義ROI及量測類型可使用設計分析演算法及軟體來執行。一或多個參數亦可包含在ROI中待執行量測類型之位置。ROI中待執行量測類型之位置可如本文中進一步描述般判定。 可執行本文中描述之計量程序來判定一晶圓上之圖樣與設計中之圖樣不同之處。特定言之,當圖樣經設計以印刷於一晶圓上時,其等幾乎從未如其等經設計般確切地印刷於晶圓上。如所設計圖樣與如所印刷圖樣之此等差異可係歸因於用來將圖樣印刷於晶圓上之程序、工具及材料之固有限制以及該等程序、工具及材料中之任何誤差。 在圖4及圖5中展示印刷於一晶圓上之圖樣可如何不同於如所設計圖樣之一個實例。特定言之,如在圖4中展示,一晶圓(在圖4中未展示)之一設計之部分400可包含三個不同圖樣402、404及406。圖樣402係可包含於一晶圓之一設計中之一線結構之一實例。圖樣404係可包含於一晶圓之一設計中之一接點結構之一實例,且圖樣406係可包含於一晶圓之一設計中之一多邊形結構之一實例。 儘管在圖4 (及本文中描述之其他圖)中展示包含於一晶圓之一設計中之結構之一些實例,但該等實例並不意在表示任何特定晶圓之任何特定設計。代替地,如一般技術者將瞭解,晶圓之設計可包含呈許多不同配置且呈許多不同數目之許多不同類型結構。圖4(及本文中描述之其他圖)中展示之結構僅意在繪示一些假設晶圓結構來進一步理解本文中描述之各種實施例。 歸因於用來印刷設計之部分400中展示之結構之工具、材料及程序之固有限制,該等結構在其等包含於設計中時未必印刷於晶圓上。舉例而言,如在圖5中展示,代替部分400中具有如設計中展示之尖銳90度隅角之圖樣402、404及406,圖樣將具有至少某種程度修圓隅角。另外,結構之任一者可具有尺寸(諸如跨結構之各種點處之寬度)變動。舉例而言,如在圖5中展示,相較於跨此結構之多個點處之結構之設計特性,圖樣406具有一些線寬變動。 因此可基於如所設計圖樣之特性(可能結合關於圖樣之潛在問題之一些先驗知識)如本文中描述般自動選擇ROI及每一ROI之量測類型。在圖6中展示針對圖5中展示之圖樣之數個可能ROI。儘管關於圖5中展示之圖樣展示此等可能ROI,但ROI可實際上基於對應於圖5中展示之圖樣之設計(即,基於圖4中展示之圖樣)而判定。 在圖6中展示之實施例中,可針對經設計以具有跨特徵之一部分之實質上均勻尺寸之該特徵之該部分判定ROI 600、602及604。舉例而言,可針對經設計以具有跨特徵402之一部分之實質上均勻尺寸之該部分產生ROI 600,且可針對經設計以具有跨特徵406之部分之實質上均勻尺寸之該等部分產生ROI 602及604。針對此等ROI自動選擇之量測類型可係一線寬量測,其可用於偵測圖案化特徵中之頸縮或膨脹問題。 可針對該等特徵之兩者(特徵402及406)之間的一空間自動產生另一ROI (ROI 606),該空間經設計以具有跨ROI之實質上相同尺寸。藉由本文中描述之實施例針對此ROI自動選擇之量測類型可包含一間隙量測(或兩個特徵之間的一距離或距離之某一統計量度)。可執行間隙量測以偵測兩個圖案化特徵之間的橋接問題。 本文中描述之實施例亦可經組態以在一或多個特徵之端部處及/或附近自動產生數個ROI。舉例而言,如在圖6中展示,可針對特徵402之端部自動產生ROI 608及610,而可針對特徵406之端部自動產生ROI 612及614。針對此等ROI選擇之量測類型可係線端位置、線端拉回、線端距離(例如,一直線之兩個線端之間的距離)或可用於描述如所設計特徵對如所印刷特徵之端部之相對位置之某一其他量測類型。 亦可針對設計中之一或多個圖案化特徵之隅角自動產生一或多個ROI。舉例而言,如在圖6中展示,可針對特徵406之隅角產生ROI 616及618。針對此等ROI選擇之量測類型可係曲率、半徑、距離、弧面積或可用於描述隅角之形狀之某一其他量測類型。 可藉由本文中描述之實施例針對設計中之接點圖案化特徵自動產生另一ROI。舉例而言,如在圖6中展示,可針對接點特徵404產生ROI 620。針對此ROI選擇之量測類型可係直徑、寬度、高度、半徑、面積或可用來描述如所印刷接點如何不同於如所設計接點之另一量測類型。 可針對一計量程序判定之其他量測類型包含尖端至尖端(兩個線端之間的間隙之一量測)、尖端-線(一線端與一線之間的間隙之一量測)、線長度(一直線之長度之一量測)及隅角至隅角量測。 因此,如上文描述,本文中描述之實施例可經組態以執行基於設計將一晶圓之一設計之至少一部分分段為針對一計量程序之ROI。另外,一些段可包含直線段、筆直間隙段、線端段、隅角段及接點段。可在設計中以本文中描述之數個不同方式判定不同段及對應ROI。舉例而言,可藉由將一或多個規則應用於設計而判定段或ROI。在另一實例中,可如本文進一步描述般識別穿過設計中之圖案化特徵之假想中心線(在其等不係設計之部分或不印刷於晶圓上之意義上係假想的),且接著,該等中心線可用於將圖案化特徵分段為段及/或ROI。舉例而言,穿過一圖案化特徵之一筆直中心線可用於將筆直中心線延伸穿過之圖案化特徵之部分識別為一直線段。在另一實例中,穿過兩個圖案化特徵之間的一空間之一筆直中心線可用於將筆直中心線延伸穿過之空間之部分識別為一直間隙段。在一額外實例中,其中兩個直線按一90度角會合之一圖案化特徵之一部分可識別為一隅角段。可使用假想中心線以一類似方式識別本文中描述之其他段。 一旦已判定用於計量程序之各種位置(例如,量測位點位置、對準位點位置、自動聚焦位點位置等),計量配方設置可包含各種額外步驟,可使用一實體晶圓對計量工具執行該等額外步驟之一些步驟。舉例而言,一或多個位置可定位於量測子系統之一FOV中。一旦一或多個位置定位於量測子系統之FOV中,便可使用量測子系統之參數(例如,光學、電子束或成像參數)之不同值產生量測子系統之輸出。接著,可比較使用參數之不同值產生之不同輸出以判定哪些參數最適用於針對一或多個位置之計量程序中。另外,可針對將在相同計量程序中量測之不同位置選擇不同量測子系統參數。舉例而言,可判定最佳(且因此選擇)用於一種類型之ROI中之一個量測類型之一組量測子系統參數,同時可判定最佳(且因此選擇)用於另一不同類型之ROI中之另一不同量測類型之另一不同組量測子系統參數。以一類似方式,可在一逐位置類型基礎上判定藉由(若干)電腦子系統應用於藉由量測子系統產生之輸出之一或多個方法及/或演算法之一或多個參數(使得不同方法及/或演算法及/或(若干)相同方法及/或(若干)演算法之不同參數可應用於在晶圓上之不同類型之位置處產生之輸出)。 在一些實施例中,(若干)電腦子系統可經組態用於藉由將偵測器之輸出與ROI之第一子集及第二子集之樣本之設計對準而在計量程序期間判定該樣本上之位置。舉例而言,電腦子系統可經組態用於自動SEM至設計精細對準(例如,使用SEM之FOV中之幾何形狀)。由於全域對準不確保藉由一量測子系統產生之影像中之結構之中心線與設計結構之對準,因此可執行SEM至設計精細對準。 在將量測子系統之輸出與設計對準之一些實施例中,經繪製穿過輸出及設計中之圖案化特徵之假想中心線可用於精細對準(而本文中進一步描述之對準標記可用於一晶圓或一或多個FOV之全域對準)。圖7及圖8繪示當使用輸出及設計中之特徵之邊緣以用於對準時可出現之一些問題。舉例而言,如在圖7中展示,一設計之一部分可包含兩個特徵(線700及多邊形702)。另外,對應於設計之部分之藉由量測子系統產生之輸出之一部分可包含針對兩個特徵(線704及多邊形706)之輸出。設計中之特徵及量測子系統之輸出歸因於如上文進一步描述般將設計印刷於晶圓上而看似不同。 可在一所關注圖樣之上邊緣或下邊緣處使用邊緣至邊緣方法來對準量測子系統之輸出(例如,一SEM影像)與一設計。舉例而言,如在圖7中展示,若多邊形702及706之水平部分之下邊緣708用於對準,則針對多邊形之區域710及712中之多邊形706執行之線端量測將產生一個量測。然而,如在圖8中展示,若多邊形702及706之水平部分之上邊緣800用於對準,則針對多邊形之區域710及712中之多邊形706執行之線端量測將產生一不同的量測。以此方式,取決於多邊形之哪一邊緣用於設計至輸出之對準,線端量測將產生不同結果,此由於數個明顯原因(例如,線端拉回量測係不一致的)而係不利的。 因此,代替使用邊緣至邊緣對準,本文中描述之實施例可使用輸出中及設計中之特徵之中心來執行量測子系統輸出至設計之對準。舉例而言,如在圖9中展示,若多邊形702及706之中心用於對準,則將產生不同於使用上文描述之邊緣對準方法之任一者之情況之一量測以用於針對多邊形之區域710及712中之多邊形706執行之線端量測。然而,使用特徵之中心來將量測子系統之輸出與設計對準將產生從ROI至ROI之一更一致對準,藉此提供ROI之實質上一致量測(例如,隅角量測、線端拉回量測及寬度量測)。使用特徵之中心而非其等邊緣進行對準亦可改良針對嚴重失真圖樣且在FOV不具有用於對準所關注圖樣之許多特徵時之對準穩健性。 圖10至圖12繪示一設計之一部分中及量測子系統輸出中之圖案化特徵之中心可如何用於對準設計與輸出。舉例而言,如在圖10中展示,一樣本之一設計之一部分可包含四個不同特徵(線1000、1002及1004之部分及多邊形1006)。如在圖10中進一步展示,可透過包含於設計之部分中之各特徵之部分之整體判定一假想中心線。舉例而言,可針對線1000、1002及1004之部分判定假想中心線1008、1010及1012。另外,可針對多邊形1006判定假想中心線1014。可以任何適當方式判定假想中心線。 亦可針對如在量測子系統輸出中出現之圖案化特徵判定假想中心線。舉例而言,如在圖11中展示,量測子系統輸出中之一設計之一部分可包含對應於圖10中展示該等部分之四個不同特徵(例如,線1100、1102及1104之部分及多邊形1106)。如在圖11中進一步展示,可透過包含於設計之此部分中之各特徵之部分之整體判定一假想中心線。舉例而言,可針對線1100、1102及1104之部分判定假想中心線1108、1110及1112。另外,可針對多邊形1106判定假想中心線1114。可如本文中進一步描述般判定假想中心線。 由於可再現地判定設計中之經圖案化特徵之中心線且由於應能夠實質上可再現地判定輸出中之經圖案化特徵之中心線,故假想中心線可用以相對可再現地對準設計中之經圖案化特徵與輸出中之經圖案化特徵。舉例而言,如在圖12中所展示,中心線1008與1108之對準1200可用以可再現地對準設計中之線1000與輸出中之線1100。在另一實例中,中心線1010與1110之對準1202可用以可再現地對準設計中之線1002與輸出中之線1102。另外,中心線1012與1112之對準1204可用以可再現地對準設計中之線1004與輸出中之線1104。此外,中心線1014與1114之對準1206可用以可再現地對準設計中之多邊形1006與輸出中之多邊形1106。 當然,為對準設計之一部分中之特徵與量測子系統之輸出中之設計之相同部分中之特徵,並非為產生所有特徵之彼此對準而必須使該部分中之所有特徵之所有中心線彼此對準。舉例而言,在圖12中展示之實例中,設計中之多邊形之中心線與輸出中之多邊形之中心線之對準可用以產生針對多邊形以及設計之此部分中之剩餘特徵之精細設計至輸出對準。可再現地能夠對準設計中之特徵與量測子系統輸出中之特徵將改良使用對準之結果執行之量測之一致性。 在一進一步實施例中,(若干)量測之(若干)參數包含跨其等執行(若干)量測之一或多個尺寸之邊界。舉例而言,(若干)電腦子系統可經組態以用於量測界限之自動產生。可在運行時間時(在設置期間無需參數)針對各獨有位點自動判定量測界限。 在一些實施例中,可使用本文中進一步描述之中心線判定跨其等執行量測之尺寸之邊界。舉例而言,如在圖13中所展示,形成於一晶圓上之一設計之一部分可包含四個經圖案化特徵1300、1302、1304及1306,其等在圖13中展示為其等可形成於晶圓上且接著藉由量測子系統進行成像。可針對本文中進一步描述之特徵之每一者產生假想中心線1308、1310、1312及1314。亦可針對經圖案化特徵之間的空間產生假想中心線。可藉由設計中之兩個鄰近特徵之間的中點來界定針對該等空間之中心線。舉例而言,可基於特徵1300與任何其他鄰近特徵(例如,特徵1302)之中心線之間的中點而界定中心線1316。可基於特徵1302與此特徵之左側上且延伸超過特徵1300之任何其他鄰近特徵(在圖13中未展示)之中心線之間的中點而界定中心線1318。可基於特徵1304與任何其他鄰近特徵(例如,特徵1302及1306)之中心線之間的中點而界定中心線1320。可基於特徵1302與1306之中心線之間的中點而界定中心線1322。另外,可基於特徵1306與此特徵之右側上之任何鄰近特徵(在圖13中未展示)之中心線之間的中點而界定中心線1324。儘管圖13中展示之中心線描述為關於如在量測子系統輸出中出現之經圖案化特徵而界定,但中心線亦可或替代地基於如在設計本身中出現之經圖案化特徵而界定。另外,儘管經圖案化特徵之間的空間中之中心線在上文描述為基於經圖案化特徵中之中心線而界定,但該等空間中之中心線可基於經圖案化特徵之一些其他特性(例如,經圖案化特徵之邊緣)而界定。 經圖案化特徵之間的空間中之中心線接著可用作用於執行之經圖案化特徵之任何量測之邊界。舉例而言,如在圖13中所展示,若將針對經圖案化特徵1304量測此經圖案化特徵之一臨界尺寸(CD),則可沿著線1326之一者自經圖案化特徵之一側上之中心線1320之位置至經圖案化特徵之另一側上之中心線1320之位置且在實質上垂直於經圖案化特徵1304內之中心線1312之一方向上執行量測。以此方式,可沿正交於穿過經圖案化特徵之中心線之一方向上執行量測。儘管三個線1326在圖13中展示為表示可跨其等執行針對經圖案化特徵1304之不同量測之尺寸,但可在沿著經圖案化特徵內之中心線之任何適合位置處執行任適合數目個此等量測。另外,可在實質上平行於該等特徵之中心線之一方向上執行量測。舉例而言,如在圖13中所展示,可沿著線1328之一者執行量測,且儘管未在圖13中展示,但亦可藉由經圖案化特徵之間的空間中之中心線判定此等量測之邊界,如本文中進一步描述。此外,儘管在圖13中未展示,但跨其等執行量測之尺寸可與經圖案化特徵及/或經圖案化特徵之間的空間之中心線以除了正交以外之某一角度相交(例如,對於量測半徑、對於線端拉回量測、對於線端距離量測等)。 使用經圖案化特徵之間的空間中之中心線作為對經圖案化特徵執行之任何量測之邊界可有利地確保量測在經圖案化特徵之外部開始及結束,藉此確保跨經圖案化特徵之一整個尺寸執行量測且確保量測之邊界充分地在經圖案化特徵外部使得可以充分準確性及/或信心判定在量測期間產生之輸出中之經圖案化特徵之邊緣。例如,若一量測開始所處之邊界太靠近於一經圖案化特徵之邊緣,則輸出內之經圖案化特徵之邊緣之位置可容易與量測邊界混淆且/或可在量測邊界雜訊中丟失。然而,如本文所描述般使用經圖案化特徵之間的空間中之中心線來判定量測之邊界將實質上消除經圖案化特徵邊緣偵測中之任何此等誤差。 以一類似方式,若將針對兩個經圖案化特徵之間的一空間執行本文中所描述之量測(例如,欲量測兩個特徵之間的間隙),則可基於圍繞該空間之經圖案化特徵內之中心線而判定該量測之邊界。以此方式,該量測可在充分地超過該空間之邊緣之位置處開始及結束,藉此確保跨該空間之一整個尺寸執行該量測且確保可以相對高準確性及/或可信度判定該空間之邊緣。 在一項實施例中,該(等)量測包含自動判定在形成於樣本上之一或多個結構之一或多個邊緣之該(等)量測期間藉由偵測器產生之輸出中之位置。以此方式,本文中所描述之實施例可經組態以用於SEM邊緣位置之自動判定。在一些例項中,可使用本文中進一步描述之1D梯度量變曲線判定邊緣位置。舉例而言,可藉由發現一1D梯度量變曲線內之最強正或負梯度峰值而自動判定邊緣位置。換言之,可選擇1D梯度量變曲線中之峰值點作為邊緣位置。接著可基於邊緣位置判定特徵之一CD或其他屬性。舉例而言,可藉由使用正交於經繪製穿過結構之中心之一線之1D梯度量變曲線之正/負梯度峰值、零交叉或負/正梯度峰值定位頂部、中間或底部邊緣位置而判定頂部、中間或底部CD。然而,除了使用梯度量變曲線以外,亦可使用其他量測演算法來定位邊緣。 在另一實施例中,該(等)電腦子系統經組態以用於基於該(等)量測之結果而自動產生用於ROI之第一子集及第二子集之一者之一或多個屬性。以此方式,本文中所描述之實施例可經組態以用於自動產生用於每一ROI之量測統計及屬性。每一ROI之量測統計可獨立於每一其他ROI之計量結果而判定。可使用對一ROI之多個量測產生各種量測統計(例如,最大值(Max)、最小值(Min)、均值(Mean)、平均值(Average)、中值(Median)、標準偏差(Standard Deviation)、範圍(Range)及總和(Sum))。在另一實例中,該(等)電腦子系統可經組態以用於自動產生其他屬性,諸如形成於一晶圓上之一經圖案化結構之一維(1D)灰階量變曲線。1D灰階量變曲線可藉由沿著正交於穿過經圖案化結構之一中心線或平行於穿過經圖案化結構之中心線之一線產生之輸出而自動產生。該(等)電腦子系統亦可經組態以用於自動產生1D梯度量變曲線,該1D梯度量變曲線可藉由採取如上文所描述般判定之一1D灰階量變曲線之一梯度而自動產生。在一些例項中,一ROI內之多個量測可包含每個1D灰階或梯度量變曲線一個量測。量測統計可係關於實際CD、正△CD及負△CD,其中△CD提供相對於設計之CD量測。另外,可使用平行或正交於穿過一結構之一中心線之1D灰階量變曲線判定各種類型之基於灰階或梯度之屬性(諸如峰值局部灰度差、峰值正或負梯度等)。可使用本文中所描述之實施例判定之量測統計及/或屬性亦不限於本文中所描述者。 在一額外實施例中,一或多個電腦子系統經組態以用於基於一或多個量測之結果而自動產生用於第一子集及第二子集之一者中之ROI之多個例項之一或多個屬性,且比較用於多個例項之兩者或兩者以上之一或多個屬性之至少一者以識別多個例項之兩者或兩者以上中之離群點。以此方式,本文中所描述之實施例可經組態以用於跨一晶圓上之各個位點之量測統計及屬性之相對比較以判定離群點。可跨一晶圓上之各個位點比較用於ROI之各者之量測統計及屬性以判定離群點以用於缺陷偵測。 在一進一步實施例中,一或多個電腦子系統經組態以用於自動選擇設計中之一或多個對準位點,且計量程序包含判定在計量程序期間樣本上之一或多個對準位點之至少一者之一或多個位置,且基於樣本上之至少一個對準位點之一或多個位置而判定樣本上之第一子集及第二子集中之ROI之一或多者之一或多個位置。舉例而言,本文中所描述之實施例可經組態以用於利用實體設計分析自動產生對準位點(用於粗略對準)。在一此實例中,在計量計劃產生期間,對於每一FOV,該(等)電腦子系統可經組態以使用實體設計自動判定針對每一量測位點之(若干)獨有對準位點及(若干)自動聚焦位點。可使用設計分析演算法及軟體執行自動判定(若干)獨有對準位點及(若干)自動聚焦位點。 在一些實施例中,本文中所描述之系統可經組態以對包含量測子系統及電腦子系統中之至少一者之一計量工具執行每一FOV之計量計劃。在一項此實施例中,該系統可執行每一FOV之自動聚焦且接著每一FOV之錨定點對準。在一些此等例項中,該系統可自一設計資料庫提取針對錨定點及量測位點之設計縮略形式以用於自動聚焦及/或錨定點對準。該系統可進一步經組態以用於每一FOV之量測位點對準且執行用於量測位點之計量計劃,諸如在FOV內之(若干)ROI中執行選定類型之量測。該(等)電腦子系統接著可產生每一ROI之量測資料。 在一些實施例中,該計量程序包含僅基於在第一子集及第二子集中之ROI之一者中執行之一或多個量測而判定在該一個ROI中是否存在一缺陷。換言之,一ROI中之缺陷偵測可不基於在任何其他ROI中產生之輸出(在與ROI相同之晶粒中或在與該ROI所在之晶粒不同之一晶粒中)或使用此輸出產生之任何量測。舉例而言,可比較僅使用在一ROI中產生之輸出針對該ROI產生之一量測結果與一臨限值,且可將高於臨限值之任何量測結果判定為一缺陷,而可將低於臨限值之任何量測結果不判定為一缺陷(或反之亦然)。另外,可使用一個以上臨限值(例如,上臨限值及下臨限值)及/或任何其他適合缺陷偵測方法及/或演算法執行此類缺陷偵測。 以此方式,判定用於其之一或多個參數之計量程序可包含基於ROI之單個晶粒缺陷偵測。可執行此缺陷偵測以藉由在ROI位置處產生各種類型之屬性(例如,CD量測、梯度量值、局部灰度對比度等)而偵測各種缺陷類型(例如,圖案缺陷、缺失及/或欠填充磊晶層、矽鍺(SiGe)缺陷等)。 與本文中所描述之實施例相比,當前使用之用於基於ROI之單個晶粒缺陷偵測之方法使用一參考影像或參考輪廓(所獲取或產生)以用於缺陷偵測。與基於ROI之單個晶粒缺陷偵測相比,所獲取影像方法具有一半產出量。所產生影像或輪廓方法經受產生參考之複雜性及不準確性。 在一項實施例中,在ROI之第一及第二子集之一者中執行之一或多個量測包含ROI之一者相對於ROI之其他者之CD量測之CD量測。以此方式,針對其等判定一或多個參數之量測可係相對CD量測,其中可比較一給定晶圓上之一給定所關注圖樣(POI)之多個例項之CD。換言之,CD量測可係一相對量測而非一絕對量測。與本文中所描述之實施例相比,當前使用之用於相對CD量測之方法使用一CD-SEM工具,其中定義每一位點之多個ROI之配方設置係一非常費力且費時之程序,且故可針對CD量測量測每一位點之實質上有限數目個ROI及每一晶粒之有限數目個獨有位點。 在一額外實施例中,在ROI之第一子集及第二子集之一者中執行之一或多個量測包含ROI之一者相對於ROI之其他者之疊對量測之疊對量測。以此方式,判定用於其之一或多個參數之量測可係相對疊對量測。換言之,疊對量測可係一相對量測,而非一絕對量測。可在多重圖案化製造程序(例如,雙重圖案化、三重圖案化或四重圖案化)、間隔物間距分割製造程序等期間量測疊對誤差。另外,可量測形成於晶圓上之一當前層與形成於晶圓上之一先前層之間的疊對誤差。與本文中所描述之實施例相比,當前使用之用於相對疊對量測之方法使用一CD-SEM工具,其中用以定義每一位點之多個ROI之配方設置係一非常費力且費時之程序且因此可針對疊對量測而量測每一位點之實質上有限數目個ROI以及每一晶粒之有限數目個獨有位點。 在一些實施例中,該樣本包含一程序窗檢定(PWQ)晶圓,且該自動地產生包含基於設計及對樣本執行之一檢測程序之結果而自動地產生將在計量程序期間量測之ROI。以此方式,判定用於其之一或多個參數之量測可包含對PWQ晶圓上之圖案缺陷之自動化再檢測(例如,使用CD量測),可藉由一檢測工具(諸如商業上可購自KLA-Tencor之檢測工具之一者)執行之對晶圓之一PWQ檢測偵測該等圖案缺陷。在一些例項中,藉由PWQ檢測所偵測之缺陷可用作計量之熱點,且在計量熱點處執行之量測及偵測可用於改善PWQ窗(例如,針對其執行PWQ之程序參數之窗)。當前使用之用於圖案缺陷之自動化PWQ再檢測之方法執行藉由一PWQ檢測發現之圖案缺陷之手動或自動化基於設計之再檢測。手動方法係不準確且不可靠的(例如,一使用者可錯失完全圖案故障或可能無法辨別實質上細微(例如,3nm至7nm) CD變動),且基於設計之方法需要發現與計量步驟之間的配方設置。 可如在以下美國專利中所描述般執行PWQ檢測:2005年6月7日頒予Peterson等人之美國專利第6,902,855號、2008年8月26日頒予Peterson等人之美國專利第7,418,124號、2010年8月3日頒予Kekare等人之美國專利第7,769,225號、2011年10月18日頒予Pak等人之美國專利第8,041,106號以及2012年7月3日頒予Peterson等人之美國專利第8,213,704號,該等美國專利宛如全文陳述引用之方式併入本文中。本文中所描述之實施例可包含在此等專利中描述之(若干)任何方法之(若干)任何步驟且可如在此等專利中所描述般進一步組態。可如在此等專利中所描述般印刷一PWQ晶圓。 在一進一步實施例中,在對樣本執行之一製造程序之線內監測期間對該樣本執行計量程序。以此方式,判定用於其之一或多個參數之計量程序可包含在線內監測期間執行之一計量程序(亦即,對藉由一生產製造程序產生之一晶圓執行之量測)。可針對諸如閘臨界尺寸均勻性(CDU)量測、線邊緣粗糙度(LER)/線寬粗糙度(LWR)量測、CD/疊對量測等之量測執行此等計量程序。 在另一實施例中,自動地產生包含基於設計及對樣本執行之一檢測程序之結果而自動地產生將在計量程序期間量測之ROI。舉例而言,亦可針對藉由檢測所偵測之缺陷之位置執行線內監測,使得所偵測缺陷之位置基本上用作檢測導引之計量之「熱點」。在一些此等實施例中,計量之結果可與檢測之結果相關。舉例而言,在一些例項中,藉由檢測產生之一圖案保真度圖徵可與在計量期間執行之量測相關。 與本文中所描述之實施例相比,當前使用之用於線內監測期間之計量之方法使用一CD-SEM工具在特定計量目標(例如,印刷於晶圓上之刻劃線中)處執行CD/疊對量測,且由於配方設置在定義ROI中係相當費力的,因此不能夠自動地量測一晶圓上之數千個獨有位點。一些其他當前使用之用於線內監測之方法包含使用一SEM再檢測工具以自數百萬個熱點位置隨機地取樣若干位置以使用一晶粒至晶粒模式執行臨界點檢測(CPI)。然而,由於隨機取樣熱點位置,當前使用之方法可錯失實質上大數目個熱點缺陷。 在一額外實施例中,一或多個電腦子系統經組態以用於比較在ROI之第一子集及第二子集之一者中執行之一或多個量測與ROI之第一子集及第二子集之該一者之設計意圖且基於該比較之結果而修改一光學接近校正(OPC)模型。以此方式,可針對設計意圖之OPC模型驗證執行判定用於其之一或多個參數之計量程序。與本文中所描述之實施例相比,當前使用之用於對設計意圖之OPC模型驗證之方法使用一CD-SEM工具,其中用以定義每一位點之多個ROI之配方設置係一非常費力且費時之程序且因此可針對CD量測而量測每一位點之實質上有限數目個ROI以及每一晶粒之有限數目個獨有位點。對於OPC,需要自動地發現弱結構且立即及/或自動地設置及量測每一晶粒之數千個獨有位點。 在另一實施例中,一或多個電腦子系統經組態以用於基於一或多個量測而偵測ROI之第一子集及第二子集之一者中之缺陷且報告一或多個量測作為所偵測缺陷之缺陷屬性。以此方式,計量程序可包含報告圖案保真度量測作為藉由一再偵測演算法報告之缺陷位置處之缺陷屬性。與本文中所描述之實施例相比,當前使用之方法不報告量測統計作為缺陷屬性之部分且因此無法量化一圖案失真是否係一妨害、部分斷裂、完全斷裂、部分橋接或完全橋接。 本文中所描述之實施例具有優於當前使用之用於判定一計量程序之一或多個參數之方法之數個優點。舉例而言,本文中所描述之實施例提供一實質上快速自動化即時機構以產生數千個獨有位點之ROI且接著自動地產生用於跨各個位點之每一ROI之各個量測統計及屬性(使用一給定位點之SEM影像及實體設計縮略形式),其接著可用以服務本文中所描述之各個使用情形。 另一實施例係關於一種用於判定將對一樣本執行之一計量程序之一或多個參數之電腦實施方法。該方法包含上文描述之自動地產生及自動地判定步驟。 可如本文中進一步描述般執行該方法之步驟之各者。該方法亦可包含可由本文中所描述之量測子系統及/或(若干)電腦子系統或系統執行之(若干)任何其他步驟。自動地產生及自動地判定步驟係由一或多個電腦系統執行,該一或多個電腦系統可根據本文中所描述之實施例之任一者而組態。另外,可藉由本文中所描述之系統實施例之任一者來執行上文所描述之方法。 一額外實施例係關於一種非暫時性電腦可讀媒體,其儲存可在一電腦系統中執行以用於執行用於判定將對一樣本執行之一計量程序之一或多個參數之一電腦實施方法之程式指令。在圖14中展示一此實施例。特定言之,如圖14中所示,非暫時性電腦可讀媒體1400包含可在電腦系統1404上執行之程式指令1402。電腦實施之方法可包含本文中描述之(若干)任何方法之(若干)任何步驟。 實施諸如本文中描述之該等方法之方法之程式指令1402可儲存於電腦可讀媒體1400上。電腦可讀媒體可為一儲存媒體,諸如一磁碟或光碟、一磁帶或此項技術中已知的任何其他適當非暫時性電腦可讀媒體。 可以各種方式之任一者實施程式指令,包含基於程序之技術、基於組件之技術及/或物件導向技術等等。舉例而言,可視需要使用ActiveX控件、C++對象、JavaBeans、微軟基礎類別(「MFC」)、SSE (SIMD串流擴展)或其他技術或方法實施程式指令。 電腦系統1404可根據本文中描述之實施例之任一者組態。 本文中描述之額外實施例包含經組態以判定將對一樣本執行之一程序之一或多個參數之一系統。系統包含一量測子系統,該量測子系統可根據本文中描述之實施例之任一者組態。在一項實施例中,樣本包含一晶圓。在另一實施例中,樣本包含一倍縮光罩。晶圓及倍縮光罩可包含此項技術中已知之任何適當晶圓及倍縮光罩。 本文中進一步描述之實施例經組態用於用於自動化圖樣保真度評估及監測之區域(或ROI)產生。本文中描述之實施例可用於自動產生計量及/或檢測位點以用於半導體操作中之熱點監測。 圖樣保真度及疊對問題對於裝置良率變得愈加關鍵。傳統上,手動產生量測位點。舉例而言,當前及先前使用之用於熱點監測之方法已藉由手動努力驅動。在一個此實例中,針對給定熱點位置,出於計量目的,一使用者將必須手動繪製區域之一子集。雖然此方法可對有限數目個位點(例如,數十個位點)有效,但當將監測在熱點內具有數十個位點之數百個熱點位置時係不可行的。舉例而言,待監測之位點數目及圖樣類型正增大,且設置計量及檢測位點之手動方法係不夠的。特定言之,設置此數百個區域實質上費時且低效的。在一個此實例中,繪製數十個位點之計量位點(或定界框)可花費一小時。然而,繪製數百個位點之此等計量位點產生使用者疲勞且需要過多時間來產生計量配方。因此,現有手動方法具有限制。此外,SEM影像之視覺再檢測通常不足以從損壞圖樣中判定良好圖樣且亦缺乏量化能力來做出一目標決策。 需要監測實質上大量位點及需要圖樣保真度量化之SEM影像之組合推進本文中描述之新方法。另外,產品開發及監測需要實質上準確、自動化之計量位點放置及量測度量。 系統包含一或多個電腦子系統,其等可包含本文中描述之電腦子系統及電腦系統實施例之任一者。一或多個電腦子系統經組態用於判定一樣本上偵測到之一缺陷之一區域。可藉由檢測在樣本上偵測缺陷,檢測可包含光學檢測(例如,寬頻光學檢測或基於光散射之光學檢測)或基於電子束之檢測(例如,使用一SEM執行)。亦可藉由對樣本之一實體版本執行之其他程序(諸如計量)偵測缺陷。然而,可藉由對設計執行之一或多個程序偵測缺陷,諸如藉由模擬設計將如何形成於晶圓上(例如,微影模擬、蝕刻模擬等)。另外,缺陷可係樣本上之一使用者定義之位置,一使用者懷疑一缺陷可存在於該位置處或已知一缺陷存在於該位置處。 判定在樣本上偵測之缺陷之區域可包含缺陷中心之自動化識別,該缺陷可係本文中描述之缺陷之任一者,諸如藉由實體樣本之檢測偵測之一缺陷或藉由模擬識別之一熱點。接著可圍繞缺陷之經識別中心且以其為中心判定缺陷之區域。以此方式,該(等)電腦子系統可經組態用於一故障位點及其受影響區之自動區域定義。可如本文中描述般進一步判定缺陷之區域。 在一項實施例中,缺陷之區域藉由在缺陷之一影像中圍繞缺陷繪製之一定界框界定。舉例而言,一定界框可基於設計空間中之一缺陷位置而繪製。在一個此實例中,定界框可基於缺陷區域(例如,缺陷像素)判定。另外,可使用一技術(諸如設計規則檢查(DRC))來計算定界框。 在另一實施例中,缺陷之區域藉由在缺陷之一基於電子束影像中圍繞缺陷繪製之一自由形式區域界定。舉例而言,缺陷之區域可係一SEM影像之一「斑點」或受影響區域。類似「斑點」可基於其他類型之影像(諸如光學影像)判定。可藉由本文中描述之該(等)電腦子系統且以此項技術中已知之任何適當方式自動繪製自由形式區域。 一或多個電腦子系統亦經組態用於將缺陷之區域與樣本之一設計之資訊相互關聯。用於本文中描述之實施例中之樣本之設計可包含本文中描述之設計或設計資料之任一者。另外,用於本文中描述之實施例中之設計可包含適用於自動量測區域產生之任何設計佈局資料格式。此等格式包含開放格式(諸如GDS、OSASIS、文字)或專屬格式(諸如RDF (可購自KLA-Tencor))。可將適當資料格式或轉換格式饋送至本文中描述之自動計量/檢測區域產生器實施例中。 在一項實施例中,設計之資訊包含設計之一個以上層之資訊。舉例而言,設計之資訊可包含在其上偵測到缺陷之設計之層上方及/或下方之一層之資訊。以此方式,本文中描述之步驟或功能可相對於樣本之一設計之一個以上層執行。設計之一個以上層之資訊可包含本文中描述之設計資訊之任一者。 在另一實施例中,設計之資訊不包含不會印刷於樣本上之設計之特徵之資訊。舉例而言,設計之資訊較佳不包含包含於樣本之設計資料中但實際上不印刷於樣本上之特徵,諸如光學接近校正(OPC)特徵。以此方式,該設計之資訊可比在設計資訊包含OPC特徵及不會印刷於樣本上之其他特徵之資訊之情況下更準確地反映希望設計如何印刷於樣本上。 在一些實施例中,設計之資訊包含設計中之圖案化特徵之資訊,且相互關聯包含將缺陷之區域與設計中之圖案化特徵疊對。舉例而言,該(等)電腦子系統可經組態用於將一光學或基於SEM斑點與設計之資訊疊對以如本文中進一步描述般自動判定ROI且如本文中進一步描述般自動判定ROI之度量。將缺陷之區域與樣本之設計之資訊相互關聯可藉由以某一方式將設計對準於藉由量測子系統之偵測器產生之輸出而執行。在2010年3月9日頒予Kulkarni等人之美國專利案第7,676,077號中描述可用於將一量測子系統之輸出對準於一設計之方法及系統之實例,該案以宛如全文闡述引用的方式倂入本文中。將缺陷之區域與設計之資訊相互關聯亦可涉及判定設計中之缺陷之區域之設計資料空間座標。 在一項實施例中,設計之資訊包含藉由一或多個電腦子系統基於設計而非缺陷判定之設計中之額外ROI之資訊。以此方式,額外ROI可稱為「無監督ROI」,其中額外ROI僅基於設計判定且不藉由任何其他資訊(諸如缺陷資訊)「監督」或更改。舉例而言,該(等)電腦子系統可經組態以使用一設計佈局檔案而基於給定位置自動產生ROI。在無監督模式中,可基於設計節點判定臨界區。另外,可針對無監督模式中之一多邊形群組中之最弱點自動識別額外ROI。ROI類型可包含(但不限於)與空間、線及鄰近圖樣相關聯之位點。另外,判定藉由該(等)電腦子系統執行之額外ROI可包含用於疊對計量之自動化位點選擇。 額外ROI可使用若干設計分析工具(諸如本文中進一步描述者)之一或多者(或其等之一組合)來自動判定。雖然本文中進一步描述之設計分析工具當前未以此方式使用,但可修改工具及資料流以如本文中進一步描述般執行自動化計量位點產生。因此,本文中描述之實施例提供之前未嘗試自動化之一基本上新方法。舉例而言,作為本文中描述之實施例之一替代例,使用者可配備工具以容許針對給定熱點手動繪製所關注區域。然而,此係一實質上費時程序且實質上容易出錯。 在另一實施例中,設計之資訊包含藉由一或多個電腦子系統憑藉基於設計而非缺陷執行之圖樣匹配判定之設計中之額外ROI之資訊。舉例而言,實體圖樣匹配可包含使用設計中之多邊形之一些資訊來匹配設計中之其他多邊形之資訊。可如本文中進一步描述般執行此匹配。接著可基於圖樣匹配結果以任何適當方式判定額外ROI之資訊。 在一額外實施例中,設計之資訊包含藉由一或多個電腦子系統憑藉基於設計而非缺陷執行之幾何匹配判定之設計中之額外ROI之資訊。舉例而言,幾何匹配可包含使用一或多個規則來尋找設計之特定基於幾何形狀之特性之例項。一或多個規則可具有此項技術中已知之任何適當格式且可以此項技術中已知之任何方式產生或獲取。基於幾何形狀之特性可包含樣本之設計之任何基於幾何形狀之特性,諸如具有一特定形狀之幾何形狀或具有一特定尺寸、間距、週期、定向等之特定類型的幾何形狀。接著可基於幾何匹配以任何適當方式判定額外ROI之資訊。 在一些實施例中,設計之資訊包含藉由一或多個電腦子系統基於設計(而非缺陷)之單元資訊判定之設計中之額外ROI之資訊。可基於單元資訊藉由使用關於單元內之多邊形或結構之一些資訊判定額外ROI以識別額外ROI。單元資訊可包含於本文中描述之設計資料之任一者中或自設計資料之任一者獲取。接著可基於單元資訊以任何適當方式判定額外ROI之資訊。 在另一實施例中,設計之資訊包含藉由一或多個電腦子系統基於設計(而非缺陷)之一圖形表示之影像處理判定之設計中之額外ROI之資訊。設計資料可以任何適當方式轉換為設計之一圖形表示,且圖形表示可具有任何適當格式。接著可對圖形表示執行影像處理以識別具有特定影像特性之圖形表示之特定部分。接著可基於圖形表示以任何適當方式判定額外ROI之資訊。 該(等)電腦子系統亦可經組態以使用本文中描述之技術(諸如圖樣匹配、幾何匹配、單元資訊及影像處理)之兩者或兩者以上之一組合來界定ROI。 在一項實施例中,設計之資訊包含基於設計(而非缺陷)之熱點資訊判定之設計中之額外ROI之資訊。可以此項技術中已知之任何適當方式產生或獲取熱點資訊。在2010年3月9日頒予Kulkarni等人之美國專利案第7,676,077號中描述可用於產生或獲取熱點資訊之方法及系統之實例,該案以宛如全文闡述引用的方式倂入本文中。接著可基於熱點資訊以任何適當方式判定額外ROI之資訊。 在一進一步實施例中,設計之資訊包含基於設計(而非缺陷)中之熱點之資訊判定之設計中之額外ROI之資訊,且僅針對熱點之一者判定一個以上額外ROI。圖18繪示包含一個以上額外ROI之一熱點之一項實施例。舉例而言,如在圖18中展示,熱點1800可包含兩個較寬特徵1804之間的相對窄空間1802。因而,空間1802可係CD中之臨界空間。熱點1800亦包含與熱點中之其他特徵間隔開之相對窄線1806。因而,線1806可係CD中之臨界線。因此,此熱點可包含至少三個不同額外ROI。可僅針對空間1802產生一個額外ROI 1808。可僅針對線1806產生另一額外ROI 1810。可根據本文中描述之實施例之任一者判定額外ROI。 如本文中進一步描述,在一些例項中,該(等)電腦子系統可經組態以自動判定額外ROI。因此,本文中描述之實施例之一個優點在於一熱點(或有時稱為一設計中之一弱點)之子區域可出於計量監測目的而自動識別。換言之,本文中描述之實施例可經組態用於無監督監測一設計中之潛在較弱或最弱位點。針對可需要監測之一給定熱點可存在數十個區域,且本文中描述之該(等)電腦子系統可經組態以執行一自動化基於演算法之方法來識別此等區域。 一或多個電腦子系統進一步經組態用於基於相互關聯之結果判定缺陷之區域與設計之資訊之間的一空間關係。在一些實施例中,設計之資訊包含基於設計而非缺陷判定之設計中之額外ROI之資訊,且判定空間關係包含判定哪些額外ROI與缺陷之區域在空間上重疊。舉例而言,一旦缺陷之區域已與設計資訊相關聯,則可判定一空間關係,諸如設計資訊之哪些元素或哪一(些)額外ROI與缺陷之區域(至少部分)重疊,設計資訊之哪些元素或哪一(些)額外ROI最靠近缺陷之區域,設計之一或多個元素與缺陷之區域之間的一或多個距離,額外ROI之一或多者與缺陷之區域之間的一或多個距離等。空間關係可以任何適當格式表達,例如,表達為指示哪些設計元素或哪一(些)額外ROI與缺陷之區域(至少部分)重疊或最靠近缺陷之區域之一或多個識別符、缺陷之區域與設計資訊之一或多個特徵或一或多個額外ROI之間的一或多個尺寸等。 一或多個電腦子系統亦經組態用於基於空間關係自動產生待在針對樣本執行之一程序期間使用量測子系統量測之一ROI。以此方式,藉由該(等)電腦子系統執行之步驟之輸入資料可係設計佈局、缺陷位置(例如,故障圖樣位置)、熱點、已知及未知位置及對設計資訊執行之程序(例如,一基於影像之演算法),且接著可自動識別及產生量測區域或所關注區域。因而,該(等)電腦子系統可經組態以執行一監督模式,其中基於缺陷、故障圖樣位點或規定位置自動判定ROI。因此,本文中描述之ROI可稱為「經監督」ROI。以此方式,本文中描述之實施例可經組態用於判定用於檢測導引之計量(或本文中描述之另一程序)之ROI,且視情況執行檢測導引之計量(或本文中描述之另一程序)。經監督ROI類型包含(但不限於)與空間、線及鄰近圖樣相關聯之位點。因此,本文中描述之實施例之輸出可包含需要量測及/或檢測之ROI或監測區。接著,此等輸出可經變換以進行本文中描述之操作之一者(例如,計量、檢測或再檢測操作)。產生ROI步驟之結果可包含可用於識別樣本或另一樣本上之ROI之任何資訊(例如,一ROI名稱、數字或其他識別符、ROI之設計或樣本座標等)。 在一項實施例中,自動產生ROI包含選擇與缺陷之區域在空間上重疊之額外ROI之一者作為待針對缺陷量測之ROI。圖15繪示此自動產生ROI之一項實施例。舉例而言,如在圖15中展示,可將一設計(諸如設計縮略形式1500)之資訊輸入至(若干)電腦子系統。如在圖15中展示,設計縮略形式可包含表示設計中之特徵之數個不同多邊形。設計縮略形式1500中展示之陰影多邊形表示形成於樣本上之特徵,而非陰影區域表示特徵之間的空間。 該(等)電腦子系統可使用設計縮略形式中之資訊(及可能設計縮略形式自身)以基於已知圖樣保真度度量預定義額外ROI。換言之,可在任何給定程序中執行之量測類型可用於搜尋此等量測之潛在候選者之設計資訊。舉例而言,基於設計縮略形式資訊,可藉由該(等)電腦子系統基於線端拉回、寬度、空間、隅角等預定義ROI。在一個此實例中,若量測之類型包含寬度量測,則可搜尋經設計以具有低於一特定值之一寬度之特徵之設計資訊,此使其等比更寬之特徵更易於出現缺陷(例如,開口)。在圖15中展示之實施例中,可基於此等度量判定數個不同ROI。此等ROI可包含(例如)線端拉回ROI 1502、寬度ROI 1504、空間ROI 1506及隅角ROI 1508。由於在無用以監督ROI判定之任何其他資訊的情況下基於設計判定ROI,故ROI可係無監督的。 在一項實施例中,缺陷之區域藉由在缺陷之一基於電子束影像中圍繞缺陷繪製之一自由形式區域界定(例如,如本文進一步描述之一SEM斑點),設計之資訊包含基於設計而非缺陷判定之設計中之額外ROI之資訊(其可包含本文中描述之任何此資訊),判定空間關係包含判定哪一額外ROI與缺陷之區域在空間上重疊或最靠近缺陷之區域(此可如本文進一步描述般執行),且自動產生ROI包含將與缺陷之區域在空間上重疊或最靠近缺陷之區域之額外ROI之一者選擇或優先化為待針對缺陷量測之ROI。以此方式,可基於SEM位置(斑點)重選或優先化潛在計量位點,使得量測最可能的缺陷位點。舉例而言,關於ROI之資訊可與本文中描述之缺陷之區域(諸如來自樣本檢測或模擬之一缺陷區域或一SEM斑點)之一者組合。在一個此實例中,如在圖15中展示,SEM斑點1510可與上文描述之ROI (例如,ROI 1502、1504、1506及1508)之資訊疊對。如在圖15中進一步展示,其上疊對SEM斑點之設計之資訊可包含ROI之資訊而非設計縮略形式之圖案化特徵之資訊。然而,其上疊對SEM斑點之設計之資訊亦可包含圖案化特徵之資訊(諸如在設計縮略形式1500中展示之圖案化特徵)。與SEM斑點在空間上重疊或最靠近SEM斑點之ROI可接著經選擇或優先化為用於針對ROI執行之程序(例如,計量、檢測等)中之對應於SEM斑點之缺陷之ROI。舉例而言,如在圖15中展示,由於SEM斑點1510與寬度ROI 1504之一者重疊,故該ROI可經選擇用於或優先化為待針對對應於SEM斑點之缺陷執行之一量測。因此,可匯出僅該ROI 1504a之資訊作為ROI資訊1512以用於諸如本文中進一步描述之該等程序之一者之一程序中。然而,在將針對無監督ROI執行該程序之例項中,亦可匯出所有ROI之資訊用於該程序中。 在另一實施例中,自動產生ROI包含基於缺陷之區域與設計之資訊之間的空間關係更改缺陷之區域及將缺陷之經更改區域指定為ROI。舉例而言,該(等)電腦子系統可經組態用於藉由利用一規定位置與設計佈局之間的互動而自調整ROI之大小(校正ROI)。在一個此實例中,量測位點可藉由疊對設計佈局而自校正。舉例而言,該(等)電腦子系統可經組態用於藉由將一潛在缺陷點與設計佈局中之一線或空間疊對而自調整一ROI之大小。基於一缺陷或規定位點,可基於設計自動校正一計量區域。 在一項實施例中,設計之資訊包含設計中之圖案化特徵之資訊,且自動產生ROI包含基於缺陷之區域及鄰近於缺陷之區域之圖案化特徵判定ROI之一區域。鄰近於缺陷之區域之圖案化特徵可包含與缺陷之區域(部分或完全)重疊、與缺陷之區域間隔開但鄰近於該區域、係最靠近缺陷之區域之圖案化特徵、圍繞缺陷之區域等之圖案化特徵。舉例而言,本文中描述之實施例不僅可自動判定計量位點之放置,而且可基於缺陷位置及圍繞其之緊鄰多邊形重調計量區域之大小。基本上,使用檢測以識別圖樣變動或其他缺陷,使用SEM或其他量測子系統輸出以改良缺陷之確切位置,且藉由將設計納入考量而改良計量區域,其中基於多邊形自身之線、空間等之確切尺寸最佳化計量位點。可如本文中描述般進一步執行此判定ROI之區域。 在另一實施例中,設計之資訊包含設計中之圖案化特徵之資訊(其可包含本文中描述之任何此資訊),且自動產生ROI包含基於缺陷之區域或缺陷之區域附近之圖案化特徵之一或多者之一最小者判定ROI之一區域。舉例而言,本文中描述之實施例可經組態用於重調計量位點之大小至缺陷區域或缺陷附近之多邊形或空間之一最小者。可如本文中進一步描述般執行此重調計量位點之大小。 圖16繪示自校正ROI大小之一項實施例。舉例而言,諸如本文中描述之一樣本之檢測可偵測位置1600處之一橋接缺陷。接著,可針對該缺陷判定區域1602。在此例項中,可藉由基於設計空間中之一缺陷位置判定之一定界框界定區域。可如本文中描述般判定定界框。接著,可使定界框與設計之資訊疊對。舉例而言,如在圖16中展示,可使定界框與設計縮略形式1604疊對。在此設計縮略形式中,非陰影區域對應於包含於設計縮略形式中之圖案化特徵,而陰影區域對應於圖案化特徵之間的空間。接著,可執行一布林運算以自校正缺陷之區域之大小以配合圖案化特徵之間的空間(該區域與之重疊)之寬度。舉例而言,如在圖16中展示,可藉由減小區域1602之大小使得其在圖案化特徵之間的空間上方及下方不延伸超出該空間而將該區域之大小自校正至ROI 1606之大小。以此方式,藉由將設計資訊用作一「切割器」,缺陷之區域可經自校正以精確界定量測之區域,其接著可用作本文中描述之程序中之缺陷之ROI。 圖17繪示自校正ROI之大小之一項實施例。舉例而言, 諸如本文中描述之一樣本之檢測可偵測位置1700處之一開口缺陷及位置1702處之一線端短路缺陷。接著,可針對位置1700處之缺陷判定區域1704,且可針對位置1702處之缺陷判定區域1706。在此例項中,可藉由基於設計空間中之缺陷位置判定之一定界框單獨界定各缺陷位置之區域。可如本文中描述般判定定界框。接著,可使邊界框與設計之資訊疊對。舉例而言,如在圖17中展示,可使定界框與設計縮略形式1710疊對。在此設計縮略形式中,陰影區域對應於包含於設計縮略形式中之圖案化特徵,而非陰影區域對應於圖案化特徵之間的空間。接著可執行一布林運算以自校正缺陷之區域之大小以配合與其等在空間上重疊之圖案化特徵之寬度。舉例而言,如在圖17中展示,可藉由減小區域1704之大小使得其不延伸超出與其在空間上重疊之圖案化特徵而將該區域之大小自校正至ROI 1712之大小。另外,如在圖17中展示,可藉由減小區域1706之大小使得其不延伸超出與其在空間上重疊之圖案化特徵而將該區域之大小自校正至ROI 1714之大小。以此方式,藉由將設計資訊用作一「切割器」,缺陷之區域可經自校正以精確界定量測之區域,其接著可用作本文中描述之程序中之缺陷之ROI。 在一些實施例中,設計之資訊包含藉由一或多個電腦子系統基於設計而非缺陷判定之設計中之額外ROI之資訊,且在程序期間量測額外區之一或多者。舉例而言,如在本文中進一步描述,該(等)電腦子系統可經組態用於無監督ROI產生,其中僅基於設計資訊判定額外ROI。另外,該(等)電腦子系統經組態用於經監督ROI產生,其中基於與缺陷資訊組合之設計資訊判定該(等) ROI。以此方式,可自動識別(若干)已知缺陷區域(經監督)及所有潛在弱位點(無監督)之兩個位置。可在對樣本執行之相同程序中量測兩個類型之ROI。在一些此等例項中,該(等) ROI及額外ROI之資訊可包含可用於判定任何給定ROI是否係一經監督ROI或一無監督ROI之一些標記(例如,標籤、ID等)。 該(等) ROI及額外ROI可取決於ROI自身以任何適當方式量測。舉例而言,該(等)電腦子系統可經組態以判定待在該(等) ROI以及額外ROI中獨立且單獨執行之量測之一或多個參數。在一個此實例中,該(等) ROI之量測之該(等)參數可基於接近該(等) ROI之設計之(若干)部分之資訊(可能與缺陷之資訊組合)而判定,而額外ROI之量測之該(等)參數可僅基於接近額外ROI之設計之部分之資訊而判定。以此方式,用於(若干) ROI之量測之(若干)參數可不同於用於額外ROI之量測之(若干)參數。 在另一實施例中,一或多個電腦子系統經組態用於自動判定在使用量測子系統之程序期間在ROI中執行之一或多個量測之一或多個參數。該(等)電腦子系統可使用設計佈局及層感知區域來識別量測類型(或量測方法)。另外,該(等)電腦子系統可經組態以自動設置度量或如何量測各ROI。該(等)電腦子系統亦可經組態用於將計量指派至各自ROI以自動執行所有選定ROI之量測。一或多個參數可包含(若干)量測之任何參數,諸如量測方向、量測類型等。若針對一個以上ROI判定(若干)參數,則可基於ROI之量測類型(或量測方法)對ROI自動分組且可針對各類型產生一索引(例如,ID)。舉例而言,若使用相同量測類型量測兩個ROI,則此兩個ROI可獨立於其他ROI而分為一組,其他ROI可分組為一不同組之ROI。以此方式,本文中描述之實施例可經組態以使用用於搜尋(例如,設計、光學影像、SEM影像、圖樣匹配、幾何搜尋、單元資訊等)來識別ROI及將ROI指派至ROI類型以用於自動化產生度量之技術之一組合。 在一項實施例中,在線內監測對樣本執行之一製造程序期間對樣本執行程序。另外,系統可經組態用於基於本文中描述之ROI執行程序。以此方式,本文中描述之實施例可經組態以執行一自動化程序以識別ROI且基於ROI執行一程序(諸如本文中進一步描述之程序之一者)。因而,本文中描述之實施例可經組態用於完全自動化設置(例如,識別區域以監測等)及量化圖樣化保真度及變動。因此,本文中描述之實施例實現在半導體處理環境中監測圖樣保真度之總流程之一關鍵部分。亦可在各步驟使用一或多個演算法及工具平台調諧資料。 在另一實施例中,程序包含一計量程序,且系統經組態為一計量工具。以此方式,如本文中描述般識別之(若干) ROI可包含自動識別之計量位點。計量程序及計量工具可如本文中描述般進一步組態。 在一額外實施例中,程序包含一檢測程序,且系統經組態為一檢測工具。以此方式,如本文中描述般識別之(若干) ROI可包含自動識別之檢測位點。檢測程序及檢測工具可如本文中描述般進一步組態。舉例而言,圖1及圖2中展示之系統可經組態以藉由更改系統之一或多個參數而用於檢測而非計量。特定言之,圖1及圖2中展示之系統可經組態以使能量掃描遍及樣本且回應於偵測能量按低於將用於計量之解析度之一解析度及/或按高於將用於計量之速度之一速度產生輸出。更改圖1及圖2中展示之系統之參數(諸如解析度、速度等)可以此項技術中已知之任何適當方式執行。以此方式,圖1及圖2中展示之系統可經組態以在一相對短時間段內產生樣本之實質部分之輸出,其接著可藉由一或多個電腦子系統使用而以任何適當方式(例如,藉由將一或多個缺陷偵測演算法應用至輸出,其等可包含此項技術中已知之任何適當缺陷偵測演算法)偵測樣本上之缺陷。檢測程序及檢測工具可經組態用於樣本之基於光之檢測、樣本之基於電子束之檢測或系統之其他基於帶電顆粒之檢測。特定言之,如本文中進一步描述,圖1及圖2中展示之系統可經組態以產生具有光、電子或其他帶電顆粒之一樣本之輸出。 在一進一步實施例中,程序包含一缺陷再檢測程序,且系統經組態為一缺陷再檢測工具。程序亦可包含此項技術中已知之任何其他樣本分析程序。缺陷再檢測或其他分析程序及工具可如本文中描述般進一步組態。舉例而言,圖1及圖2中展示之系統可經組態以藉由更改系統之一或多個參數以用於缺陷檢視或其他分析而非計量,此可如上文進一步描述般執行,惟可執行更改以使系統適用於缺陷再檢測或其他分析而非更改圖1及圖2中展示之系統以用於檢測除外。缺陷再檢測或其他分析程序及工具可經組態用於樣本之基於光之缺陷再檢測或其他分析、樣本之基於電子束之缺陷再檢測或其他分析或系統之其他基於帶電顆粒之缺陷再檢測或其他分析。特定言之,如本文中進一步描述,圖1及圖2中展示之系統可經組態以產生具有光、電子或其他帶電顆粒之一樣本之輸出。 另一實施例係關於一種用於判定將對一樣本執行之一程序之一或多個參數之電腦實施方法。該方法包含判定上文描述之判定區域、使區域相互關聯、判定空間關係及自動產生ROI步驟之步驟。 可如本文中進一步描述般執行該方法之該等步驟之各者。該方法亦可包含可藉由本文中描述之量測子系統及/或(若干)電腦子系統或系統執行之(若干)任何其他步驟。藉由一或多個電腦系統執行判定區域、使區域相互關聯、判定空間關係及自動產生ROI步驟,該一或多個電腦電腦系統可根據本文中描述之實施例之任一者組態。儘管該一或多個電腦子系統在本文中描述為包含一量測子系統之一系統之部分,然該一或多個電腦子系統亦可經組態為並非包含處置樣本之一實體版本且對樣本之實體版本執行量測之能力之一計量、檢測、再檢測或其他系統之部分之(若干)獨立電腦子系統。另外,可藉由本文中描述之系統實施例之任一者執行上文描述之方法。 一額外實施例係關於一種非暫時性電腦可讀媒體,其儲存可在一電腦系統中執行以執行用於判定將對一樣本執行之一程序之一或多個參數之一電腦實施方法之程式指令。此實施例可如本文中進一步描述且如圖14中展示般組態。該電腦實施方法可包含本文中描述之(若干)任何方法之(若干)任何步驟。 在一些實施例中,藉由偵測器產生之輸出包含樣本之影像,藉由偵測器針對樣本上之不同區域產生不同影像,且使樣本上之多個圖案化特徵在不同影像中成像。如本文中進一步描述,偵測器可產生樣本之不同區域之影像。多個圖案化特徵可包含經設計以形成於一樣本(諸如一倍縮光罩或一晶圓)上之任何圖案化特徵。舉例而言,如本文中進一步描述,多個圖案化特徵可包含接點或線/空間對。 在一個此實施例中,一或多個電腦子系統經組態用於:自動定位不同影像中之多個圖案化特徵;判定不同影像中之經定位多個圖案化特徵之一或多個特性;及判定針對不同影像中之經定位多個圖案化特徵所判定之一或多個特性之一或多個統計資料。舉例而言,本文中描述之實施例可使用一演算法對一影像處理工具執行,該影像處理工具在SEM再檢測影像中讀取且輸出此等影像中之圖案化特徵之經判定CD或其他特性之量測及統計資料。取決於待量化之圖樣類型及/或缺陷類型(諸如接點陣列或線-空間圖樣),可使用任何若干方法來處理影像。儘管在本文中關於CD描述一些實施例,但應理解,CD可用圖案化特徵之任何其他適當特性取代且該等實施例可以與本文中描述相同之方式起作用。 可如本文中進一步描述般(藉由將影像中之圖案化特徵對準於一參考中之圖案化特徵)或以任何其他方式執行自動定位不同影像中之多個圖案化特徵。可如本文中進一步描述般或以此項技術中已知之任何其他適當方式判定不同影像中之經定位多個圖案化特徵之一或多個特性。可如本文中進一步描述般或以此項技術中已知之任何其他適當方式執行判定一或多個特性之一或多個統計資料。一或多個統計資料可包含本文中描述之統計資料之任一者(諸如平均值)或任何其他適當統計資料(諸如中位數、標準差、平均值及類似物)。 在一個此實例中,可依序讀取影像。一影像處理演算法可自動定位任何所關注特徵。舉例而言,影像中之每一接點之位置或每一線空間對之位置可藉由該(等)電腦子系統自動定位。接著,演算法可量測每一特徵之CD且可顯示每一影像之結果。在量化所有影像結束時,CD量測之詳細統計資料可經保存以供使用者進一步分析。在接點陣列之實例中,待量測之量可係影像中之每一接點之X及Y中之直徑以及所有接點之間的距離(即,單元大小)。該(等)電腦子系統接著可透過影像中之接點之一者產生一影像強度量變曲線,自此可量測接點孔之直徑。舉例而言,一個接點孔之影像中之灰度可根據跨接點孔之一個直徑之位置繪製。量變曲線之半高全寬(FWHM)接著可經量測且判定為接點孔之直徑。 當需要一新的圖樣類型或控制量時,本文中描述之實施例實質上係靈活的且可容易添加新的演算法。將影像以及任何相關量測圖樣屬性顯示給使用者。接著可保存此等量測及屬性以供進一步使用。可藉由新的缺陷屬性對影像分類且可將所得統計分佈併入至初始光學檢測之結果檔案中。 在一些實施例中,不同區域包含樣本上之區域(在樣本上偵測且針對缺陷再檢測選擇之缺陷定位於該等區域中),且在針對缺陷執行之一缺陷再檢測程序期間藉由量測子系統產生不同影像。舉例而言,在晶圓檢測期間,可在樣本上偵測缺陷。接著,針對缺陷再檢測選擇缺陷之一樣品。缺陷再檢測涉及僅在樣本上一缺陷所處之區域處獲取樣本之影像。因此,在缺陷再檢測中,針對包含於一樣品中之各缺陷,可在樣本上之離散位置處(一次一個缺陷位置)獲取一系列影像。本文中描述之實施例可使用如本文中進一步描述之該等影像來判定不同影像中之圖案化特徵之一或多個特性。因而,本文中描述之實施例可僅使用在缺陷再檢測期間僅在先前在樣本上偵測之缺陷之位置處產生之影像(而不產生或獲取任何額外影像)來判定影像中之圖案化特徵之(若干)特性。 在一項實施例中,多個圖案化特徵包含接點。接點可包含用於製造樣本上任何類型裝置之任何類型設計之任何類型接點。在另一實施例中,量測子系統經組態為一電子束顯微鏡。以此方式,本文中描述之實施例可經組態用於使用藉由一SEM或其他適當電子束顯微鏡(其可如本文中進一步描述般組態)產生之影像來量測接點。在一些實施例中,一或多個特性包含接點之一臨界尺寸(CD)。接點之CD可包含接點之一直徑或接點之任何其他適當CD。 在一個此實例中,使用一實質上高解析度SEM再檢測工具再檢測藉由一光學缺陷尋找設備尋找之缺陷。在此等再檢測影像中,可實質上準確地量測缺陷大小及其他影像特徵及CD。本文中描述之實施例容許使用者有效地掃描遍及實質上大量此等SEM再檢測影像且自動量測此等影像內之許多CD,從而將回饋給予光學檢測工具。舉例而言,在含有數百個接點之SEM影像中,可量測所有接點之直徑以量化程序步驟之一致性,以及量測所有接點之間的距離,此可在雙重或多重圖案化程序步驟的情況中量化疊對之準確度。雙重或多重圖案化步驟一般涉及在不同程序步驟中將一單一層之不同部分印刷於一樣本上。因此,可使用本文中描述之實施例有效且準確地判定在一個程序步驟中印刷於樣本之一個層上之第一特徵相對於在另一程序步驟中印刷於樣本之相同層上之特徵之定位之定位。本文中描述之實施例顯著縮短在初始光學缺陷尋找設備上產生結果之時間。 在一特定實例中,本文中描述之實施例應用於具有程式化缺陷之一接點層。在一散佈圖中量測且繪製所有接點直徑。特定言之,產生一影像中之所有接點之X及Y維度之一散佈圖。由一收縮接點構成之一程式化缺陷容易突出。舉例而言,接點直徑之「雲」之大小給出對接點大小之準確度及可重複性之一即時視覺回饋。任何統計離群點(例如,一收縮接點)可以某一方式(例如)使用一色彩(諸如紅色)標記,且可在光學檢測中偵測為一缺陷。直徑正常接點之展開度(標準差)設定哪些缺陷大小可在自然發生之程序變動外部偵測之一限制。另外,產生1000個影像中之所有接點大小之一直方圖分佈。可在一實質上短時間量內實質上準確地執行100,000個量測。 SEM再檢測影像通常已用於量化先前藉由一檢測工具偵測之一缺陷。本文中描述之實施例提供特徵及CD (諸如SEM再檢測影像中之實質上大量接點直徑及單元大小)作為缺陷偵測程序之一整合部分。此能力在程序控制中係有價值的,從而將CD量測資訊回饋至光學檢測工具之缺陷偵測程序。此能力可擴展至SEM影像中之許多不同特徵量測。此能力顯著縮短缺陷偵測程序產生結果之時間。 與本文中所描述之實施例相比,潛在缺陷區域之相對大量SEM影像可從一晶圓上之許多位置收集。應用工程師接著可在一電腦螢幕上顯示此等影像之各者且判定影像中是否存在一缺陷。藉由圍繞一缺陷繪製一框而量測該缺陷之大小且計數兩個維度上之像素係簡單的,但以此方式量測影像中之許多(數百個)特徵之CD係不切實際的。通常,量測僅執行至整數個像素之一精確度。因此,關於CD之統計資料保持稀疏且並非相對準確。 因此,相較於本文中所描述之實施例,上文描述之當前使用之方法具有數個缺點。舉例而言,SEM音訊之手動分類係實質上勞動密集型且耗時的。隨著設計規則收縮,一給定層之程序變動變為關鍵變量。在分配時間內,工程師可僅量測一影像中或一組影像中之實質上有限數目個CD。量測之準確度可係使用者相依的,且在存在影像雜訊的情況下,此等量測可係主觀的且反復變化。 在一進一步實施例中,多重圖案化特徵包含線及空間對。舉例而言,可藉由沿著溝槽量測每一像素處之線-間距而檢查具有垂直線-空間圖樣之影像。可產生針對線-空間圖樣判定之尺寸之一散佈圖。使用此一散佈圖,可如上文描述般識別任何離群點線-空間。可針對溝槽寬度或深度(諸如平均寬度、最小深度、最大深度、最小寬度及最大寬度)之各種統計資料根據溝槽寬度產生溝槽深度/對比度之另一圖,其等可基於針對實質上大量影像(例如,1000個影像)中之線-空間量測之尺寸而判定。 在一額外實施例中,一或多個電腦子系統進一步經組態用於基於經判定之一或多個統計資料而對多個圖案化特徵自動分類。舉例而言,本文中描述之實施例可經組態用於使用SEM再檢測影像進行自動接點分類。接點可自動分類為缺陷(例如,收縮接點、放大接點、錯位接點等)或可基於經判定之一或多個統計資料以某一其他方式分類。 本文中描述之實施例具有優於用於量測圖案化特徵之特性之其他方法及系統之數個優點。舉例而言,隨著設計規則收縮,印刷特徵中之實質上小像差(諸如線邊緣粗糙度(LER)或接點大小)變為關鍵量測,其等(並非僅缺陷自身)在程序控制中係重要的。本文中描述用於量測CD之實施例不需要一專用CD工具且係比SEM再檢測影像中之CD之人為量測更快且更準確之數量級。因此,本文中描述之實施例相對於使用SEM再檢測工具成像之圖樣中之CD之手動量測及分類可節省大量時間。結果係更可重複的且並非使用者相依。因此,量測係更準確且可重複。由於可在實質上短時間量內量測缺陷及特徵,故實施例可係缺陷偵測程序及程序限定之一整合部分。另外,給予使用者關於一給定程序步驟之一致性之更佳統計資料,可處理更大樣品。另外,可將藉由本文中描述之實施例產生之結果回饋至光學檢測工具以用於進一步調諧檢測配方。此一組態可在特性化PWQ或FEM晶圓方面具有特定優點。 鑑於此描述,熟習此項技術者將瞭解本發明之多種態樣之進一步修改及替代實施例。舉例而言,提供用於判定將對一樣本執行之一程序之一或多個參數之方法及系統。因此,將此描述理解為僅係闡釋性且係用於教示熟習此項技術者執行本發明之一般方式之目的。應瞭解,應將本文中展示且描述之本發明之形式視為目前較佳實施例。在受益於本發明之此描述之後,如熟習此項技術者將瞭解,元件及材料可取代本文中繪示且描述之彼等元件及材料,可顛倒部分及程序且可獨立利用本發明之某些特徵。可對本文中描述之元件做出改變而不脫離如以下發明申請專利範圍中所描述之本發明之精神及範疇。As used herein, the terms "design" and "design data" generally refer to the physical design (layout) of an IC and data derived from the physical design through complex simulations or simple geometry and Boolean operations. The physical design can be stored in a data structure, Such as a graphical data stream (GDS) file, Any other standard machine-readable files, Any other suitable files and a design database known in the art. A GDSII file is one of the types of files used for design layout data representation. Other examples of these files include GL1 and OASIS files and proprietary file formats (such as RDF data), It’s exclusive to California, Milpitas, Milpitas, KLA-Tencor. In addition, An image of one reticle and/or its derivative captured by the doubling reticle inspection system can be used as an "agent" or "agents" for the design. This doubled reticle image or one of its derivatives can serve as a replacement for the design layout in any of the embodiments described herein using a design. The design may include the co-owned U.S. Patent No. 7 issued to Zafar et al. on August 4, 2009. 570, No. 796 and the joint US Patent No. 7, issued on March 9, 2010 to Kulkarni and others, 676, Any other design materials or design information agents described in No. 077, The two patents are incorporated herein as if they were cited in full. In addition, Design data can be standard cell library data, Integrated layout data, Design information for one or more layers, Derivatives of design data and complete or partial chip design data. In some examples, Simulated or captured images from a wafer or reticle can be used as an agent for design. Image analysis can also be used as an agent for design analysis. For example, Polygons in a design can be extracted from an image printed on a wafer and/or a reticle, It is assumed that the image of the wafer and/or the reticle is captured with sufficient resolution to fully image the designed polygon. In addition, "Design" and "design data" described herein refer to a design process generated by a semiconductor device designer and can therefore be used well in the embodiments described herein before the design is printed on any physical wafer Information and data. Preferably, The term "design" or "physical design" as used herein refers to a design that would ideally be formed on a wafer. In this way, One of the designs or physical designs described herein will preferably not include features of the design that will not be printed on the wafer (such as optical proximity correction (OPC) features), It is added to the design to enhance the printing of features on the wafer without actually printing its own. In this way, In some embodiments, The design of the sample used in the automatic generation and automatic determination steps described further herein does not include features of the design that will not be printed on the sample. One of the "design" and "design data" described in this article may include data and information related to the physical intent of the device formed on the wafer, These may include any of the various types of designs and design materials described above. A "design" and "design data" may or may alternatively contain data and information related to the electrical intent of the device formed on the wafer. This information and data may include, for example, a wiring diagram and SPICE nomenclature and/or a "comment layout" (for example, The design includes the parameter marking of the electrical wiring comparison table). This data and information can be used to determine which parts of a layout or wafer image are critical in one or more electronic aspects. Refer now to the diagram, It should be noted that The figure is not drawn to scale. In particular, To a large extent, the scale of some components in the drawing is enlarged to emphasize the characteristics of the components. It should also be noted that The figures are not drawn to the same scale. The same element symbols have been used to indicate elements that can be similarly configured and shown in more than one figure. Unless otherwise stated in this article, Otherwise, any elements described and shown may include any suitable commercially available elements. An embodiment relates to a system configured to determine one or more parameters of a metrology procedure to be performed on a sample. In one embodiment, The sample contains a wafer. In another embodiment, The sample contains a double reticle. The wafer and the reticle can include any wafer and reticle known in the art. An embodiment of this system is shown in FIG. 1. The system includes a measurement subsystem, The measurement subsystem includes at least one energy source and a detector. The energy source is configured to produce energy directed to a sample. The detector is configured to detect energy from the sample and produce an output in response to the detected energy. In one embodiment, The energy directed to the sample contains light, And the energy detected from the sample includes light. E.g, In the embodiment of the system shown in Figure 1, The measurement subsystem 10 includes an illumination subsystem configured to direct light to the sample 14. The lighting subsystem includes at least one light source. E.g, As shown in Figure 1, The lighting subsystem includes a light source 16. In one embodiment, The lighting subsystem is configured to direct light to the sample at one or more angles of incidence that may include one or more tilt angles and/or one or more normal angles. E.g, As shown in Figure 1, The light from the light source 16 is guided through the optical element 18 and then through the lens 20 to the beam splitter 21, The beam splitter 21 guides light to the sample 14 at a normal incidence angle. The angle of incidence may include any suitable angle of incidence, It may vary depending on, for example, the characteristics of the sample and the defects to be detected on the sample. The lighting subsystem can be configured to direct light to the sample at different angles of incidence at different times. E.g, The measurement subsystem can be configured to change one or more characteristics of one or more elements of the lighting subsystem, This allows light to be directed to the sample at an incident angle different from that shown in FIG. 1. In one such instance, The measurement subsystem can be configured to move the light source 16, Optical element 18 and lens 20, This allows light to be directed to the sample at a different angle of incidence. In some examples, The measurement subsystem can be configured to direct light to the sample at more than one angle of incidence at the same time. E.g, The lighting subsystem may contain more than one lighting channel, One of these lighting channels may include a light source 16, as shown in FIG. 1, Optical element 18 and lens 20, And the other of these lighting channels (not shown) may include similar elements that may be of different or the same configuration, Or it may include at least one light source and possibly one or more other components (such as components further described herein). If this light is directed to the sample at the same time as the other light, Then one or more characteristics of the light directed to the sample at different incident angles (for example, wavelength, Polarized light, etc.) can be different, This makes it possible to distinguish light from the illumination of the sample at different angles of incidence at the detector(s) from each other. In another example, The lighting subsystem may contain only one light source (eg, Source 16 shown in Figure 1) and the light from the light source can be divided into different optical paths (e.g., by one or more optical elements (not shown) of the illumination subsystem Based on wavelength, Polarized light, etc.). then, The light in each of the different optical paths can be directed to the sample. Multiple lighting channels can be configured to be at the same time or different times (for example, When different illumination channels are used to sequentially illuminate the sample) guide light to the sample. In another example, The same illumination channel can be configured to direct light with different characteristics to the sample at different times. For example, In some examples, The optical element 18 can be configured as a spectral filter and can be in many different ways (for example, By changing the spectral filter, changing the properties of the spectral filter allows different wavelengths of light to be directed to the sample at different times. The lighting subsystem may have any other suitable configuration known in the art for guiding light having different or the same characteristics to the sample sequentially or simultaneously at different or the same angle of incidence. In one embodiment, The light source 16 may include a broadband plasma (BBP) light source. In this way, The light generated by the light source and directed to the sample may include broadband light. however, The light source may include any other suitable light source (such as a laser). The laser can include any suitable laser known in the art and can be configured to produce light of any suitable wavelength or wavelength known in the art. In addition, The laser can be configured to produce monochromatic or near-monochromatic light. In this way, The laser can be a narrow-band laser. The light source may also include a multi-color light source that generates light at multiple discrete wavelengths or wave bands. The light from the optical element 18 can be focused to the beam splitter 21 by the lens 20. Although the lens 20 is shown as a single refractive optical element in FIG. 1, But understand, In practice, The lens 20 may include several refractive and/or reflective optical elements that collectively focus light from the optical elements to the sample. The lighting subsystem shown in FIG. 1 and described herein may include any other suitable optical elements (not shown). Examples of such optical elements include (but are not limited to) (several) polarizing components, (Several) spectral filters, (Several) space filters, (Several) reflective optical elements, (Several) apodizers, (Several) beam splitter, The aperture(s) and the like may include any such suitable optical elements known in the art. In addition, The system may be configured to change one or more elements of the lighting subsystem based on the type of lighting used for metering. The measurement subsystem may also include a scanning subsystem configured to cause light to scan throughout the sample. For example, The measurement subsystem may include a stage 22 on which the sample 14 is placed during measurement. The scanning subsystem may include any suitable mechanical and/or robotic assembly (including stage 22) that may be configured to move the sample so that light can be scanned throughout the sample. Additionally or alternatively, The measurement subsystem may be configured such that one or more optical elements of the measurement subsystem perform a certain scan of light throughout the sample. The light can be scanned throughout the sample in any suitable manner. The measurement subsystem further includes one or more detection channels. At least one of the one or more detection channels includes a detector, The detector is configured to detect light from the sample due to illuminating the sample by the measurement subsystem and generate an output in response to the detected light. For example, The measurement subsystem shown in Figure 1 includes two detection channels, A detection channel consists of a light collector 24, The element 26 and the detector 28 are formed and another detection channel is formed by the light collector 30, The element 32 and the detector 34 are formed. As shown in Figure 1, The two detection channels are configured to collect and detect light at different collection angles. In some examples, One detection channel is configured to detect specularly reflected light and the other detection channel is configured to detect specular reflection not from the sample (eg, scattering, Diffraction, etc.) light. however, Two or more detection channels can be configured to detect the same type of light from the sample (eg, Specular reflection light). Although FIG. 1 shows an embodiment of a measurement subsystem including two detection channels, However, the measurement subsystem may include a different number of detection channels (for example, Only one detection channel or two or more detection channels). Although each collector is shown as a single refractive optical element in FIG. 1, But understand, Each light collector may include one or more refractive optical elements and/or one or more reflective optical elements. The one or more detection channels may include any suitable detector known in the art. For example, The detector can include a photomultiplier tube (PMT), Charge-coupled device (CCD) and time-lapse integration (TDI) cameras. The detector may also include any other suitable detector known in the art. The detector may also include a non-imaging detector or an imaging detector. In this way, If the detector is a non-imaging detector, Each detector can be configured to detect certain characteristics (such as intensity) of scattered light but cannot be configured to detect such characteristics that vary according to the position in the imaging plane. thus, The output generated by each detector included in each detection channel of the measurement system may be a signal or data, It is not an image signal or image data. In these examples, A computer subsystem (such as the computer subsystem 36 of the system) can be configured to generate an image of the sample from the non-imaging output of the detector. however, In other examples, The detector may be configured as an imaging detector configured to generate imaging signals or image data. therefore, The system can be configured to generate the images described herein in several ways. It should be noted that Figure 1 is provided herein to generally depict a configuration of a measurement subsystem that may be included in the system embodiments described herein. Obviously, The measurement subsystem configuration described in this article can be modified to optimize the performance of the system as it is normally performed when designing a commercial measurement system. In addition, Existing metrology systems such as SpectraShape series tools and Archer series tools commercially available from KLA-Tencor (eg, The system described herein is implemented by adding the functionality described herein to an existing metrology system. For some of these systems, The method described in this article can provide optional functionality for the metrology system (eg, In addition to other functionalities of the metering system). Alternatively, The measurement system described in this article can be designed "from scratch" to provide a completely new measurement system. The computer subsystem 36 of the system can be in any suitable way (for example, Via one or more transmission media, The one or more transmission media may include "wired" and/or "wireless" transmission media) a detector coupled to the measurement subsystem so that the computer subsystem can receive the output generated by the detector during the scanning of the sample. The computer subsystem 36 may be configured to use the output of the detector as described herein to perform a number of functions and any other functions described further herein. This computer subsystem can be further configured as described in this article. This computer subsystem (as well as other computer subsystems described herein) may also be referred to herein as (some) computer system. Each of the (several) computer subsystems or systems described in this article can take many forms, Contains a personal computer system, Video computer, Host computer system, workstation, Network equipment, Internet equipment or other devices. Generally speaking, The term "computer system" can be broadly defined to encompass any device that has one or more processors that execute instructions from a memory medium. The computer subsystem or systems may also include any suitable processor known in the art (such as a parallel processor). In addition, (Several) computer subsystems or systems may include a computer platform with high-speed processing and software (as a standalone tool or a network tool). If the system contains more than one computer subsystem, Then different computer subsystems can be coupled to each other, So that images can be sent between these computer subsystems, as described further in this article, data, News, Instructions etc. E.g, Computer subsystem 36 may be coupled to computer subsystem(s) 102 by any suitable transmission medium that may include any suitable wired and/or wireless transmission media known in the art (as shown by the dashed lines in FIG. 1) . Two or more of these computer subsystems can also be effectively coupled by sharing a computer-readable storage medium (not shown). Although the measurement subsystem is described above as an optical or light-based measurement subsystem, However, the measurement subsystem may be an electron beam-based measurement subsystem. E.g, In one embodiment, The energy directed to the sample contains electrons, And the energy detected from the sample contains electrons. In this way, The energy source may be an electron beam source. In this embodiment shown in FIG. 2, The measurement subsystem includes an electronic column 122 coupled to the computer subsystem 124. Also shown in Figure 2, The electron column includes an electron beam source 126 configured to generate electrons focused by one or more elements 130 onto the sample 128. The electron beam source may include, for example, a cathode source or an emitter tip, And one or more elements 130 may include, for example, a shot lens, An anode, A beam limiting aperture, A gate valve, A beam of current selection aperture, An objective lens and a scanning subsystem, All of them may include any such suitable elements known in the art. Electrons returned from the sample (for example, Secondary electrons) can be focused to the detector 134 by one or more elements 132. One or more elements 132 may include, for example, a scanning subsystem, The scanning subsystem may be the same scanning subsystem contained in the element(s) 130. The electron column may contain any other suitable components known in the art. In addition, May be as No. 8 of US Patent issued to Jiang et al. on April 4, 2014 664, No. 594, No. 8 of U.S. Patent issued to Kojima et al. on April 8, 2014 692, No. 204, US Patent No. 8 issued on April 15, 2014 to Gubbens and others, 698, No. 093 and US Patent No. 8 issued to MacDonald et al. on May 6, 2014 716, Further configure the electronic column as described in No. 662, These patents are incorporated herein as if cited in full text. Although the electron column is shown in FIG. 2 as configured such that electrons are directed to the sample at an oblique incidence angle and scattered from the sample at another oblique angle, But understand, The electron beam can be directed to and scattered from the sample at any suitable angle. In addition, The electron beam-based measurement subsystem can be configured to use multiple modes to generate the image of the sample (eg, Use different lighting angles, Collect corners, etc.). The multiple modes of the measurement subsystem based on the electron beam may differ in any image generation parameters of the measurement subsystem. As described above, The computer subsystem 124 may be coupled to the detector 134. The detector can detect the electrons returned from the surface of the sample, This forms an electron beam image of the sample. The electron beam images may include any suitable electron beam images. The computer subsystem 124 may be configured to use the output of the detector and/or the electron beam image to perform any of the functions described herein. The computer subsystem 124 may be configured to perform any additional step(s) described herein. A system including the measurement subsystem shown in FIG. 2 can be further configured as described herein. note, 2 is provided herein to generally illustrate a configuration of an electron beam-based measurement subsystem that may be included in the embodiments described herein. Like the optical measurement subsystem described above, The configuration of the electron beam-based measurement subsystem described in this article can be modified to optimize the performance of the measurement subsystem as it is normally performed when designing a commercial measurement system. In addition, An existing metrology or high-resolution defect re-inspection system such as one of the tools commercially available from KLA-Tencor's eDR-xxxx series (eg, The system described herein is implemented by adding the functionality described herein to an existing metrology system. For some of these systems, The methods described in this article can provide optional functionality for the system (eg, In addition to other functionalities of the system). Alternatively, The system described in this article can be designed "from scratch" to provide a completely new system. Although the measurement subsystem is described above as a measurement subsystem based on light or electron beam, However, the measurement subsystem may be an ion beam-based measurement subsystem. This measurement subsystem can be configured as shown in Figure 2, Except that any suitable ion beam source known in the art can be used in place of the electron beam source. In addition, The measurement subsystem can be any other measurement subsystem suitable for ion beam-based measurement, Such as those contained in commercially available focused ion beam (FIB) systems, Measurement subsystem in helium ion microscope (HIM) system and secondary ion mass spectrometer (SIMS) system. One or more computer subsystems included in the system embodiments described herein are configured to automatically generate concerns to be measured using the measurement subsystem during a measurement procedure performed on the sample based on a sample design Region (ROI). Because the ROI is determined based on the design of the sample, Therefore, ROI can be called "design-based ROI". In addition, The metrology procedure (for which one or more parameters are determined as described herein) can be referred to as a "design-driven metrology procedure". Figure 3 provides some context for the various terms (including ROI) used in this article. For example, FIG. 3 shows a field of view (FOV) 300 of a measurement subsystem centered on the measurement site 302 (such as one of the measurement subsystems described herein). The measurement site may be a site of a detected defect (detected by inspection and/or re-detection) or a sample site. Each FOV position on the wafer during a metrology process can be associated with only one of the measurement sites for which the metrology process will be performed. For example, During a measurement procedure, A scanning electron microscope (SEM) or other measurement subsystem can be driven from the measurement site to the measurement site. As also shown in Figure 3, Within FOV 300, Can locate multiple ROI 304, 306 and 308. Although three ROIs are shown in Figure 3, But any number of ROIs can exist in any FOV (ie, One or more ROI). As further shown in Figure 3, ROI can be located in various positions within FOV, And although the three ROIs are shown as not overlapping in FOV, But in some cases, ROI may overlap to some extent in FOV. Within each of the ROI, You can choose to perform at least one measurement, This can be automatically selected or determined as described further herein. Although FIG. 3 does not show any patterned features that will be formed in the area of the wafer positioned in the FOV shown in FIG. 3, However, the measurement will generally target one or more characteristics of the patterned feature. To show the different measurements that can be performed in different ROIs, Figure 3 abstractly depicts these different measurements as a double-headed arrow showing the size range and direction, These measurements can be performed across this dimension. For example, As shown in Figure 3, Measurement 310 may be performed in ROI 304 in one direction across only a portion of the entire size of one of the ROIs in that direction. Measurement 312 may be performed in ROI 306 across the entire size of one of the ROIs in that direction in a different direction. In addition, Measurements 314 and 316 can be performed across the ROI 308 in the vertical direction. Measurement 314 may be performed across only a part of the entire size of one of the measurement directions in the ROI, The measurement 316 can be performed across an entire size of the measurement direction in the ROI. therefore, As described further in this article, Different measurements can be performed in different ROIs, And the measurements performed in either ROI can be selected or determined as described further herein. One or more computer subsystems are also configured to automatically determine the first and One or more measurements of one or more parameters are performed in the second subset. One or more parameters of one or more measurements performed in the first subset are determined individually and independently of one or more parameters of one or more measurements performed in the second subset. In other words, One or more parameters can be determined for the first subset of ROI based on the portion of the design located only in the first subset, One or more parameters may be determined for the second subset of ROI based on the portion of the design located only in the second subset, and so on. In addition, Although some embodiments are described herein with respect to the first and second subsets, But understand, More than two subsets of ROI (eg, Two or more subsets of ROI) perform the step(s) performed by the computer subsystem(s). In addition, Each of the subset of ROIs may contain one or more ROIs. For example, The first subset of ROI can contain only one ROI, The second subset of ROI may include more than one ROI. In this way, The embodiments described herein are configured for automated pattern fidelity measurement plan generation. The embodiments described herein may also be configured to execute the generated pattern fidelity measurement plan. In one embodiment, Automatic generation and automatic determination are performed during the setting of the measurement program. In this way, Methods can include automatic ROI generation using the physical design of the wafer during setup. In addition, It can make the formula setting of the fidelity measurement of drawings completely automatic, This is because the ROI of thousands of unique sites can be automatically generated during the setup. In another embodiment, Automatic generation and automatic determination are executed immediately during the running time of the measurement program. In this way, The embodiments described herein can be configured for automated real-time pattern fidelity measurement plan generation. In addition, The method may include automatic ROI generation using the physical design of the wafer during runtime. The embodiments described herein can also generate a metrology measurement plan without prior knowledge of the structure to be measured. For example, The embodiments described herein do not need to use another system or method to perform functions on the information generated by the structure to be measured. therefore, The embodiments described herein provide several advantages over currently used methods and systems for measurement plan generation. For example, In the new program node, The deviation of the pattern detected by the inspection tool will require quantitative analysis to determine whether it satisfies the criterion of "defect". We cannot predict in advance where these defect candidates may appear, therefore, Need immediate automated measurement plan generation. In some embodiments, Automatically generate rule-based searches that include designs executed during the setup of the measurement program. For example, It can make the formula setting of the fidelity measurement of drawings completely automatic, This is because one of the physical designs of the wafer can be used during setup to automatically generate ROI for thousands of unique sites based on rule search. In this way, The embodiments described herein may be configured for automatic rule-based ROI generation. Applying the rules generated by ROI to a design can be implemented in several different ways. For example, A rule-based method may be a non-image processing method, The rules are applied to the design data to generate ROI. CAD software can be used to execute these applications. In another example, A method based on image processing can be used, It may include presenting the design data as an image and then using rules as input to generate ROI using image processing algorithms. In this way, Design data can be generated by design analysis software and/or algorithms for various types of consumption in order to use rules as input to generate ROI. In an embodiment of a rule-based search for automatically generating ROI, A rule can be generated for each measurement type. In other words, Rule 1 can be used for measurement type 1, Rule 2 can be used for measurement type 2 and so on. In addition, Each rule cannot be used for more than one measurement type. In this way, Each rule can define the characteristics of the pattern in the design to be formed on the wafer, These characteristics will make a measurement of one of the other measurement types applicable to the drawing. For example, A rule for a line width measurement type can be designed to identify a pattern or a portion of a pattern that has a substantially uniform size across a relatively large section of the pattern as a candidate for the line width measurement type. In some of these examples, The rules can be enforced for any one and/or owner of the patterns included in any one FOV. therefore, All rules can be executed on the basis of each FOV. Since each rule can identify the possible location of the type of measurement that the rule writes to, Therefore, each rule can identify several possible ROIs of the FOV, Each potential position of one measurement type corresponds to one of the ROIs. therefore, The result of applying each rule to each FOV may include one or more ROI positions in the FOV. thus, Applying multiple rules to each FOV can generate one or more ROI positions in each FOV, Some such positions may correspond to different measurement types. In some of these examples, Each of the ROI positions within the FOV can correspond to only one measurement of only one type. however, Multiple ROI positions within a FOV may overlap (partially or completely) with each other within the FOV (eg, It is possible when it is suitable to perform two different measurements of two different types in the same part of the FOV. In these examples, Each individual ROI of the overlapping ROI may correspond to only one measurement of only one type. In other words, There can be only one measurement type per ROI. therefore, To perform multiple measurements for a given ROI position, Can generate multiple ROIs, Where each ROI has the same ROI limit (or position, Coordinates, etc.) but each has a different type of measurement. all in all, therefore, For any measurement location on a wafer, A FOV can be specified for this measurement location. All rules can be run for each FOV. Since all rules are run, One or more ROIs of each rule of each FOV can be generated by one measurement of each ROI. The same steps can be repeated for each FOV/measurement site, Until all FOV/measurement sites have been processed. In one embodiment, One or more computer subsystems include a computer subsystem of an electronic design automation (EDA) tool. For example, For ROI generation at runtime, The method can use EDA solid design analysis tools or apply custom algorithm to solid design. In some of these examples, A design clip or another representation of the design can be automatically analyzed by physical design analysis software to determine the effective measurement within the design thumbnail or another representation of the design. In one such instance, For ROI generation at runtime, An algorithm can be based on whether a given segment of a pattern is straight/parallel (ie, (Two edges of a structure/pattern are parallel to each other), Bending (for example, The design is automatically segmented at a corner) or at the end of a line. The EDA tool may include any suitable commercially available EDA tool. In some such embodiments, One or more computer subsystems described in this article (for example, (Several) computer subsystem 102) can be configured as an EDA tool. In another embodiment, The automatic determination of one or more parameters for the first subset of ROI results in the execution of a first type(s) of measurements in the first subset of ROI, Automatically determining one or more parameters for the second subset of ROI results in performing a second type(s) of measurements in the second subset of ROI, And the measurements of the first and second types are different from each other. In this way, The method may include automatically determining the type of measurement during the ROI generation process. There can be one type of measurement for each ROI and can be automatically determined during the ROI generation process. thus, The embodiments described herein can be configured to automatically generate metrology plans with appropriate measurement types for each ROI. For example, Measurement plan generation may include automatic definition of ROI and measurement types for each FOV from physical design. The automatic definition of ROI and measurement types can be performed using design analysis algorithms and software. One or more parameters may also be included in the ROI where the type of measurement is to be performed. The location of the type of measurement to be performed in the ROI can be determined as described further herein. The metrology procedure described in this article can be performed to determine the difference between the pattern on a wafer and the pattern in the design. In particular, When the design is designed to be printed on a wafer, They are almost never printed exactly on the wafer as they were designed. Such differences between the designed pattern and the printed pattern can be attributed to the process used to print the pattern on the wafer, The inherent limitations of tools and materials and these procedures, Any errors in tools and materials. An example of how the pattern printed on a wafer may differ from the pattern as designed is shown in FIGS. 4 and 5. In particular, As shown in Figure 4, A design part 400 of a wafer (not shown in FIG. 4) may include three different patterns 402, 404 and 406. The pattern 402 may be an example of a line structure included in a design of a wafer. The pattern 404 can be included in an example of a contact structure in a design of a wafer, And the pattern 406 may be included in an example of a polygonal structure in a design of a wafer. Although some examples of structures included in a design of a wafer are shown in FIG. 4 (and other figures described herein), However, these examples are not intended to represent any particular design of any particular wafer. Instead, As the general technician will understand, The design of the wafer may include many different types of structures in many different configurations and in many different numbers. The structure shown in FIG. 4 (and other figures described herein) is only intended to illustrate some hypothetical wafer structures to further understand the various embodiments described herein. Attributable to the tools used to print the structure shown in the part 400, Inherent limitations of materials and procedures, These structures are not necessarily printed on the wafer when they are included in the design. For example, As shown in Figure 5, Replace the pattern 402 in the part 400 with a sharp 90-degree corner as shown in the design, 404 and 406, The pattern will have at least some rounded corners. In addition, Any of the structures may have a variation in size (such as the width at various points across the structure). For example, As shown in Figure 5, Compared to the design characteristics of the structure at multiple points across this structure, The pattern 406 has some line width variations. Therefore, the ROI and the measurement type of each ROI can be automatically selected as described herein based on the characteristics of the designed pattern (which may be combined with some prior knowledge about potential problems with the pattern). Several possible ROIs for the pattern shown in FIG. 5 are shown in FIG. 6. Although these possible ROIs are shown regarding the pattern shown in Figure 5, However, the ROI may actually be based on the design corresponding to the pattern shown in FIG. 5 (ie, Based on the pattern shown in Figure 4). In the embodiment shown in FIG. 6, The ROI 600 can be determined for the portion of the feature that is designed to have a substantially uniform size across the feature 602 and 604. For example, ROI 600 can be generated for a portion of the portion that is designed to have a substantially uniform size across feature 402, And ROIs 602 and 604 can be generated for those portions that are designed to have a substantially uniform size across features 406. The measurement type automatically selected for these ROIs can be a line width measurement, It can be used to detect necking or swelling in patterned features. Another ROI (ROI 606) can be automatically generated for a space between the two of these features (features 402 and 406), The space is designed to have substantially the same size across the ROI. The measurement type automatically selected for this ROI by the embodiments described herein may include a gap measurement (or a distance between two features or some statistical measure of distance). Gap measurement can be performed to detect bridging problems between two patterned features. The embodiments described herein may also be configured to automatically generate several ROIs at and/or near the end of one or more features. For example, As shown in Figure 6, ROI 608 and 610 can be automatically generated for the end of feature 402, Instead, ROIs 612 and 614 can be automatically generated for the end of feature 406. The measurement type selected for these ROIs can be the end of the line, The wire end is pulled back, Line end distance (for example, The distance between the two ends of a straight line) may be used to describe some other type of measurement of the relative position of the feature as designed to the end of the feature as printed. One or more ROIs can also be automatically generated for the corners of one or more patterned features in the design. For example, As shown in Figure 6, ROIs 616 and 618 may be generated for the corner of feature 406. The type of measurement selected for these ROIs can be curvature, radius, distance, The arc area may be some other type of measurement that can be used to describe the shape of the corner. Another ROI can be automatically generated for the contact patterned features in the design by the embodiments described herein. For example, As shown in Figure 6, ROI 620 may be generated for junction feature 404. The measurement type selected for this ROI can be diameter, width, height, radius, The area may be used to describe how the printed contact is different from another measurement type as the designed contact. Other measurement types that can be determined for a measurement procedure include tip-to-tip (one of the gaps between two wire ends), Tip-line (one of the gaps between the end of the line and the line is measured), Line length (measured as one of the length of a straight line) and corner to corner measurement. therefore, As described above, The embodiments described herein may be configured to perform design-based segmentation of at least a portion of a design of a wafer into an ROI for a metrology process. In addition, Some segments can include straight segments, Straight gap section, Line end, Corner segment and contact segment. The different segments and corresponding ROIs can be determined in the design in several different ways as described herein. For example, The segment or ROI can be determined by applying one or more rules to the design. In another example, It can be identified as passing through the hypothetical centerline of the patterned features in the design as described further herein (which is hypothetical in the sense that it is not part of the design or is not printed on the wafer), And then, These centerlines can be used to segment the patterned features into segments and/or ROIs. For example, The straight centerline passing through one of the patterned features can be used to identify the portion of the patterned feature through which the straight centerline extends as a straight line segment. In another example, The straight centerline passing through one of the spaces between the two patterned features can be used to identify the portion of the space through which the straight centerline extends as a straight gap segment. In an additional instance, A part of the patterned features where two straight lines meet at a 90-degree angle can be identified as a corner segment. An imaginary centerline can be used to identify other segments described herein in a similar manner. Once the various positions used in the metrology procedure have been determined (for example, Measuring the position of the site, Align the location of the site, Autofocus position, etc.), The metering recipe setting can include various additional steps, A physical wafer may be used to perform some of these additional steps on the metrology tool. For example, One or more positions can be located in one of the FOV of the measurement subsystem. Once one or more positions are located in the FOV of the measurement subsystem, You can use the measurement subsystem parameters (for example, Optics, The different values of electron beam or imaging parameters) produce the output of the measurement subsystem. then, The different outputs generated using different values of the parameters can be compared to determine which parameters are most suitable for the measurement procedure for one or more locations. In addition, Different measurement subsystem parameters can be selected for different locations to be measured in the same measurement program. For example, A set of measurement subsystem parameters that can be determined to be the best (and therefore selected) for a measurement type in a type of ROI, At the same time, it is possible to determine the best (and therefore selected) another different set of measurement subsystem parameters for another different measurement type in another different type of ROI. In a similar way, It can be determined on a position-by-position type basis that the computer subsystem(s) is applied to one or more methods and/or parameters of the output generated by the measurement subsystem /Or algorithms and/or different parameters of the same method(s) and/or algorithm(s) can be applied to the output generated at different types of locations on the wafer). In some embodiments, The computer subsystem(s) can be configured to determine the position on the sample during the metrology process by aligning the output of the detector with the design of the samples of the first and second subsets of the ROI. For example, The computer subsystem can be configured for automatic SEM to design fine alignment (eg, Use the geometry in FOV of SEM). Since global alignment does not ensure the alignment of the center line of the structure in the image generated by a measurement subsystem with the design structure, Therefore SEM to design fine alignment can be performed. In some embodiments where the output of the measurement subsystem is aligned with the design, The imaginary center line drawn through the output and patterned features in the design can be used for fine alignment (while the alignment marks described further herein can be used for global alignment of a wafer or one or more FOVs). 7 and 8 illustrate some problems that can occur when using the edges of the features in the output and design for alignment. For example, As shown in Figure 7, A part of a design may include two features (line 700 and polygon 702). In addition, A portion of the output generated by the measurement subsystem corresponding to the portion of the design may include output for two features (line 704 and polygon 706). The features in the design and the output of the measurement subsystem appear to be different due to printing the design on the wafer as described further above. The output of the measurement subsystem can be aligned using the edge-to-edge method at the upper or lower edge of a pattern of interest (eg, One SEM image) and one design. For example, As shown in Figure 7, If the lower edge 708 of the horizontal portion of polygons 702 and 706 is used for alignment, Then the line end measurement performed on the polygon 706 in the polygonal areas 710 and 712 will generate a measurement. however, As shown in Figure 8, If the upper edge 800 of the horizontal portion of polygons 702 and 706 is used for alignment, The line end measurement performed on the polygon 706 in the polygonal regions 710 and 712 will produce a different measurement. In this way, Depends on which edge of the polygon is used for design-to-output alignment, Line end measurement will produce different results, This is due to several obvious reasons (for example, The wire end pullback measurement system is inconsistent) and is unfavorable. therefore, Instead of using edge-to-edge alignment, The embodiments described herein may use the center of the features in the output and in the design to perform the alignment of the measurement subsystem output to the design. For example, As shown in Figure 9, If the centers of polygons 702 and 706 are used for alignment, Then one of the measurements different from the case of using any of the edge alignment methods described above will be generated for the line end measurement performed on the polygon 706 in the polygonal regions 710 and 712. however, Using the center of the feature to align the output of the measurement subsystem with the design will produce a more consistent alignment from ROI to one of the ROI, This provides substantially consistent measurements of ROI (eg, Corner measurement, Line end pull back measurement and width measurement). Alignment using the center of the feature instead of its edges can also improve the alignment robustness for severely distorted patterns and when the FOV does not have many features for aligning the pattern of interest. 10 to 12 illustrate how the center of the patterned features in a part of a design and in the output of the measurement subsystem can be used to align the design and the output. For example, As shown in Figure 10, A part of the design of a sample can contain four different features (line 1000, 1002 and 1004 parts and polygon 1006). As further shown in Figure 10, An imaginary centerline can be determined by the entirety of each feature part included in the design part. For example, Available for line 1000, 1002 and 1004 determine the imaginary centerline 1008, 1010 and 1012. In addition, The virtual center line 1014 can be determined for the polygon 1006. The hypothetical centerline can be determined in any suitable way. The hypothetical centerline can also be determined for patterned features as they appear in the output of the measurement subsystem. For example, As shown in Figure 11, A part of a design in the output of the measurement subsystem may include four different features corresponding to the parts shown in FIG. 10 (eg, Line 1100, 1102 and 1104 parts and polygons 1106). As further shown in Figure 11, An imaginary centerline can be determined by the entirety of the features included in this part of the design. For example, Available for line 1100, 1102 and 1104 determine the imaginary centerline 1108, 1110 and 1112. In addition, The virtual center line 1114 can be determined for the polygon 1106. The hypothetical centerline can be determined as described further herein. Since the centerline of the patterned features in the design can be reproducibly determined and since the centerline of the patterned features in the output should be substantially reproducible, Therefore, the imaginary centerline can be used to relatively reproducibly align the patterned features in the design and the patterned features in the output. For example, As shown in Figure 12, The alignment 1200 of the center lines 1008 and 1108 can be used to reproducibly align the line 1000 in the design and the line 1100 in the output. In another example, The alignment 1202 of the center lines 1010 and 1110 can be used to reproducibly align the line 1002 in the design and the line 1102 in the output. In addition, The alignment 1204 of the center lines 1012 and 1112 can be used to reproducibly align the line 1004 in the design and the line 1104 in the output. In addition, The alignment 1206 of the centerlines 1014 and 1114 can be used to reproducibly align the polygon 1006 in the design and the polygon 1106 in the output. of course, To align the features in one part of the design with the features in the same part of the design in the output of the measurement subsystem, It is not necessary to align all the centerlines of all the features in this part to each other in order to produce the alignment of all the features. For example, In the example shown in Figure 12, The alignment of the centerline of the polygon in the design and the centerline of the polygon in the output can be used to produce a fine-to-output alignment for the polygon and the remaining features in this part of the design. Reproducibly being able to align features in the design with features in the output of the measurement subsystem will improve the consistency of measurements performed using the results of the alignment. In a further embodiment, The parameter(s) of the measurement(s) include the boundary of one or more dimensions across which the measurement(s) are performed. For example, (Several) computer subsystems can be configured for automatic generation of measurement limits. The measurement limits can be automatically determined for each unique location during runtime (no parameters required during setup). In some embodiments, The centerline described further herein can be used to determine the boundary across which dimensions of the measurement are performed equally. For example, As shown in Figure 13, A part of a design formed on a wafer may include four patterned features 1300, 1302, 1304 and 1306, They are shown in FIG. 13 as they can be formed on the wafer and then imaged by the measurement subsystem. An imaginary centerline 1308 can be generated for each of the features described further herein, 1310, 1312 and 1314. An imaginary centerline can also be generated for the space between the patterned features. The centerline for these spaces can be defined by the midpoint between two adjacent features in the design. For example, Based on feature 1300 and any other neighboring features (eg, The midpoint between the centerlines of features 1302) defines the centerline 1316. The centerline 1318 may be defined based on the midpoint between the centerline of the feature 1302 and any other adjacent features (not shown in FIG. 13) on the left side of this feature and extending beyond the feature 1300. Based on feature 1304 and any other neighboring features (eg, The center line 1320 is defined by the midpoint between the center lines of features 1302 and 1306). The centerline 1322 may be defined based on the midpoint between the centerlines of the features 1302 and 1306. In addition, The centerline 1324 may be defined based on the midpoint between the centerline of the feature 1306 and any neighboring features on the right side of this feature (not shown in FIG. 13). Although the centerline depicted in FIG. 13 is described with respect to the patterned features as appearing in the output of the measurement subsystem, But the centerline can also or alternatively be defined based on the patterned features as appearing in the design itself. In addition, Although the centerline in the space between the patterned features was described above as being defined based on the centerline in the patterned features, However, the centerline in these spaces may be based on some other characteristics of the patterned features (for example, Defined by the edge of the patterned feature). The centerline in the space between the patterned features can then be used as a boundary for any measurement of the patterned features for execution. For example, As shown in Figure 13, If the critical dimension (CD) of one of the patterned features will be measured for the patterned feature 1304, Then one of the lines 1326 can be moved from the position of the centerline 1320 on one side of the patterned feature to the position of the centerline 1320 on the other side of the patterned feature and is substantially perpendicular to the patterned feature The measurement is performed in one direction of the center line 1312 within 1304. In this way, Measurements can be performed in a direction orthogonal to the centerline through the patterned feature. Although the three lines 1326 are shown in FIG. 13 as representing the dimensions across which they can perform different measurements on the patterned features 1304, However, any suitable number of such measurements can be performed at any suitable location along the centerline within the patterned feature. In addition, Measurements can be performed in a direction that is substantially parallel to the centerline of the features. For example, As shown in Figure 13, Measurements can be performed along one of the lines 1328, And although not shown in Figure 13, But the boundary of these measurements can also be determined by the centerline in the space between the patterned features, As described further herein. In addition, Although not shown in Figure 13, However, the size across which measurements are performed may intersect the patterned features and/or the centerline of the space between the patterned features at an angle other than orthogonal (e.g., For measuring radius, For wire end pullback measurement, For line end distance measurement, etc.). Using the center line in the space between the patterned features as the boundary of any measurement performed on the patterned features can advantageously ensure that the measurement starts and ends outside the patterned features, This ensures that the measurement is performed across the entire size of one of the patterned features and that the boundary of the measurement is sufficiently outside the patterned feature so that it can determine the warp patterns in the output generated during the measurement with sufficient accuracy and/or confidence The edge of the feature. E.g, If the boundary where a measurement starts is too close to the edge of a patterned feature, The location of the edges of the patterned features in the output can be easily confused with the measurement boundary and/or can be lost in the measurement boundary noise. however, Using the center line in the space between the patterned features to determine the measured boundary as described herein will substantially eliminate any such errors in edge detection of patterned features. In a similar way, If the measurement described in this article will be performed for a space between two patterned features (eg, To measure the gap between two features), The measured boundary can then be determined based on the centerline within the patterned features surrounding the space. In this way, The measurement can start and end at a position that sufficiently exceeds the edge of the space, This ensures that the measurement is performed across the entire size of the space and that the edge of the space can be determined with relatively high accuracy and/or confidence. In one embodiment, The (equal) measurement includes automatically determining the position in the output generated by the detector during the (equal) measurement of one or more edges of one or more structures formed on the sample. In this way, The embodiments described herein can be configured for automatic determination of SEM edge positions. In some examples, The edge position can be determined using the ID gradient curve further described herein. For example, The edge position can be automatically determined by finding the strongest positive or negative gradient peak within a 1D gradient quantitative curve. In other words, The peak point in the 1D gradient curve can be selected as the edge position. One of the features CD or other attributes can then be determined based on the edge position. For example, By using the positive/negative gradient peaks of the 1D gradient curve that is orthogonal to a line drawn through the center of the structure, Zero crossing or negative/positive gradient peak positioning top, Determine the top, bottom or middle edge position Middle or bottom CD. however, In addition to using the gradient curve, Other measurement algorithms can also be used to locate edges. In another embodiment, The computer subsystem(s) is configured for automatically generating one or more attributes for one of the first and second subsets of ROI based on the results of the measurement(s). In this way, The embodiments described herein may be configured for automatically generating measurement statistics and attributes for each ROI. The measurement statistics of each ROI can be determined independently of the measurement results of each other ROI. Multiple measurements of a ROI can be used to generate various measurement statistics (eg, Maximum (Max), Min (Min), Mean (Mean), Average, Median (Median), Standard Deviation, Range (Range) and the sum (Sum)). In another example, The computer subsystem(s) can be configured to automatically generate other attributes, Such as a one-dimensional (1D) gray scale curve of a patterned structure formed on a wafer. The 1D gray-scale quantification curve can be automatically generated by output along a line orthogonal to a line passing through a center line of the patterned structure or parallel to a line passing through the center line of the patterned structure. The computer subsystem(s) can also be configured to automatically generate a 1D gradient curve, The 1D gradient quantity curve can be automatically generated by taking a gradient of a 1D grayscale quantity curve determined as described above. In some examples, Multiple measurements within an ROI can include one measurement for each 1D grayscale or gradient curve. Measurement statistics can be about actual CD, Positive △CD and negative △CD, △CD provides CD measurement relative to design. In addition, Various types of gray-scale or gradient-based attributes (such as peak local gray-scale difference, Peak positive or negative gradient, etc.). The measurement statistics and/or attributes that can be determined using the embodiments described herein are also not limited to those described herein. In an additional embodiment, One or more computer subsystems are configured for automatically generating one or more instances of ROI for one of the first subset and the second subset based on the results of one or more measurements Multiple attributes, And compare at least one of one or more attributes for two or more of multiple instances to identify outliers in two or more of multiple instances. In this way, The embodiments described herein may be configured for relative comparison of measurement statistics and attributes across various locations on a wafer to determine outliers. The measurement statistics and attributes of each of the ROIs can be compared across various locations on a wafer to determine outliers for defect detection. In a further embodiment, One or more computer subsystems are configured for automatic selection of one or more alignment sites in the design, And the measurement procedure includes determining one or more positions of at least one of one or more alignment sites on the sample during the measurement procedure, And one or more positions of one or more ROIs in the first subset and the second subset on the sample are determined based on one or more positions of at least one alignment site on the sample. For example, The embodiments described herein may be configured for automatically generating alignment sites (for coarse alignment) using physical design analysis. In this example, During the generation of the measurement plan, For each FOV, The computer subsystem(s) can be configured to use physical design to automatically determine the unique alignment site(s) and automatic focus site(s) for each measurement site. Design analysis algorithms and software can be used to perform automatic determination of (several) unique alignment sites and (several) autofocus sites. In some embodiments, The system described herein can be configured to execute a measurement plan for each FOV on a measurement tool that includes at least one of a measurement subsystem and a computer subsystem. In one such embodiment, The system can perform auto-focusing of each FOV and then align the anchor point of each FOV. In some of these examples, The system can extract abbreviated forms of design for anchor points and measurement points from a design database for automatic focusing and/or anchor point alignment. The system can be further configured for the alignment of the measurement site of each FOV and execute the measurement plan for the measurement site, The selected type of measurement is performed, such as in the ROI(s) within the FOV. The computer subsystem(s) can then generate measurement data for each ROI. In some embodiments, The metrology procedure includes determining whether there is a defect in the one ROI based only on one or more measurements performed in one of the ROIs in the first subset and the second subset. In other words, Defect detection in an ROI may not be based on the output generated in any other ROI (in the same die as the ROI or in a die different from the die where the ROI is located) or any amount produced using this output Measurement. For example, Comparable using only the output generated in a ROI to produce a measurement result and a threshold for the ROI, And any measurement result higher than the threshold can be judged as a defect, However, any measurement result lower than the threshold value may not be determined as a defect (or vice versa). In addition, More than one threshold can be used (for example, Upper threshold and lower threshold) and/or any other suitable defect detection methods and/or algorithms to perform such defect detection. In this way, The metrology procedure determined to be used for one or more of its parameters may include ROI-based single die defect detection. This defect detection can be performed to generate various types of attributes at the ROI position (for example, CD measurement, Gradient magnitude, Local gray-scale contrast, etc.) to detect various types of defects (for example, Pattern defects, Missing and/or underfilled epitaxial layer, Silicon germanium (SiGe) defects, etc.). Compared with the embodiments described herein, The currently used method for ROI-based single die defect detection uses a reference image or reference contour (acquired or generated) for defect detection. Compared with ROI-based single die defect detection, The acquired image method has half the output. The generated image or outline method suffers from the complexity and inaccuracy of reference generation. In one embodiment, Performing one or more measurements in one of the first and second subsets of ROI includes a CD measurement of the CD measurement of one of the ROI relative to the other of the ROI. In this way, Measurements that determine one or more parameters for them can be relative CD measurements, Among them, CDs of multiple instances of a given pattern of interest (POI) on a given wafer can be compared. In other words, CD measurement can be a relative measurement rather than an absolute measurement. Compared with the embodiments described herein, The currently used method for relative CD measurement uses a CD-SEM tool, The recipe setting that defines multiple ROIs for each site is a very laborious and time-consuming procedure, Therefore, for a CD measurement, a substantially limited number of ROIs per site and a limited number of unique sites per die can be measured. In an additional embodiment, Performing one or more measurements in one of the first subset and the second subset of ROI includes an overlay measurement of one of the ROI relative to the other of the ROI. In this way, It is determined that the measurement used for one or more of its parameters can be a relative stack measurement. In other words, Overlap measurement can be a relative measurement, Not an absolute measurement. Available in multiple patterned manufacturing processes (for example, Double patterning, Triple patterning or quad patterning), The spacer pitch is divided into manufacturing procedures and the like to measure the stacking error. In addition, The overlay error between a current layer formed on the wafer and a previous layer formed on the wafer can be measured. Compared with the embodiments described herein, The currently used method for relative stack measurement uses a CD-SEM tool, The recipe setting for defining multiple ROIs at each site is a very laborious and time-consuming procedure and therefore a substantially limited number of ROIs and each die for each site can be measured for overlapping measurements A limited number of unique sites. In some embodiments, The sample contains a program window verification (PWQ) wafer, And the automatically generating includes automatically generating the ROI to be measured during the metrology process based on the design and the result of performing one of the inspection procedures on the sample. In this way, Determination that the measurement used for one or more of its parameters may include automated re-inspection of pattern defects on the PWQ wafer (eg, Use CD measurement), These pattern defects can be detected by PWQ inspection of one of the wafers performed by an inspection tool, such as one of the inspection tools commercially available from KLA-Tencor. In some examples, Defects detected by PWQ inspection can be used as hot spots for measurement, And the measurement and detection performed at the measurement hot spot can be used to improve the PWQ window (for example, The window of program parameters for which PWQ is executed). The currently used method for automated PWQ re-inspection of pattern defects performs manual or automated design-based re-inspection of pattern defects discovered by a PWQ inspection. Manual methods are inaccurate and unreliable (for example, A user may miss a complete pattern failure or may not be able to discern the subtleties (for example, 3nm to 7nm) CD change), And the design-based method needs the recipe setting between the discovery and metering steps. PWQ detection can be performed as described in the following US patents: US Patent No. 6, issued on June 7, 2005 to Peterson et al. 902, No. 855, US Patent No. 7, issued on August 26, 2008 to Peterson et al. 418, No. 124, US Patent No. 7, issued on August 3, 2010 to Kekare et al. 769, No. 225, US Patent No. 8 issued to Pak et al. on October 18, 2011 041, No. 106 and U.S. Patent No. 8 issued to Peterson et al. on July 3, 2012 213, No. 704, These U.S. patents are incorporated herein as if cited in full. The embodiments described herein may include any step(s) of any method(s) described in these patents and may be further configured as described in these patents. A PWQ wafer can be printed as described in these patents. In a further embodiment, During the on-line monitoring of one of the manufacturing procedures performed on the sample, the measurement procedure is performed on the sample. In this way, The measurement procedure determined for one or more of its parameters may include a measurement procedure performed during online monitoring (i.e., (Measurement performed on a wafer generated by a manufacturing process). Measurements such as critical dimension uniformity (CDU) of gates, Line edge roughness (LER)/line width roughness (LWR) measurement, These measurement procedures are performed for CD/stack pair measurement and other measurements. In another embodiment, Automatically generating includes automatically generating the ROI to be measured during the metrology process based on the results of the design and execution of one of the inspection procedures on the sample. For example, In-line monitoring can also be performed on the location of defects detected by inspection, The position of the detected defect is basically used as a "hot spot" for the measurement of the detection guide. In some such embodiments, The measurement result can be related to the detection result. For example, In some examples, A pattern fidelity feature generated by detection may be related to the measurement performed during measurement. Compared with the embodiments described herein, The currently used method for metrology during in-line monitoring uses a CD-SEM tool at specific metrology targets (eg, CD/stack measurement is performed at the scribe line printed on the wafer, And because the recipe setting is quite laborious in defining the ROI, Therefore, it is not possible to automatically measure thousands of unique points on a wafer. Some other currently used methods for in-line monitoring include using a SEM re-inspection tool to randomly sample several locations from millions of hot spot locations to perform critical point inspection (CPI) using a die-to-die mode. however, Due to random sampling of hot spots, The currently used method can miss a substantial number of hot spot defects. In an additional embodiment, One or more computer subsystems are configured for comparing one or more measurements performed in one of the first and second subsets of ROI with the first and second subsets of ROI The design intention of the one is to modify an optical proximity correction (OPC) model based on the result of the comparison. In this way, The measurement procedure for determining one or more parameters can be performed for the OPC model verification of design intent. Compared with the embodiments described herein, The currently used method for verifying the OPC model of design intent uses a CD-SEM tool, The recipe setting for defining multiple ROIs at each site is a very laborious and time-consuming procedure and therefore can measure substantially a limited number of ROIs at each site and each die for CD measurement A limited number of unique sites. For OPC, We need to automatically discover weak structures and set and measure thousands of unique sites per die immediately and/or automatically. In another embodiment, One or more computer subsystems are configured to detect defects in one of the first and second subsets of ROI based on one or more measurements and report one or more measurements as Detect defect attributes of defects. In this way, The metrology procedure may include reporting pattern fidelity measurements as defect attributes at the defect locations reported by the repeated detection algorithm. Compared with the embodiments described herein, The currently used method does not report measurement statistics as part of the defect attribute and therefore cannot quantify whether a pattern distortion is an obstacle, Partly broken, Completely broken, Partially bridged or fully bridged. The embodiments described herein have several advantages over currently used methods for determining one or more parameters of a metrology procedure. For example, The embodiments described herein provide a substantially rapid automated real-time mechanism to generate ROI for thousands of unique sites and then automatically generate various measurement statistics and attributes for each ROI across various sites (using (Abbreviated form of SEM image and physical design of the positioning point), It can then be used to serve the various use cases described herein. Another embodiment relates to a computer-implemented method for determining one or more parameters of a measurement procedure to be performed on a sample. The method includes the steps of automatic generation and automatic determination described above. Each of the steps of the method can be performed as described further herein. The method may also include any other step(s) that may be performed by the measurement subsystem and/or computer subsystem(s) or system described herein. Automatically generated and automatically determined steps are executed by one or more computer systems, The one or more computer systems can be configured according to any of the embodiments described herein. In addition, The method described above can be performed by any of the system embodiments described herein. An additional embodiment relates to a non-transitory computer readable medium, Its storage can be executed in a computer system for executing program instructions for determining a computer-implemented method of one or more parameters of a metrology program to be executed on the sample. One such embodiment is shown in FIG. In particular, As shown in Figure 14, The non-transitory computer readable medium 1400 includes program instructions 1402 executable on the computer system 1404. Computer-implemented methods may include any step(s) of any method(s) described herein. Program instructions 1402 implementing methods such as those described herein may be stored on computer readable medium 1400. The computer-readable medium may be a storage medium, Such as a disk or disc, A magnetic tape or any other suitable non-transitory computer-readable medium known in the art. Program instructions can be implemented in any of various ways, Contains program-based technology, Component-based technology and/or object-oriented technology, etc. For example, ActiveX controls can be used as needed, C++ objects, JavaBeans, Microsoft Foundation Category ("MFC"), SSE (SIMD Streaming Extension) or other technologies or methods to implement program instructions. The computer system 1404 can be configured according to any of the embodiments described herein. Additional embodiments described herein include a system configured to determine one or more parameters of a program or a parameter that will be executed on the sample. The system contains a measurement subsystem, The measurement subsystem can be configured according to any of the embodiments described herein. In one embodiment, The sample contains a wafer. In another embodiment, The sample contains a double reticle. The wafer and reduction mask can include any suitable wafer and reduction mask known in the art. The embodiments described further herein are configured for area (or ROI) generation for automated pattern fidelity assessment and monitoring. The embodiments described herein can be used to automatically generate metrology and/or detection sites for hot spot monitoring in semiconductor operations. Pattern fidelity and overlay issues become increasingly critical to device yield. in tradition, Generate measurement points manually. For example, The current and previously used methods for hotspot monitoring have been driven by manual efforts. In one such instance, For a given hot spot location, For measurement purposes, A user will have to manually draw a subset of the area. Although this method can be used for a limited number of sites (for example, Dozens of sites) effective, But it is not feasible to monitor hundreds of hotspot locations with dozens of locations within the hotspot. For example, The number of sites to be monitored and the type of pattern are increasing, And the manual method of setting measurement and detection sites is not enough. In particular, Setting up these hundreds of areas is substantially time-consuming and inefficient. In one such instance, It can take an hour to draw measurement points (or bounding boxes) of dozens of points. however, Mapping these measurement sites to hundreds of sites creates user fatigue and requires too much time to generate the measurement formula. therefore, Existing manual methods have limitations. In addition, Visual re-inspection of SEM images is usually not sufficient to determine good patterns from damaged patterns and also lacks the ability to quantify to make a target decision. The combination of the need to monitor a substantial number of sites and the SEM images that require pattern fidelity quantification advances the new method described in this article. In addition, Product development and monitoring need to be substantially accurate, Automated measurement site placement and measurement measurement. The system contains one or more computer subsystems, They may include any of the computer subsystems and computer system embodiments described herein. One or more computer subsystems are configured to determine an area of a defect detected in the sample. Defects can be detected on samples by inspection, Detection may include optical detection (eg, Broadband optical detection or optical detection based on light scattering) or electron beam based detection (eg, Performed using a SEM). Defects can also be detected by other procedures (such as metrology) performed on a physical version of the sample. however, Defects can be detected by performing one or more procedures on the design, Such as how the analog design will be formed on the wafer (for example, Lithography simulation, Etching simulation, etc.). In addition, The defect can be a user-defined location on the sample, A user suspects that a defect may exist at the location or a defect is known to exist at the location. It is determined that the area of the defect detected on the sample may include the automatic identification of the defect center, The defect can be any of the defects described in this article, Such as detecting a defect by physical sample detection or identifying a hot spot by simulation. The defect area can then be determined around the identified center of the defect and centered on it. In this way, The computer subsystem(s) can be configured for automatic zone definition of a fault location and its affected area. Defect areas can be further determined as described herein. In one embodiment, The area of the defect is defined by a bounding box drawn around the defect in one of the images of the defect. For example, The bounding box can be drawn based on a defect location in the design space. In one such instance, The bounding box may be based on the defect area (eg, Defective pixels) determination. In addition, A technique such as design rule checking (DRC) can be used to calculate the bounding box. In another embodiment, The area of the defect is defined by a free-form area drawn around the defect in an electron beam image based on the defect. For example, The defective area can be a "spot" or affected area of an SEM image. Similar "spots" can be determined based on other types of images (such as optical images). The free-form area can be automatically drawn by the computer subsystem(s) described herein and in any suitable manner known in the art. One or more computer subsystems are also configured to correlate the defect area with the design information of one of the samples. The design used for the samples in the embodiments described herein may include any of the designs or design data described herein. In addition, The design used in the embodiments described herein may include any design layout data format suitable for automatic measurement area generation. These formats include open formats (such as GDS, OSASIS, Text) or proprietary format (such as RDF (available from KLA-Tencor)). Appropriate data formats or conversion formats can be fed into the automatic metering/detection area generator embodiments described herein. In one embodiment, Design information includes information on more than one level of design. For example, The design information may include information on a layer above and/or below the design layer on which the defect is detected. In this way, The steps or functions described herein may be performed with respect to more than one layer designed for one of the samples. The information on more than one layer of the design may include any of the design information described in this article. In another embodiment, The design information does not include information about the characteristics of the design that will not be printed on the sample. For example, The design information preferably does not include the features included in the design data of the sample but not actually printed on the sample, Features such as optical proximity correction (OPC). In this way, The design information can more accurately reflect how the design is intended to be printed on the sample than if the design information contains information on OPC features and other features that will not be printed on the sample. In some embodiments, Design information includes information on patterned features in the design, And the interrelationship includes overlapping the defect area with the patterned features in the design. For example, The computer subsystem(s) may be configured to superimpose an optical or SEM-based spot and design information to automatically determine the ROI as described further herein and the ROI metrics as described further herein. Correlating the information of the defective area and the design of the sample can be performed by aligning the design in some way with the output generated by the detector of the measurement subsystem. No. 7 of the U.S. Patent Case issued to Kulkarni et al. on March 9, 2010 676, No. 077 describes examples of methods and systems that can be used to align the output of a measurement subsystem to a design, The case is incorporated into this article as if it were cited in full text. Correlating the defect area with the design information can also involve determining the design data space coordinates of the defect area in the design. In one embodiment, Design information includes information about additional ROI in the design based on design rather than defect determination by one or more computer subsystems. In this way, The extra ROI can be called "unsupervised ROI", The additional ROI is based only on design decisions and is not "supervised" or modified by any other information (such as defect information). For example, The computer subsystem(s) can be configured to use a design layout file to automatically generate ROI based on a given location. In unsupervised mode, The critical section can be determined based on the design node. In addition, Additional ROI can be automatically identified for the weakest point in one of the polygon groups in unsupervised mode. ROI types can include (but not limited to) and space, The point where the line and the adjacent pattern are associated. In addition, It is determined that the additional ROI performed by the computer subsystem(s) may include automated site selection for overlay metrology. The additional ROI may be automatically determined using one or more of several design analysis tools (such as those further described herein) (or a combination of one or the other). Although the design analysis tools described further in this article are not currently used in this way, However, the tools and data flow can be modified to perform automated metrology site generation as described further herein. therefore, The embodiments described herein provide a substantially new method that has not previously attempted to be automated. For example, As an alternative to the embodiments described herein, Users can be equipped with tools to allow manual drawing of areas of interest for a given hot spot. however, This is a time-consuming process that is substantially error-prone. In another embodiment, Design information includes information about additional ROI in the design determined by one or more computer subsystems by pattern matching based on design rather than defect execution. For example, Physical pattern matching may include using some information of polygons in the design to match information of other polygons in the design. This matching can be performed as described further herein. The information of the additional ROI can then be determined in any suitable way based on the pattern matching result. In an additional embodiment, Design information includes information about additional ROI in the design determined by one or more computer subsystems by virtue of geometric matching performed based on the design rather than defects. For example, Geometric matching may include using one or more rules to find instances of specific geometric-based characteristics of the design. The one or more rules can have any suitable format known in the art and can be generated or obtained in any manner known in the art. Geometric-based characteristics may include any geometric-based characteristics of the design of the sample, Such as a geometric shape with a specific shape or a specific size, spacing, cycle, Orientation and other specific types of geometric shapes. The information of the additional ROI can then be determined in any suitable way based on geometric matching. In some embodiments, The design information includes information about the additional ROI in the design determined by the unit information of one or more computer subsystems based on the design (not defects) of the unit. The additional ROI may be identified based on the unit information by using some information about polygons or structures within the unit to determine the additional ROI. Unit information may be included in or obtained from any of the design data described herein. The information of the additional ROI can then be determined in any suitable way based on the unit information. In another embodiment, Design information includes information about additional ROI in the design determined by image processing of one or more computer subsystems based on a graphical representation of the design (rather than defects). The design data can be converted into a graphical representation of the design in any suitable way, And the graphical representation may have any suitable format. Image processing can then be performed on the graphical representation to identify specific portions of the graphical representation with specific image characteristics. The information of the additional ROI can then be determined in any suitable way based on the graphical representation. The computer subsystem(s) can also be configured to use the techniques described in this article (such as pattern matching, Geometric matching, Unit information and image processing) or a combination of two or more to define the ROI. In one embodiment, The design information includes information about additional ROI in the design based on hot spot information of the design (not defects). Hotspot information can be generated or obtained in any suitable manner known in the art. No. 7 of the U.S. Patent Case issued to Kulkarni et al. on March 9, 2010 676, No. 077 describes examples of methods and systems that can be used to generate or obtain hotspot information, The case is incorporated into this article as if it were cited in full text. The information of the additional ROI can then be determined in any suitable way based on the hotspot information. In a further embodiment, Design information includes information about additional ROI in the design based on information about hot spots in the design (not defects), And only one or more additional ROI is determined for one of the hot spots. FIG. 18 illustrates an embodiment of a hot spot including more than one additional ROI. For example, As shown in Figure 18, The hot spot 1800 may include a relatively narrow space 1802 between two wider features 1804. thus, The space 1802 may be a critical space in the CD. The hot spot 1800 also includes a relatively narrow line 1806 spaced from other features in the hot spot. thus, Line 1806 may be a critical line in CD. therefore, This hot spot may contain at least three different additional ROIs. One additional ROI 1808 can be generated for space 1802 only. Another additional ROI 1810 may be generated only for line 1806. The additional ROI may be determined according to any of the embodiments described herein. As described further in this article, In some examples, The computer subsystem(s) can be configured to automatically determine additional ROI. therefore, One advantage of the embodiments described herein is that a sub-region of a hot spot (or sometimes referred to as a weak spot in a design) can be automatically identified for metrological monitoring purposes. In other words, The embodiments described herein may be configured for unsupervised monitoring of a potentially weak or weakest site in a design. For a given hot spot that may need to be monitored, there can be dozens of areas, And the computer subsystem(s) described herein can be configured to perform an automated algorithm-based method to identify these areas. One or more computer subsystems are further configured to determine a spatial relationship between the defective area and the design information based on the results of the correlation. In some embodiments, Design information includes information about additional ROI in designs based on design rather than defect determination, And determining the spatial relationship includes determining which additional ROI overlaps the defective area spatially. For example, Once the defective area has been associated with design information, Then a spatial relationship can be determined, What elements such as design information or which additional ROI(s) overlap (at least partially) the defective area, Which elements of the design information or which additional ROI(s) are closest to the defect area, One or more distances between one or more elements of the design and the area of the defect, One or more distances between one or more of the additional ROIs and the defect area, etc. The spatial relationship can be expressed in any suitable format, E.g, Expressed as one or more identifiers indicating which design elements or which additional ROI(s) overlap (at least partially) the area of the defect (or at least partially), or the area closest to the defect, One or more dimensions between the defect area and one or more features of the design information or one or more additional ROI, etc. One or more computer subsystems are also configured to automatically generate a ROI to be measured using the measurement subsystem during a procedure performed on the sample based on the spatial relationship. In this way, The input data of the steps performed by the computer subsystem(s) can be the design layout, Defect location (for example, Fault pattern location), Hot spots, Known and unknown locations and procedures performed on design information (eg, An image-based algorithm), And then the measurement area or the area of interest can be automatically identified and generated. thus, The computer subsystem(s) can be configured to perform a supervisory mode, Which is based on defects, The ROI is automatically determined at the location or position of the fault pattern. therefore, The ROI described herein may be referred to as a "supervised" ROI. In this way, The embodiments described herein may be configured to determine the ROI of the metrology used to detect guidance (or another procedure described herein), And perform the measurement of the measurement guidance (or another procedure described herein) as appropriate. Supervised ROI types include (but are not limited to) and space, The point where the line and the adjacent pattern are associated. therefore, The output of the embodiments described herein may include ROIs or monitoring areas that require measurement and/or detection. then, These outputs can be transformed to perform one of the operations described herein (e.g., Measurement, Detection or re-detection operation). The result of the ROI generation step may include any information that can be used to identify the ROI on the sample or another sample (eg, A ROI name, Numbers or other identifiers, ROI design or sample coordinates, etc.). In one embodiment, Automatically generating the ROI includes selecting one of the additional ROIs that spatially overlap with the defect area as the ROI to be measured for the defect. FIG. 15 illustrates an embodiment of this automatic ROI generation. For example, As shown in Figure 15, Information of a design (such as design abbreviated form 1500) can be input to the computer subsystem(s). As shown in Figure 15, The abbreviated form of the design may contain several different polygons representing the features in the design. The shaded polygons shown in the design abbreviated form 1500 represent the features formed on the sample, The non-shaded area represents the space between features. The computer subsystem(s) may use the information in the design abbreviation form (and possibly the design abbreviation form itself) to pre-define an additional ROI based on the fidelity measure of the known pattern. In other words, The types of measurements that can be performed in any given procedure can be used to search for design information for potential candidates for such measurements. For example, Based on design information in abbreviated form, The computer subsystem can be pulled back based on the line end, width, space, Pre-defined ROIs such as corners. In one such instance, If the type of measurement includes width measurement, You can search for design information designed to have a feature with a width less than a specific value, This makes its features with wider widths more prone to defects (for example, Opening). In the embodiment shown in FIG. 15, Several different ROIs can be determined based on these metrics. These ROIs can include, for example, wire-end pullback ROI 1502, Width ROI 1504, Spatial ROI 1506 and corner ROI 1508. Since ROI is determined based on design without any other information to monitor ROI determination, Therefore, ROI can be unsupervised. In one embodiment, The area of the defect is defined by a free-form area drawn around the defect in one of the defects based on the electron beam image (eg, As one of the SEM spots described further herein), Design information includes information on additional ROI in the design based on design rather than defect determination (which may include any such information described in this article), Determining the spatial relationship includes determining which additional ROI overlaps the area of the defect spatially or the area closest to the defect (this can be performed as described further herein), And automatically generating the ROI includes selecting or prioritizing one of the additional ROIs that spatially overlap with the defect area or the area closest to the defect as the ROI to be measured for the defect. In this way, Can reselect or prioritize potential measurement sites based on SEM positions (spots), This makes it possible to measure the most likely defect sites. For example, The information about the ROI can be combined with one of the defect regions described herein, such as a defect region or an SEM spot from sample inspection or simulation. In one such instance, As shown in Figure 15, The SEM spot 1510 may be the same as the ROI described above (eg, ROI 1502, 1504, 1506 and 1508). As further shown in Figure 15, The superimposed information on the design of the SEM spots may include information on the ROI instead of information on the patterned features in abbreviated design. however, The superimposed information on the design of the SEM spots may also include information on the patterned features (such as the patterned features shown in the design abbreviated form 1500). The ROI that spatially overlaps the SEM spot or is closest to the SEM spot can then be selected or prioritized as a procedure for performing against the ROI (eg, Measurement, ROI of defects corresponding to SEM spots in inspection, etc.). For example, As shown in Figure 15, Since the SEM spot 1510 overlaps with one of the width ROI 1504, Therefore, the ROI can be selected for or prioritized as a measurement to be performed for defects corresponding to SEM spots. therefore, Only the information of the ROI 1504a may be exported as the ROI information 1512 for use in one of the procedures such as those described further herein. however, In the case where the procedure will be executed for unsupervised ROI, All ROI information can also be exported for use in this procedure. In another embodiment, Automatic ROI generation includes changing the defect area based on the spatial relationship between the defect area and the design information and designating the modified area of the defect as the ROI. For example, The computer subsystem(s) can be configured to self-adjust the size of the ROI (correct ROI) by using the interaction between a specified location and the design layout. In one such instance, Measurement sites can be self-calibrated by overlapping the design layout. For example, The computer subsystem(s) can be configured to self-adjust the size of an ROI by overlapping a potential defect point with a line or space in the design layout. Based on a defect or specified location, A measurement area can be automatically corrected based on the design. In one embodiment, Design information includes information on patterned features in the design, And automatically generating the ROI includes determining an area of the ROI based on the patterned features of the defect area and the area adjacent to the defect. The patterned features adjacent to the defect area may include overlapping (partially or completely) with the defect area, Spaced apart from the defect area but adjacent to the area, Is the patterned feature of the area closest to the defect, Patterned features around areas of defects, etc. For example, The embodiments described in this article can not only automatically determine the placement of the measurement site, Moreover, the size of the measurement area can be readjusted based on the position of the defect and the adjacent polygon around it. basically, Use inspection to identify pattern changes or other defects, Use SEM or other measurement subsystem output to improve the exact location of the defect, And improve the measurement area by taking the design into consideration, Which is based on the polygon's own line, The exact size of the space etc. optimizes the measurement location. This region of ROI determination can be further performed as described herein. In another embodiment, Design information includes information on patterned features in the design (which can include any such information described in this article), And automatically generating the ROI includes determining a region of the ROI based on the defect region or the smallest one of one or more of the patterned features near the defect region. For example, The embodiments described herein may be configured to resize the measurement site to the smallest of the defect area or the polygon or space near the defect. This readjustment of the size of the measurement site can be performed as described further herein. FIG. 16 illustrates an embodiment of self-correcting ROI size. For example, Detection of a sample such as the one described herein can detect a bridging defect at location 1600. then, The area 1602 can be determined for this defect. In this example, The area can be defined by a certain bounding box based on the location of a defect in the design space. The bounding box can be determined as described herein. then, It can make the bounding frame overlap with the design information. For example, As shown in Figure 16, The bounding box can be overlapped with the design abbreviated form 1604. In this abbreviated form of design, Non-shaded areas correspond to the patterned features included in the abbreviated form of the design, The shaded area corresponds to the space between the patterned features. then, A Boolean operation can be performed to self-correct the size of the defect area to match the width of the space between the patterned features (which overlaps the area). For example, As shown in Figure 16, The size of the region 1602 can be self-corrected to the size of the ROI 1606 by reducing the size of the region 1602 so that it does not extend beyond the space above and below the space between the patterned features. In this way, By using the design information as a "cutter", Defect areas can be self-calibrated to accurately define the measured area, It can then be used as the ROI for defects in the procedures described herein. FIG. 17 illustrates an embodiment of self-correcting ROI size. For example, Detection of a sample such as the one described herein can detect an opening defect at location 1700 and a wire end short defect at location 1702. then, The area 1704 can be determined for the defect at the position 1700, And the region 1706 can be determined for the defect at the location 1702. In this example, The area of each defect location can be separately defined by a certain bounding box based on the defect location determination in the design space. The bounding box can be determined as described herein. then, Can make the bounding box and design information overlap. For example, As shown in Figure 17, The bounding frame can be overlapped with the design abbreviated form 1710. In this abbreviated form of design, The shaded area corresponds to the patterned features included in the reduced form of the design, The non-shaded area corresponds to the space between the patterned features. Then a Boolean operation can be performed to self-correct the size of the defect area to match the width of the patterned features that spatially overlap with it. For example, As shown in Figure 17, The size of the region 1704 can be self-corrected to the size of the ROI 1712 by reducing the size of the region 1704 so that it does not extend beyond the patterned features that spatially overlap it. In addition, As shown in Figure 17, The size of the region 1706 can be self-corrected to the size of the ROI 1714 by reducing the size of the region 1706 so that it does not extend beyond the patterned features that spatially overlap it. In this way, By using the design information as a "cutter", Defect areas can be self-calibrated to accurately define the measured area, It can then be used as the ROI for defects in the procedures described herein. In some embodiments, Design information includes information about additional ROI in a design based on design rather than defect determination by one or more computer subsystems, And measure one or more of the additional areas during the procedure. For example, As described further in this article, The computer subsystem(s) can be configured for unsupervised ROI generation, It only determines the additional ROI based on design information. In addition, The computer subsystem (etc.) is configured for supervised ROI generation, The ROI is determined based on the design information combined with the defect information. In this way, It can automatically identify the two locations of (several) known defect areas (supervised) and all potential weak points (unsupervised). Both types of ROI can be measured in the same procedure performed on the sample. In some of these examples, The information of the ROI(s) and additional ROI may include some markers that can be used to determine whether any given ROI is a supervised ROI or an unsupervised ROI (eg, label, ID, etc.). The ROI(s) and additional ROI may be measured in any suitable manner depending on the ROI itself. For example, The computer subsystem(s) may be configured to determine one or more parameters of measurements to be performed independently and separately in the ROI(s) and additional ROI. In one such instance, The parameter(s) of the measurement(s) of the ROI(s) can be determined based on the information (possibly combined with the information of the defects) of the design(s) close to the design(s) of the ROI(s), The parameter(s) of the measurement of the additional ROI can be determined based only on the information close to the design of the additional ROI. In this way, The parameter(s) used for the measurement of the ROI(s) may be different from the parameter(s) used for the measurement of the additional ROI. In another embodiment, One or more computer subsystems are configured to automatically determine one or more parameters of one or more measurements performed in the ROI during a procedure using the measurement subsystem. The computer subsystem(s) can use the design layout and layer-aware area to identify the measurement type (or measurement method). In addition, The computer subsystem(s) can be configured to automatically set metrics or how to measure each ROI. The computer subsystem(s) can also be configured to assign measurements to respective ROIs to automatically perform all selected ROI measurements. One or more parameters can include any parameter(s) measured, Such as measuring direction, Measurement type, etc. If (several) parameters are determined for more than one ROI, Then the ROI can be automatically grouped based on the measurement type (or measurement method) of the ROI and an index can be generated for each type (eg, ID). For example, If two ROIs are measured using the same measurement type, Then the two ROIs can be divided into a group independently of the other ROIs, Other ROIs can be grouped into a different group of ROIs. In this way, The embodiments described herein may be configured to be used for searching (eg, design, Optical imaging, SEM image, Pattern matching, Geometric search, Unit information, etc.) to identify the ROI and assign the ROI to a type of ROI for a combination of techniques for automatically generating metrics. In one embodiment, In-line monitoring is performed on the sample during one of the manufacturing procedures performed on the sample. In addition, The system can be configured to execute procedures based on the ROI described herein. In this way, The embodiments described herein may be configured to perform an automated procedure to identify the ROI and perform a procedure based on the ROI (such as one of the procedures described further herein). thus, The embodiments described herein can be configured for fully automated setup (eg, Identify areas to monitor, etc.) and quantify patterned fidelity and changes. therefore, The embodiments described herein implement a key part of the overall process of monitoring pattern fidelity in a semiconductor processing environment. You can also use one or more algorithms and tool platform tuning data at each step. In another embodiment, The procedure includes a measurement procedure, And the system is configured as a measurement tool. In this way, The ROI(s) identified as described herein may include automatically identified metrology sites. The metrology program and metrology tools can be further configured as described herein. In an additional embodiment, The program includes a test program, And the system is configured as a detection tool. In this way, The ROI(s) identified as described herein may include automatically identified detection sites. The inspection procedures and inspection tools can be further configured as described in this article. For example, The system shown in FIGS. 1 and 2 can be configured to be used for detection rather than metering by changing one or more parameters of the system. In particular, The system shown in FIGS. 1 and 2 can be configured such that energy is scanned throughout the sample and in response to detecting energy at a resolution lower than one that will be used for metering and/or higher than that that will be used for metering One of the speeds produces output. Change the parameters of the system shown in Figure 1 and Figure 2 (such as resolution, Speed, etc.) can be performed in any suitable manner known in the art. In this way, The system shown in Figures 1 and 2 can be configured to produce a substantial portion of the sample's output in a relatively short period of time, It can then be used by one or more computer subsystems in any suitable manner (eg, By applying one or more defect detection algorithms to the output, They may include any suitable defect detection algorithm known in the art) to detect defects on the sample. Testing procedures and testing tools can be configured for light-based testing of samples, Sample-based detection of the electron beam or other charged particle-based detection of the system. In particular, As described further in this article, The systems shown in Figures 1 and 2 can be configured to produce light, The output of a sample of electrons or other charged particles. In a further embodiment, The procedure includes a defect re-inspection procedure, And the system is configured as a defect detection tool. The program may also include any other sample analysis program known in the art. Defect detection or other analysis programs and tools can be further configured as described in this article. For example, The system shown in Figures 1 and 2 can be configured to be used for defect inspection or other analysis rather than measurement by changing one or more parameters of the system, This can be performed as described further above, Except that changes can be made to make the system suitable for defect re-inspection or other analysis instead of modifying the system shown in Figures 1 and 2 for inspection. Defect re-examination or other analysis procedures and tools can be configured for light-based defect re-examination or other analysis of samples, Re-detection or other analysis of samples based on electron beam defects or other system-based defects. In particular, As described further in this article, The systems shown in Figures 1 and 2 can be configured to produce light, The output of a sample of electrons or other charged particles. Another embodiment relates to a computer-implemented method for determining that one or more parameters of a program will be executed on a sample. The method includes determining the determination area described above, Interrelated areas, Steps to determine the spatial relationship and automatically generate ROI steps. Each of the steps of the method can be performed as described further herein. The method may also include any other step(s) that may be performed by the measurement subsystem and/or computer subsystem(s) or system described herein. The determination area is executed by one or more computer systems, Interrelated areas, Determine the spatial relationship and automatically generate ROI steps, The one or more computer computer systems can be configured according to any of the embodiments described herein. Although the one or more computer subsystems are described herein as part of a system that includes a measurement subsystem, However, the one or more computer subsystems may also be configured to not include a physical version of the processing sample and to measure one of the ability to perform measurements on the physical version of the sample, Detection, (Several) independent computer subsystems for re-testing or other system parts. In addition, The method described above can be performed by any of the system embodiments described herein. An additional embodiment relates to a non-transitory computer readable medium, Its storage can be executed in a computer system to execute program instructions for determining a computer-implemented method for one or more parameters of a program to be executed on the sample. This embodiment may be further described herein and configured as shown in FIG. 14. The computer-implemented method may include any step(s) of any method(s) described herein. In some embodiments, The output generated by the detector contains the image of the sample, The detector generates different images for different areas on the sample, And the multiple patterned features on the sample are imaged in different images. As described further in this article, The detector can generate images of different areas of the sample. The plurality of patterned features may include any patterned features designed to be formed on a sample (such as a doubling reticle or a wafer). For example, As described further in this article, Multiple patterned features may include contacts or line/space pairs. In one such embodiment, One or more computer subsystems are configured to: Automatically locate multiple patterned features in different images; Determine one or more characteristics of multiple patterned features located in different images; And determining one or more statistical data of one or more characteristics determined for the positioned plurality of patterned features in different images. For example, The embodiments described herein can be performed on an image processing tool using an algorithm, The image processing tool reads in the SEM re-detection images and outputs the measured CD or other characteristic measurement and statistical data of the patterned features in these images. Depending on the type of pattern to be quantified and/or the type of defect (such as contact array or line-space pattern), Any number of methods can be used to process the image. Although some embodiments are described in this text regarding CD, But understand, The CD may be replaced with any other suitable characteristics of patterned features and the embodiments may function in the same manner as described herein. The automatic positioning of multiple patterned features in different images can be performed as described further herein (by aligning the patterned features in the image to the patterned features in a reference) or in any other way. One or more characteristics of the positioned plurality of patterned features in different images may be determined as described further herein or in any other suitable manner known in the art. The determination of one or more statistics of one or more characteristics may be performed as described further herein or in any other suitable manner known in the art. One or more statistics may include any of the statistics described herein (such as average) or any other appropriate statistics (such as median, Standard deviation, Average and the like). In one such instance, Images can be read in sequence. An image processing algorithm can automatically locate any feature of interest. For example, The position of each contact in the image or the position of each line space pair can be automatically located by the computer subsystem. then, The algorithm can measure the CD of each feature and display the results of each image. At the end of quantifying all images, The detailed statistical data of CD measurement can be saved for further analysis by the user. In the example of the contact array, The quantity to be measured can be the diameter of each contact in the image X and Y and the distance between all contacts (ie, Unit size). The computer subsystem(s) can then generate an image intensity curve through one of the contacts in the image, Since then, the diameter of the contact hole can be measured. For example, The gray scale in the image of a contact hole can be drawn according to the position of a diameter across the contact hole. The full width at half maximum (FWHM) of the quantitative curve can then be measured and determined as the diameter of the contact hole. When a new pattern type or control quantity is required, The embodiments described herein are flexible in nature and new algorithms can be easily added. Display the image and any related measurement pattern attributes to the user. These measurements and attributes can then be saved for further use. Images can be classified by new defect attributes and the resulting statistical distribution can be incorporated into the initial optical inspection result file. In some embodiments, Different areas include areas on the sample (defects detected on the sample and selected for defect re-detection are located in these areas), And during the execution of a defect re-inspection procedure for defects, different images are generated by the measurement subsystem. For example, During wafer inspection, Defects can be detected on samples. then, Select one sample of defects for defect re-examination. Defect re-inspection involves obtaining an image of the sample only at the area where the previous defect was located. therefore, In the defect re-inspection, For each defect contained in a sample, A series of images can be acquired at discrete locations on the sample (one defect location at a time). The embodiments described herein may use the images as described further herein to determine one or more characteristics of patterned features in different images. thus, The embodiments described herein may only use images generated during defect re-detection at the location of the defect previously detected on the sample (without generating or acquiring any additional images) to determine the patterned features in the image ( Several) characteristics. In one embodiment, Multiple patterned features include contacts. The contacts may include any type of contact of any type design used to manufacture any type of device on the sample. In another embodiment, The measurement subsystem is configured as an electron beam microscope. In this way, The embodiments described herein can be configured to measure the contacts using images generated by an SEM or other suitable electron beam microscope (which can be configured as described further herein). In some embodiments, One or more characteristics include a critical dimension (CD) of the contact. The CD of the contact may include one of the diameter of the contact or any other suitable CD of the contact. In one such instance, A substantially high-resolution SEM re-inspection tool is used to re-inspect the defects sought by an optical defect-finding device. In this re-detection image, It can substantially accurately measure the size of defects and other image features and CDs. The embodiments described herein allow the user to effectively scan through substantially a large number of these SEM re-detect images and automatically measure many CDs within these images, Thereby giving feedback to the optical inspection tool. For example, In the SEM image with hundreds of contacts, The diameter of all contacts can be measured to quantify the consistency of the program steps, And measure the distance between all contacts, This can quantify the accuracy of the overlay in the case of double or multiple patterning process steps. Double or multiple patterning steps generally involve printing different parts of a single layer on the same copy in different process steps. therefore, The embodiments described herein can be used to efficiently and accurately determine the positioning of the first feature printed on one layer of the sample in one program step relative to the feature printed on the same layer of the sample in another program step . The embodiments described herein significantly reduce the time to produce results on the initial optical defect finding device. In a specific example, The embodiments described herein apply to a contact layer with programming defects. Measure and plot all contact diameters in a scatter diagram. In particular, Generate a scatter diagram of the X and Y dimensions of all contacts in an image. One of the stylized defects consisting of a contracted contact is easy to highlight. For example, The size of the "cloud" of the contact diameter gives one-time visual feedback of the accuracy and repeatability of the contact size. Any statistical outliers (for example, A shrink joint) can be marked in a certain way (for example) using a color (such as red), And it can be detected as a defect in the optical inspection. The degree of expansion (standard deviation) of normal diameter contacts sets a limit on which defect sizes can be detected externally during naturally occurring process changes. In addition, Generate a histogram distribution of all contact sizes in 1000 images. Can execute 100 in a substantially short amount of time, 000 measurements. SEM re-inspection images have usually been used to quantify a defect previously detected by an inspection tool. The embodiments described herein provide features and CDs (such as the substantially large number of contact diameters and cell sizes in SEM re-detection images) as an integral part of the defect detection process. This ability is valuable in process control, Therefore, the CD measurement information is fed back to the defect detection process of the optical inspection tool. This capability can be extended to many different feature measurements in SEM images. This capability significantly reduces the time it takes for the defect detection process to produce results. Compared with the embodiments described herein, A relatively large number of SEM images of potential defect areas can be collected from many locations on a wafer. The application engineer can then display each of these images on a computer screen and determine whether there is a defect in the image. It is simple to measure the size of a defect and draw pixels in two dimensions by drawing a frame around the defect, But it is impractical to measure the CD of many (hundreds) features in the image in this way. usually, The measurement is only performed to an accuracy of one integer number of pixels. therefore, Statistics on CDs remain sparse and not relatively accurate. therefore, Compared to the embodiments described herein, The currently used method described above has several disadvantages. For example, The manual classification of SEM audio is essentially labor-intensive and time-consuming. As design rules shrink, Changes in the program at a given level become key variables. Within the allotted time, An engineer can only measure a substantially limited number of CDs in an image or a group of images. The accuracy of the measurement can be dependent on the user, And in the presence of image noise, These measurements can be subjective and change repeatedly. In a further embodiment, Multiple patterned features include line and space pairs. For example, Images with vertical line-space patterns can be checked by measuring the line-spacing at each pixel along the trench. A scatter diagram of a size determined for the line-space pattern can be generated. Use this scatter plot, Any outlier line-space can be identified as described above. The width or depth of the trench (such as average width, Minimum depth, Maximum depth, Various data of minimum width and maximum width) to generate another graph of groove depth/contrast according to the groove width, They can be based on targeting a substantial number of images (for example, 1000 images) to determine the size of the line-space measurement. In an additional embodiment, One or more computer subsystems are further configured to automatically classify multiple patterned features based on the determined one or more statistical data. For example, The embodiments described herein can be configured for automatic contact classification using SEM re-detection images. Contacts can be automatically classified as defects (for example, Shrink contacts, Zoom in contacts, Misplaced contacts, etc.) may be classified in some other way based on one or more statistical data determined. The embodiments described herein have several advantages over other methods and systems for measuring the characteristics of patterned features. For example, As design rules shrink, Substantially small aberrations in printed features (such as line edge roughness (LER) or joint size) become critical measurements, Its level (not just the defect itself) is important in program control. The embodiments described herein for measuring CD do not require a dedicated CD tool and are orders of magnitude faster and more accurate than the SEM manual measurement of the CD in the image for re-detection. therefore, The embodiments described herein can save a lot of time relative to the manual measurement and classification of CDs in patterns imaged using SEM re-inspection tools. The results are more repeatable and not user dependent. therefore, The measurement system is more accurate and repeatable. Since defects and features can be measured in a substantially short amount of time, Therefore, the embodiment may be an integrated part of the defect detection procedure and the procedure limitation. In addition, Give users better statistics on the consistency of a given procedure step, Can handle larger samples. In addition, The results generated by the embodiments described herein can be fed back to the optical inspection tool for further tuning of the inspection recipe. This configuration can have specific advantages in characterizing PWQ or FEM wafers. Given this description, Those skilled in the art will understand further modifications and alternative embodiments of the various aspects of the invention. For example, Provides a method and system for determining one or more parameters of a program to be executed on a sample. therefore, This description is to be understood as illustrative only and for the purpose of teaching a person skilled in the art to perform the present invention in a general manner. Should understand, The form of the invention shown and described herein should be considered as the presently preferred embodiment. After benefiting from this description of the invention, As those familiar with this technology will understand, Elements and materials may replace those shown and described herein. Parts and procedures can be reversed and certain features of the invention can be utilized independently. Changes can be made to the elements described herein without departing from the spirit and scope of the invention as described in the patent application scope of the invention below.

10‧‧‧量測子系統14‧‧‧樣本16‧‧‧光源18‧‧‧光學元件20‧‧‧透鏡21‧‧‧光束分離器22‧‧‧載物台24‧‧‧集光器26‧‧‧元件28‧‧‧偵測器30‧‧‧集光器32‧‧‧元件34‧‧‧偵測器36‧‧‧電腦子系統102‧‧‧電腦子系統122‧‧‧電子柱124‧‧‧電腦子系統126‧‧‧電子束源128‧‧‧樣本130‧‧‧元件132‧‧‧元件134‧‧‧偵測器300‧‧‧視場(FOV)302‧‧‧量測位點304‧‧‧所關注區(ROI)306‧‧‧所關注區(ROI)308‧‧‧所關注區(ROI)310‧‧‧量測312‧‧‧量測314‧‧‧量測316‧‧‧量測400‧‧‧部分402‧‧‧圖樣/特徵404‧‧‧圖樣/特徵406‧‧‧圖樣/特徵600‧‧‧所關注區(ROI)602‧‧‧所關注區(ROI)604‧‧‧所關注區(ROI)606‧‧‧所關注區(ROI)608‧‧‧所關注區(ROI)610‧‧‧所關注區(ROI)612‧‧‧所關注區(ROI)614‧‧‧所關注區(ROI)616‧‧‧所關注區(ROI)618‧‧‧所關注區(ROI)620‧‧‧所關注區(ROI)700‧‧‧線702‧‧‧多邊形704‧‧‧線706‧‧‧多邊形708‧‧‧下邊緣710‧‧‧區域712‧‧‧區域800‧‧‧上邊緣1000‧‧‧線1002‧‧‧線1004‧‧‧線1006‧‧‧多邊形1008‧‧‧假想中心線1010‧‧‧假想中心線1012‧‧‧假想中心線1014‧‧‧假想中心線1100‧‧‧線1102‧‧‧線1104‧‧‧線1106‧‧‧多邊形1108‧‧‧假想中心線1110‧‧‧假想中心線1112‧‧‧假想中心線1114‧‧‧假想中心線1200‧‧‧對準1202‧‧‧對準1204‧‧‧對準1206‧‧‧對準1300‧‧‧圖案化特徵1302‧‧‧圖案化特徵1304‧‧‧圖案化特徵1306‧‧‧圖案化特徵1308‧‧‧假想中心線1310‧‧‧假想中心線1312‧‧‧假想中心線1314‧‧‧假想中心線1316‧‧‧中心線1318‧‧‧中心線1320‧‧‧中心線1322‧‧‧中心線1324‧‧‧中心線1326‧‧‧線1328‧‧‧線1400‧‧‧非暫時性電腦可讀媒體1402‧‧‧程式指令1404‧‧‧電腦系統1500‧‧‧設計縮略形式1502‧‧‧線端拉回所關注區(ROI)1504‧‧‧寬度所關注區(ROI)1504a‧‧‧所關注區(ROI)1506‧‧‧空間所關注區(ROI)1508‧‧‧隅角所關注區(ROI)1510‧‧‧SEM斑點1512‧‧‧所關注區(ROI)資訊1600‧‧‧位置1602‧‧‧區域1604‧‧‧設計縮略形式1606‧‧‧所關注區(ROI)1700‧‧‧位置1702‧‧‧位置1704‧‧‧區域1706‧‧‧區域1710‧‧‧設計縮略形式1712‧‧‧所關注區(ROI)1714‧‧‧所關注區(ROI)1800‧‧‧熱點1802‧‧‧空間1804‧‧‧特徵1806‧‧‧線1808‧‧‧所關注區(ROI)1810‧‧‧所關注區(ROI)10‧‧‧Measurement subsystem 14‧‧‧Sample 16‧‧‧Light source 18‧‧‧Optical element 20‧‧‧Lens 21‧‧‧ Beam splitter 22‧‧‧Object stage 24‧‧‧Concentrator 26‧‧‧component 28‧‧‧detector 30‧‧‧concentrator 32‧‧‧component 34‧‧‧detector 36‧‧‧ computer subsystem 102‧‧‧computer subsystem 122‧‧‧Electronics Column 124‧‧‧ Computer subsystem 126‧‧‧E-beam source 128‧‧‧Sample 130‧‧‧Element 132‧‧‧Element 134‧‧‧ Detector 300‧‧‧Field of view (FOV) 302‧‧‧ Measurement location 304‧‧‧ region of interest (ROI) 306‧‧‧ region of interest (ROI) 308‧‧‧ region of interest (ROI) 310‧‧‧ measurement 312‧‧‧ measurement 314‧‧‧ Measurement 316‧‧‧Measurement 400‧‧‧Part 402‧‧‧Pattern/feature 404‧‧‧Pattern/feature 406‧‧‧Pattern/feature 600‧‧‧‧ area of interest (ROI) 602‧‧‧ follow Area (ROI) 604‧‧‧ area of interest (ROI) 606‧‧‧ area of interest (ROI) 608‧‧‧ area of interest (ROI) 610‧‧‧ area of interest (ROI) 612‧‧‧ follow Region (ROI) 614‧‧‧ Region of concern (ROI) 616‧‧‧ Region of concern (ROI) 618‧‧‧ Region of concern (ROI) 620‧‧‧ Region of concern (ROI) 700‧‧‧ Line 702 ‧‧‧Polygon 704‧‧‧line 706‧‧‧polygon 708‧‧‧lower edge 710‧‧‧region 712‧‧‧region 800‧‧‧upper edge 1000‧‧‧line 1002‧‧‧‧line 1004‧‧‧ Line 1006‧‧‧Polygon 1008‧‧‧Imaginary center line 1010‧‧‧Imaginary center line 1012‧‧‧Imaginary center line 1014‧‧‧Imaginary center line 1100‧‧‧ Line 1102‧‧‧ Line 1104‧‧‧ Line 1106 ‧‧‧Polygon 1108‧‧‧Imaginary center line 1110‧‧‧Imaginary center line 1112‧‧‧Imaginary center line 1114‧‧‧Imaginary center line 1200‧‧‧Align 1202‧‧‧Align 1204‧‧‧Align 1206‧‧‧Aligned 1300‧‧‧Patterned feature 1302‧‧‧Patterned feature 1304‧‧‧Patterned feature 1306‧‧‧Patterned feature 1308‧‧‧Imaginary centerline 1310‧‧‧Imaginary centerline 1312‧ ‧‧Imaginary centerline 1314‧‧‧Imaginary centerline 1316‧‧‧Centerline 1318‧‧‧Centerline 1320‧‧‧Centerline 1322‧‧‧Centerline 1324‧‧‧Centerline 1326‧‧‧Line 1328‧‧ ‧Line 1400‧‧‧non-transitory computer readable media 1402‧‧‧program command 1404‧‧‧computer system 1500‧‧‧designed shortened form 1502‧‧‧line end pull back to the area of interest (ROI) 1504‧‧ ‧Width of interest (ROI) 1504a‧‧‧Region of interest (ROI) 1506‧‧‧Space of interest (ROI) 1508‧‧‧corner of interest (ROI) 1510‧‧‧SEM spot 1512‧‧ ‧Region of interest (ROI) information 1600‧‧‧ location 1602‧‧‧region 1604‧‧‧Design abbreviated form 1606‧‧‧region of interest (ROI) 1700‧‧‧ location 1702‧‧‧ location 1704‧‧‧ Region 1706‧‧‧Region 1710‧‧‧Design abbreviated form 1712‧‧‧ area of interest (ROI) 1714‧‧‧ area of interest (ROI)1800‧‧‧hot spot 1802‧‧‧space 1804‧‧‧Feature 1806 ‧‧‧ line 1808‧‧‧ area of interest (ROI) 1810‧‧‧ area of interest (ROI)

熟習此項技術者在獲益於較佳實施例之以下詳細描述之情況下且在參考隨附圖式之後將變得明白本發明之進一步優點,其中: 圖1及圖2係繪示如本文中描述般組態之一系統之實施例之側視圖之示意圖; 圖3係繪示本文使用之各種術語(包含量測位點、視場及所關注區)之間的關係之一項實施例之一平面視圖之一示意圖; 圖4係繪示一晶圓之一設計之一部分在其出現於設計空間中時之一個實例之一平面視圖之一示意圖; 圖5係繪示圖4中展示之設計之部分在其可印刷於一晶圓上時之一個實例之一平面視圖之一示意圖; 圖6係繪示在圖5中展示之設計之部分內具有潛在所關注區之該設計之部分之一項實施例之一平面視圖之一示意圖; 圖7至圖8係繪示用於將設計空間中之一晶圓之一設計之一部分與晶圓空間中之晶圓之設計之部分對準之當前使用方法之結果之不同實例之平面視圖之示意圖; 圖9係繪示用於將設計空間中之一晶圓之一設計之一部分與晶圓空間中之晶圓之設計之部分對準之一實施例之結果之一個實例之一平面視圖之一示意圖; 圖10至圖12係繪示設計及晶圓空間中之一晶圓之一設計之一部分之平面視圖及其等如何可藉由本文中描述之實施例對準之示意圖; 圖13係繪示晶圓空間中之一晶圓之一設計之一部分之一平面視圖及可如何藉由本文中描述之實施例判定可跨其執行一量測之尺寸之一示意圖; 圖14係繪示儲存用於引起一電腦系統執行本文中描述之一電腦實施方法之程式指令之一非暫時性電腦可讀媒體之一項實施例之一方塊圖; 圖15至圖17係繪示一樣本之一設計之資訊、樣本上偵測到之一缺陷之一區域及使用設計之資訊及缺陷之區域藉由本文中描述之實施例執行之各種步驟之結果之各種實施例之平面視圖之示意圖;及 圖18係繪示針對一樣本之一設計中之僅一個熱點判定之一個以上額外所關注區之一項實施例之一平面視圖之一示意圖。 雖然本發明易受各種修改及替代形式影響,但其特定實施例在圖式中係藉由實例展示且將在本文中詳細描述。圖式可不按比例繪製。然而,應理解,圖式及其等之實施方式並不旨在將本發明限於所揭示之特定形式,而相反地,意欲涵蓋落於如藉由隨附發明申請專利範圍所界定之本發明之精神及範疇內之所有修改、等效物及替代方案。Those skilled in the art will benefit from the following detailed description of the preferred embodiment and after referring to the accompanying drawings, it will become clear that further advantages of the present invention, wherein: FIG. 1 and FIG. 2 are illustrated as A schematic diagram of a side view of an embodiment of a system with the general configuration described in FIG. 3; FIG. 3 is an embodiment showing the relationship between various terms (including measurement site, field of view, and area of interest) used herein A schematic view of a plan view; FIG. 4 is a schematic view of a plan view of an example of a part of a design of a wafer when it appears in the design space; FIG. 5 is a schematic view of a plan view shown in FIG. 4 A schematic view of a plan view of an example of a part of a design when it can be printed on a wafer; FIG. 6 shows a part of the design with potential areas of interest within the part of the design shown in FIG. 5 A schematic view of a plan view of an embodiment; FIGS. 7 to 8 are diagrams for aligning a part of a design of a wafer in a design space with a design part of a wafer in a wafer space A schematic view of a plan view of different examples of the results of the current method of use; FIG. 9 shows one of the parts of the design of a wafer in the design space and one of the parts of the design of the wafer in the wafer space An example of a plan view of an example of the result of the embodiment; FIGS. 10 to 12 are plan views showing a part of a design of a wafer in a design and wafer space and how it can be used in this article A schematic diagram of the alignment of the described embodiment; FIG. 13 is a plan view showing a part of a design of a wafer in the wafer space and how a measurement can be performed across it determined by the embodiments described herein 14 is a block diagram of an embodiment of a non-transitory computer-readable medium storing program instructions for causing a computer system to execute a computer-implemented method described herein; 15 to 17 show the results of various steps performed by the embodiment described in this article for the information of one design of the sample, one area of a defect detected on the sample, and the information of the design and the area of the defect Schematic views of plan views of various embodiments; and FIG. 18 is a schematic view of a plan view of one embodiment of one or more additional areas of interest determined for only one hot spot in a design of the same sample. Although the present invention is susceptible to various modifications and alternative forms, specific embodiments thereof are shown by way of examples in the drawings and will be described in detail herein. The drawing may not be drawn to scale. However, it should be understood that the drawings and their embodiments are not intended to limit the present invention to the particular forms disclosed, but on the contrary, are intended to cover the invention as defined by the scope of the accompanying invention patent application All modifications, equivalents and alternatives within the spirit and scope.

10‧‧‧量測子系統 10‧‧‧ Measurement subsystem

14‧‧‧樣本 14‧‧‧ sample

16‧‧‧光源 16‧‧‧Light source

18‧‧‧光學元件 18‧‧‧Optical components

20‧‧‧透鏡 20‧‧‧Lens

21‧‧‧光束分離器 21‧‧‧beam splitter

22‧‧‧載物台 22‧‧‧stage

24‧‧‧集光器 24‧‧‧Concentrator

26‧‧‧元件 26‧‧‧ Components

28‧‧‧偵測器 28‧‧‧detector

30‧‧‧集光器 30‧‧‧Concentrator

32‧‧‧元件 32‧‧‧component

34‧‧‧偵測器 34‧‧‧detector

36‧‧‧電腦子系統 36‧‧‧ Computer subsystem

102‧‧‧電腦子系統 102‧‧‧ Computer subsystem

Claims (37)

一種經組態以判定將對一樣本執行之一程序之一或多個參數之系統,其包括:一量測子系統,其包括至少一能量源及一偵測器,其中該能量源經組態以產生引導至該樣本之能量,且其中該偵測器經組態以偵測來自該樣本之能量且回應於該偵測之能量產生輸出;及一或多個電腦子系統,其等經組態用於:判定在該樣本上所偵測之一缺陷之一區域;將該缺陷之該區域與該樣本之一設計之資訊相互關聯;基於該相互關聯之結果判定該缺陷之該區域與該設計之該資訊之間的一空間關係;及自動產生一所關注區,在該所關注區中基於該空間關係針對該樣本執行一或多個量測,其中在藉由該量測子系統執行於該樣本上之一程序期間,藉由該量測子系統在該所關注區中執行該一或多個量測。 A system configured to determine one or more parameters of a program to be executed on a sample, which includes: a measurement subsystem including at least one energy source and a detector, wherein the energy source is grouped To generate energy directed to the sample, and wherein the detector is configured to detect the energy from the sample and generate an output in response to the detected energy; and one or more computer subsystems, etc. The configuration is used to: determine an area of a defect detected on the sample; correlate the area of the defect with the design information of the sample; determine the area of the defect based on the result of the correlation A spatial relationship between the information of the design; and automatically generating an area of interest in which one or more measurements are performed on the sample based on the spatial relationship, wherein the measurement subsystem During a procedure executed on the sample, the one or more measurements are performed in the area of interest by the measurement subsystem. 如請求項1之系統,其中該缺陷之該區域係藉由在該缺陷之一影像中圍繞該缺陷繪製之一定界框界定。 The system of claim 1, wherein the area of the defect is defined by a bounding box drawn around the defect in an image of the defect. 如請求項1之系統,其中該缺陷之該區域係藉由在該缺陷之一基於電子束影像中圍繞該缺陷繪製之一自由形式區域界定。 The system of claim 1, wherein the area of the defect is defined by a free-form area drawn around the defect in an electron beam image based on the defect. 如請求項1之系統,其中該設計之該資訊包括該設計之一個以上層之 資訊。 As in the system of claim 1, the information of the design includes more than one layer of the design News. 如請求項1之系統,其中該設計之該資訊未包含不會印刷於該樣本上之該設計之特徵之資訊。 As in the system of claim 1, the information of the design does not contain information about the characteristics of the design that will not be printed on the sample. 如請求項1之系統,其中該設計之該資訊包括該設計中之圖案化特徵之資訊,且其中該相互關聯包括將該缺陷之該區域與該設計中之該等圖案化特徵疊對。 The system of claim 1, wherein the information of the design includes information on patterned features in the design, and wherein the correlation includes overlapping the area of the defect with the patterned features in the design. 如請求項1之系統,其中該設計之該資訊包括:藉由該一或多個電腦子系統僅基於該設計判定之該設計中之額外所關注區之資訊。 The system of claim 1, wherein the information of the design includes: information of additional areas of interest in the design determined solely by the one or more computer subsystems based on the design. 如請求項1之系統,其中該設計之該資訊包括:藉由該一或多個電腦子系統憑藉僅基於該設計執行之圖樣匹配來判定之該設計中之額外所關注區之資訊。 The system of claim 1, wherein the information of the design includes: information of additional areas of interest in the design determined by the one or more computer subsystems by pattern matching based only on the design. 如請求項1之系統,其中該設計之該資訊包括:藉由該一或多個電腦子系統憑藉僅基於該設計執行之幾何匹配來判定之該設計中之額外所關注區之資訊。 The system of claim 1, wherein the information of the design includes: information of additional areas of interest in the design determined by the one or more computer subsystems by virtue of geometric matching performed based only on the design. 如請求項1之系統,其中該設計之該資訊包括:藉由該一或多個電腦子系統僅基於該設計之單元資訊來判定之該設計中之額外所關注區之資訊。 The system of claim 1, wherein the information of the design includes: information of additional areas of interest in the design determined by the one or more computer subsystems based only on unit information of the design. 如請求項1之系統,其中該設計之該資訊包括:藉由該一或多個電腦子系統僅基於該設計之一圖形表示之影像處理來判定之該設計中之額外所關注區之資訊。 The system of claim 1, wherein the information of the design includes: information of additional areas of interest in the design determined by image processing of the one or more computer subsystems based only on a graphical representation of the design. 如請求項1之系統,其中該設計之該資訊包括:僅基於該設計之熱點資訊判定之該設計中之額外所關注區之資訊。 As in the system of claim 1, the information of the design includes: information of additional areas of interest in the design determined based only on hotspot information of the design. 如請求項1之系統,其中該設計之該資訊包括:僅基於該設計中之熱點之資訊來判定之該設計中之額外所關注區之資訊,且其中僅針對該等熱點之一者判定一個以上該等額外所關注區。 As in the system of claim 1, the information of the design includes: information of additional areas of interest in the design determined based only on information of hotspots in the design, and of which only one of the hotspots is determined These additional areas of concern. 如請求項1之系統,其中該設計之該資訊包括僅基於該設計判定之該設計中之額外所關注區之資訊,其中該判定該空間關係包括:判定哪些該等額外所關注區與該缺陷之該區域在空間上重疊,且其中該自動產生包括:選擇與該缺陷之該區域在空間上重疊之該等額外所關注區之一者以作為待針對該缺陷量測之該所關注區。 As in the system of claim 1, wherein the information of the design includes information of additional areas of interest in the design determined based only on the design, wherein the determination of the spatial relationship includes: determining which of the additional areas of interest are related to the defect The area overlaps spatially, and wherein the automatic generation includes: selecting one of the additional areas of interest spatially overlapping the area of the defect as the area of interest to be measured for the defect. 如請求項1之系統,其中該自動產生包括基於該缺陷之該區域與該設計之該資訊之間的該空間關係更改該缺陷之該區域及將該缺陷之該經更改區域指定為該所關注區。 The system of claim 1, wherein the automatic generation includes changing the area of the defect based on the spatial relationship between the area of the defect and the information of the design and designating the changed area of the defect as the focus Area. 如請求項1之系統,其中該設計之該資訊包括該設計中之圖案化特徵 之資訊,且其中該自動產生包括基於該缺陷之該區域及鄰近於該缺陷之該區域之該等圖案化特徵判定該所關注區之一區域。 The system of claim 1, wherein the information of the design includes patterned features in the design Information, and wherein the automatic generation includes determining an area of the area of interest based on the area of the defect and the patterned features adjacent to the area of the defect. 如請求項1之系統,其中該設計之該資訊包括該設計中之圖案化特徵之資訊,且其中該自動產生包括基於該缺陷之該區域或該缺陷附近之該等圖案化特徵之一或多者之一最小者判定該所關注區之一區域。 The system of claim 1, wherein the information of the design includes information of patterned features in the design, and wherein the automatic generation includes one or more of the patterned features based on the area of the defect or near the defect The smallest one determines the area of the area of interest. 如請求項1之系統,其中該缺陷之該區域係藉由在該缺陷之一基於電子束影像中圍繞該缺陷繪製之一自由形式區域界定,其中該設計之該資訊包括:僅基於該設計判定之該設計中之額外所關注區之資訊,其中該判定該空間關係包括:判定該等額外所關注區之哪一者與該缺陷之該區域在空間上重疊或最靠近該缺陷之該區域,且其中該自動產生包括:將與該缺陷之該區域在空間上重疊或最靠近該缺陷之該區域之該等額外所關注區之一者選擇或優先化為待針對該缺陷量測之該所關注區。 The system of claim 1, wherein the area of the defect is defined by a free-form area drawn around the defect in an electron beam image based on the defect, wherein the information of the design includes: only based on the design decision Information of the additional area of interest in the design, wherein the determination of the spatial relationship includes: determining which of the additional areas of interest spatially overlaps the area of the defect or is closest to the area of the defect, And wherein the automatic generation includes: selecting or prioritizing one of the additional areas of interest that spatially overlaps with the area of the defect or closest to the area of the defect to the place to be measured for the defect Concern area. 如請求項1之系統,其中該設計之該資訊包括:藉由該一或多個電腦子系統僅基於該設計判定之該設計中之額外所關注區之資訊,且其中在該程序期間量測該等額外區之一或多者。 The system of claim 1, wherein the information of the design includes: information about additional areas of interest in the design that are determined by the one or more computer subsystems based only on the design, and wherein the measurement is performed during the process One or more of these additional areas. 如請求項1之系統,其中該一或多個電腦子系統進一步經組態用於自動判定在該程序期間藉由該量測子系統在該所關注區中執行之該一或多個量測之一或多個參數。 The system of claim 1, wherein the one or more computer subsystems are further configured to automatically determine the one or more measurements performed by the measurement subsystem in the area of interest during the procedure One or more parameters. 如請求項1之系統,其中藉由該偵測器產生之該輸出包括該樣本之影像,其中藉由該偵測器針對該樣本上之不同區域產生不同影像,其中該樣本上之多個圖案化特徵在該等不同影像中成像,且其中該一或多個電腦子系統進一步經組態用於自動定位該等不同影像中之該多個圖案化特徵,判定該等不同影像中之該經定位多個圖案化特徵之一或多個特性,及判定針對該等不同影像中之該經定位多個圖案化特徵判定之該一或多個特性之一或多個統計資料。 The system of claim 1, wherein the output generated by the detector includes an image of the sample, wherein the detector generates different images for different regions on the sample, wherein the patterns on the sample Features are imaged in the different images, and the one or more computer subsystems are further configured to automatically locate the patterned features in the different images to determine the experience in the different images Locating one or more characteristics of the plurality of patterned features, and determining one or more statistics of the one or more characteristics determined for the located plurality of patterned features in the different images. 如請求項21之系統,其中該等不同區域包括該樣本上之區域,在該樣本上偵測且針對缺陷再檢測選擇之缺陷定位於該等區域中,且其中在針對該等缺陷執行之一缺陷再檢測程序期間藉由該量測子系統產生該等不同影像。 The system of claim 21, wherein the different regions include regions on the sample, defects detected on the sample and selected for defect re-detection are located in the regions, and wherein one of the defects is performed for the defects The measurement subsystem generates these different images during the defect re-inspection process. 如請求項21之系統,其中該多個圖案化特徵包括接點。 The system of claim 21, wherein the plurality of patterned features includes contacts. 如請求項23之系統,其中該量測子系統進一步經組態為一電子束顯微鏡。 The system of claim 23, wherein the measurement subsystem is further configured as an electron beam microscope. 如請求項23之系統,其中該一或多個特性包括該等接點之一臨界尺寸。 The system of claim 23, wherein the one or more characteristics include a critical dimension of the contacts. 如請求項21之系統,其中該多個圖案化特徵包括線及空間對。 The system of claim 21, wherein the plurality of patterned features include line and space pairs. 如請求項21之系統,其中該一或多個電腦子系統進一步經組態用於基於該經判定之一或多個統計資料而對該多個圖案化特徵自動分類。 The system of claim 21, wherein the one or more computer subsystems are further configured to automatically classify the plurality of patterned features based on the determined one or more statistical data. 如請求項1之系統,其中在線內監測對該樣本執行之一製造程序期間對該樣本執行該程序。 The system of claim 1, wherein the process is performed on the sample during online monitoring of one of the manufacturing processes performed on the sample. 如請求項1之系統,其中該程序包括一計量程序,且其中該系統進一步經組態為一計量工具。 The system of claim 1, wherein the procedure includes a metrology procedure, and wherein the system is further configured as a metrology tool. 如請求項1之系統,其中該程序包括一檢測程序,且其中該系統進一步經組態為一檢測工具。 The system of claim 1, wherein the procedure includes a testing procedure, and wherein the system is further configured as a testing tool. 如請求項1之系統,其中該程序包括一缺陷再檢測程序,且其中該系統進一步經組態為一缺陷再檢測工具。 The system of claim 1, wherein the procedure includes a defect re-inspection procedure, and wherein the system is further configured as a defect re-inspection tool. 如請求項1之系統,其中該樣本包括一晶圓。 The system of claim 1, wherein the sample includes a wafer. 如請求項1之系統,其中該樣本包括一倍縮光罩。 The system of claim 1, wherein the sample includes a double reticle. 如請求項1之系統,其中經引導至該樣本之該能量包括光,且其中自該樣本偵測之該能量包括光。 The system of claim 1, wherein the energy directed to the sample includes light, and wherein the energy detected from the sample includes light. 如請求項1之系統,其中經引導至該樣本之該能量包括電子,且其中 自該樣本偵測之該能量包括電子。 The system of claim 1, wherein the energy directed to the sample includes electrons, and wherein The energy detected from the sample includes electrons. 一種非暫時性電腦可讀媒體,其儲存可在一電腦系統上執行以執行用於判定用於將對一樣本執行之一程序一或多個參數之一電腦實施方法之程式指令,其中該電腦實施方法包括:判定在該樣本上所偵測之一缺陷之一區域;將該缺陷之該區域與該樣本之一設計之資訊相互關聯;基於該相互關聯之結果判定該缺陷之該區域與該設計之該資訊之間的一空間關係;及自動產生一所關注區,在該所關注區中基於該空間關係針對該樣本執行一或多個量測,其中在藉由一量測子系統執行於該樣本上之一程序期間,藉由該量測子系統在該所關注區中執行該一或多個量測,其中該量測子系統包括至少一能量源及一偵測器,其中該能量源經組態以產生引導至該樣本之能量,且其中該偵測器經組態以偵測來自該樣本之能量且回應於該偵測之能量產生輸出。 A non-transitory computer-readable medium whose storage is executable on a computer system to execute program instructions for determining a computer-implemented method for one or more parameters of a program to be executed on a sample, wherein the computer The implementation method includes: determining a region of a defect detected on the sample; correlating the region of the defect with the design information of one of the samples; determining the region of the defect and the defect based on the result of the correlation Designing a spatial relationship between the information; and automatically generating an area of interest in which one or more measurements are performed on the sample based on the spatial relationship, where is performed by a measurement subsystem During a procedure on the sample, the one or more measurements are performed in the area of interest by the measurement subsystem, wherein the measurement subsystem includes at least one energy source and a detector, wherein the The energy source is configured to generate energy directed to the sample, and wherein the detector is configured to detect energy from the sample and generate an output in response to the detected energy. 一種用於判定將對一樣本執行之一程序之一或多個參數之電腦實施方法,其包括:判定在該樣本上所偵測之一缺陷之一區域;將該缺陷之該區域與該樣本之一設計之資訊相互關聯;基於該相互關聯之結果判定該缺陷之該區域與該設計之該資訊之間的一空間關係;及自動產生一所關注區,在該所關注區中基於該空間關係針對該樣本執 行一或多個量測,其中在藉由一量測子系統執行於該樣本上之一程序期間,藉由該量測子系統在該所關注區中執行該一或多個量測,其中該量測子系統包括至少一能量源及一偵測器,其中該能量源經組態以產生引導至該樣本之能量,其中該偵測器經組態以偵測來自該樣本之能量且回應於該偵測之能量產生輸出,且其中該判定該區域、該相互關聯、該判定該空間關係及該自動產生係藉由一或多個電腦系統執行。A computer-implemented method for determining that one or more parameters of a program to be executed on a sample includes: determining an area of a defect detected on the sample; and comparing the area of the defect with the sample The information of one design is related to each other; a spatial relationship between the area of the defect and the information of the design is determined based on the result of the correlation; and an area of interest is automatically generated based on the space in the area of interest Relationship One or more measurements are performed, wherein during one procedure performed on the sample by a measurement subsystem, the one or more measurements are performed in the area of interest by the measurement subsystem, wherein The measurement subsystem includes at least one energy source and a detector, wherein the energy source is configured to generate energy directed to the sample, wherein the detector is configured to detect energy from the sample and respond An output is generated from the detected energy, and wherein the determination of the area, the correlation, the determination of the spatial relationship, and the automatic generation are performed by one or more computer systems.
TW105127595A 2015-08-28 2016-08-26 Self directed metrology and pattern classification TWI684225B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562211375P 2015-08-28 2015-08-28
US62/211,375 2015-08-28
US15/247,774 2016-08-25
US15/247,774 US10483081B2 (en) 2014-10-22 2016-08-25 Self directed metrology and pattern classification

Publications (2)

Publication Number Publication Date
TW201727789A TW201727789A (en) 2017-08-01
TWI684225B true TWI684225B (en) 2020-02-01

Family

ID=58188172

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105127595A TWI684225B (en) 2015-08-28 2016-08-26 Self directed metrology and pattern classification

Country Status (6)

Country Link
JP (1) JP6914249B2 (en)
KR (1) KR102340756B1 (en)
CN (1) CN107924850B (en)
IL (1) IL257205B (en)
TW (1) TWI684225B (en)
WO (1) WO2017040351A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10997710B2 (en) * 2017-10-18 2021-05-04 Kla-Tencor Corporation Adaptive care areas for die-die inspection
US11094053B2 (en) * 2018-10-08 2021-08-17 Kla Corporation Deep learning based adaptive regions of interest for critical dimension measurements of semiconductor substrates
CN116503397B (en) * 2023-06-26 2023-09-01 山东天通汽车科技股份有限公司 In-vehicle transmission belt defect detection method based on image data

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080094639A1 (en) * 2006-10-24 2008-04-24 Kla-Tencor Technologies Corporation Waferless recipe optimization
US20090039263A1 (en) * 2007-08-09 2009-02-12 Ryoichi Matsuoka Pattern measurement apparatus
US20090268959A1 (en) * 2008-04-23 2009-10-29 Minoru Harada Method and apparatus for reviewing defects
US20110276935A1 (en) * 2008-06-11 2011-11-10 Kla-Tencor Corporation Systems and methods for detecting design and process defects on a wafer, reviewing defects on a wafer, selecting one or more features within a design for use as process monitoring features, or some combination thereof
US20120141011A1 (en) * 2009-06-02 2012-06-07 Hitachi High-Technologies Corporation Defect image processing apparatus, defect image processing method, semiconductor defect classifying apparatus, and semiconductor defect classifying method
US20140376801A1 (en) * 2013-06-19 2014-12-25 Kla-Tencor Corporation Detecting Defects on a Wafer with Run Time Use of Design Data
US20150139531A1 (en) * 2012-05-11 2015-05-21 Hitachi High-Technologies Corporation Defect analysis assistance device, program executed by defect analysis assistance device, and defect analysis system
KR20150113102A (en) * 2013-02-01 2015-10-07 케이엘에이-텐코 코포레이션 Detecting defects on a wafer using defect-specific and multi-channel information

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6891167B2 (en) * 2000-06-15 2005-05-10 Kla-Tencor Technologies Apparatus and method for applying feedback control to a magnetic lens
JP4154282B2 (en) * 2003-05-14 2008-09-24 株式会社日立ハイテクノロジーズ Circuit pattern inspection device
US8089058B2 (en) * 2005-09-01 2012-01-03 Camtek Ltd. Method for establishing a wafer testing recipe
EP1955225A4 (en) * 2005-11-18 2009-11-04 Kla Tencor Tech Corp Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
JP2008235575A (en) * 2007-03-20 2008-10-02 Toshiba Corp Pattern measuring method, pattern measuring device, and program
JP5118872B2 (en) * 2007-03-30 2013-01-16 株式会社日立ハイテクノロジーズ Defect observation method and apparatus for semiconductor device
JP2012068051A (en) * 2010-09-21 2012-04-05 Toshiba Corp Pattern defect inspection device and pattern defect inspection method
US8656323B2 (en) * 2011-02-22 2014-02-18 Kla-Tencor Corporation Based device risk assessment
US9189844B2 (en) * 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
CN102937599B (en) * 2012-10-25 2015-01-07 中国科学院自动化研究所 Non-destructive testing systems and method used for detecting a metal-containing object through X-ray detection
US9619876B2 (en) * 2013-03-12 2017-04-11 Kla-Tencor Corp. Detecting defects on wafers based on 2D scatter plots of values determined for output generated using different optics modes
US10079183B2 (en) * 2013-06-26 2018-09-18 Kla-Tenor Corporation Calculated electrical performance metrics for process monitoring and yield management
US11048159B2 (en) * 2016-03-31 2021-06-29 Hoya Corporation Method for manufacturing reflective mask blank, reflective mask blank, method for manufacturing reflective mask, reflective mask, and method for manufacturing semiconductor device

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080094639A1 (en) * 2006-10-24 2008-04-24 Kla-Tencor Technologies Corporation Waferless recipe optimization
US20090039263A1 (en) * 2007-08-09 2009-02-12 Ryoichi Matsuoka Pattern measurement apparatus
US20090268959A1 (en) * 2008-04-23 2009-10-29 Minoru Harada Method and apparatus for reviewing defects
US20110276935A1 (en) * 2008-06-11 2011-11-10 Kla-Tencor Corporation Systems and methods for detecting design and process defects on a wafer, reviewing defects on a wafer, selecting one or more features within a design for use as process monitoring features, or some combination thereof
US20120141011A1 (en) * 2009-06-02 2012-06-07 Hitachi High-Technologies Corporation Defect image processing apparatus, defect image processing method, semiconductor defect classifying apparatus, and semiconductor defect classifying method
US20150139531A1 (en) * 2012-05-11 2015-05-21 Hitachi High-Technologies Corporation Defect analysis assistance device, program executed by defect analysis assistance device, and defect analysis system
KR20150113102A (en) * 2013-02-01 2015-10-07 케이엘에이-텐코 코포레이션 Detecting defects on a wafer using defect-specific and multi-channel information
US20140376801A1 (en) * 2013-06-19 2014-12-25 Kla-Tencor Corporation Detecting Defects on a Wafer with Run Time Use of Design Data

Also Published As

Publication number Publication date
KR102340756B1 (en) 2021-12-16
KR20180037055A (en) 2018-04-10
CN107924850B (en) 2022-06-28
TW201727789A (en) 2017-08-01
WO2017040351A1 (en) 2017-03-09
IL257205B (en) 2021-01-31
JP6914249B2 (en) 2021-08-04
CN107924850A (en) 2018-04-17
IL257205A (en) 2018-03-29
JP2018530911A (en) 2018-10-18

Similar Documents

Publication Publication Date Title
JP7093828B2 (en) Automatic pattern fidelity measurement plan generation
US10483081B2 (en) Self directed metrology and pattern classification
TWI648533B (en) Computer implemented method for determining a location of inspection data relative to a stored high resolution die image and system configured to determine a location of inspection data relative to a stored high resolution die image
TWI475597B (en) Pattern evaluation method and pattern evaluation device
US20080058977A1 (en) Reviewing apparatus using a sem and method for reviewing defects or detecting defects using the reviewing apparatus
TWI686718B (en) Determining coordinates for an area of interest on a specimen
KR101743083B1 (en) Pattern sensing device and semiconductor sensing system
TWI798521B (en) System and computer-implemented method for selecting one or more deign files for use in test image to design alignment, and non-transitory computer-readable medium
JP2011191296A (en) Pattern inspection device and method
US9702827B1 (en) Optical mode analysis with design-based care areas
TWI684225B (en) Self directed metrology and pattern classification
JP6900252B2 (en) How to utilize the information about the frequency distribution shape of the inspection result of the pattern inspection device
TW202213609A (en) Alignment of a specimen for inspection and other processes
CN117425819A (en) Setting a region of interest for testing a sample