JP5465880B2 - Method and system for using design data in combination with inspection data - Google Patents

Method and system for using design data in combination with inspection data Download PDF

Info

Publication number
JP5465880B2
JP5465880B2 JP2008541507A JP2008541507A JP5465880B2 JP 5465880 B2 JP5465880 B2 JP 5465880B2 JP 2008541507 A JP2008541507 A JP 2008541507A JP 2008541507 A JP2008541507 A JP 2008541507A JP 5465880 B2 JP5465880 B2 JP 5465880B2
Authority
JP
Japan
Prior art keywords
design data
wafer
inspection
data
defect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008541507A
Other languages
Japanese (ja)
Other versions
JP2009516832A5 (en
JP2009516832A (en
Inventor
クルカルニー,アショク
ダッフィー,ブライアン
マーヤー,カイス
ラウズ,ゴードン
ザファー,クーラム
ケカレ,サーガル
チャン,エリス
パーク,アレン
ローズ,ピーター
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Priority claimed from US11/561,735 external-priority patent/US7676077B2/en
Priority claimed from US11/561,659 external-priority patent/US7570796B2/en
Publication of JP2009516832A publication Critical patent/JP2009516832A/en
Publication of JP2009516832A5 publication Critical patent/JP2009516832A5/ja
Application granted granted Critical
Publication of JP5465880B2 publication Critical patent/JP5465880B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F16/00Information retrieval; Database structures therefor; File system structures therefor
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8854Grading and classifying of flaws
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31718Logistic aspects, e.g. binning, selection, sorting of devices under test, tester/handler interaction networks, Test management software, e.g. software for test statistics or test evaluation, yield analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Immunology (AREA)
  • General Health & Medical Sciences (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • Quality & Reliability (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Data Mining & Analysis (AREA)
  • Databases & Information Systems (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

関連出願Related applications

(優先権の主張)
本出願は、参照により本明細書に組み込まれている、2005年11月18日に出願された「Methods and Systems for Utilizing Design Data in Combination with Inspection Data」という表題の米国仮出願第60/737,947号及び2005年11月18日に出願された「Methods and Systems for Utilizing Design Data in Combination with Inspection Data」という表題の米国仮出願第60/738,290号の優先権を主張するものである。
(Claiming priority)
This application is a US Provisional Application No. 60/737, entitled “Methods and Systems for Customizing Design Data in Combination with Inspection Data,” filed Nov. 18, 2005, which is incorporated herein by reference. No. 947 and US Provisional Application No. 60 / 738,290 entitled “Methods and Systems for Customizing Design Data in Combination with Inspection Data” filed on Nov. 18, 2005.

本発明は、一般に、設計データを検査データと組み合わせて使用するための方法及びシステムに関係する。いくつかの実施形態は、設計データ空間における検査データの位置を決定し、及び/又は検査プロセス実行中にウェハ上の設計空間配置の位置を実質的に正確に決定するコンピュータ実施方法に関係する。   The present invention generally relates to a method and system for using design data in combination with inspection data. Some embodiments relate to computer-implemented methods that determine the position of inspection data in the design data space and / or determine the position of the design space placement on the wafer substantially accurately during the execution of the inspection process.

以下の説明及び例は、この節に含まれているという理由から従来技術であるとは認められない。   The following description and examples are not admitted to be prior art because they are included in this section.

集積回路(IC)設計は、電子設計の自動化(EDA)、コンピュータ支援設計(CAD)、その他のIC設計ソフトウェアなど方法又はシステムを使用して行える。IC設計から回路パターン・データベースを生成するために、このような方法及びシステムを使用することができる。回路パターン・データベースは、ICのさまざまな層に対する複数のレイアウトを表すデータを含む。複数のレチクルに対するレイアウトを決定するために、回路パターン・データベース内のデータを使用することができる。レチクルのレイアウトは、一般的に、あるパターンのフィーチャをレチクルに定める複数のポリゴンを含む。それぞれのレチクルは、ICのさまざまな層の1つを加工するために使用される。ICの層は、例えば、半導体基板内の接合パターン、ゲート誘電体パターン、ゲート電極パターン、レベル間誘電体内の接触パターン、電極配線層上の相互接続パターンを含む。   Integrated circuit (IC) design can be performed using methods or systems such as electronic design automation (EDA), computer aided design (CAD), and other IC design software. Such a method and system can be used to generate a circuit pattern database from an IC design. The circuit pattern database includes data representing multiple layouts for various layers of the IC. The data in the circuit pattern database can be used to determine the layout for multiple reticles. A reticle layout typically includes a plurality of polygons that define a pattern of features on the reticle. Each reticle is used to fabricate one of the various layers of the IC. The IC layers include, for example, a junction pattern in a semiconductor substrate, a gate dielectric pattern, a gate electrode pattern, a contact pattern in an interlevel dielectric, and an interconnect pattern on an electrode wiring layer.

本明細書で使用されるような「設計データ」という用語は、ICの物理的設計(レイアウト)を指し、かつ複雑なシミュレーション又は単純な幾何学的オペレーションとブール演算オペレーションによる物理的設計から導き出されるデータを指す。   The term “design data” as used herein refers to the physical design (layout) of an IC and is derived from a physical design through complex simulations or simple geometric and Boolean operations. Refers to data.

半導体デバイス設計は、ICの生産前にさまざまな手順により検証される。例えば、半導体デバイス設計は、製造過程におけるリソグラフィの後にすべてのフィーチャが正しく印刷されることを検証するソフトウェア・シミュレーションよりチェックされる。このようなチェック作業は、一般に、設計ルール・チェック(DRC)、光学ルール・チェック(ORC)、特定のファブやプロセスに較正されたプロセス・シミュレーションを含む検証アプローチに基づくより高度なソフトウェアなどのステップを含む。設計に含まれる潜在的に多数のときには「ホット・スポット」とも呼ばれるクリティカル・ポイントの位置を特定するために、物理的設計検証ステップの出力を使用することができる。   Semiconductor device designs are verified by various procedures prior to IC production. For example, the semiconductor device design is checked by software simulation that verifies that all features are printed correctly after lithography in the manufacturing process. Such check operations typically involve steps such as design rule check (DRC), optical rule check (ORC), more advanced software based on verification approaches including process simulation calibrated to a specific fab or process. including. The output of the physical design verification step can be used to locate critical points, sometimes referred to as “hot spots”, which are also potentially numerous in the design.

論理デバイスやメモリ・デバイスなどの半導体デバイスを製造することは、典型的には、多数の半導体製造プロセスを使用して半導体デバイスのさまざまなフィーチャや複数のレベルを形成する半導体ウェハなどの基板を処理することを含む。例えば、リソグラフィは、レチクルからパターンを半導体ウェハ上に配列されているレジストに転写することを伴う半導体製造プロセスである。半導体製造プロセスの追加の例は、限定はしないが、化学機械研磨(CMP)、エッチング、堆積、イオン注入を含む。複数の半導体デバイスは、単一の半導体ウェハ上にある配列で加工され、次いで、個別の半導体デバイスに分けられる。   Manufacturing semiconductor devices such as logic devices and memory devices typically uses a large number of semiconductor manufacturing processes to process substrates such as semiconductor wafers that form various features and multiple levels of semiconductor devices. Including doing. For example, lithography is a semiconductor manufacturing process that involves transferring a pattern from a reticle to a resist arranged on a semiconductor wafer. Additional examples of semiconductor manufacturing processes include, but are not limited to, chemical mechanical polishing (CMP), etching, deposition, ion implantation. The plurality of semiconductor devices are processed in an array on a single semiconductor wafer and then divided into individual semiconductor devices.

検査プロセスは、ウェハ上の欠陥を検出するために半導体製造プロセスにおけるさまざまなステップで使用され、これにより、製造プロセスの歩留まり向上と収益の増大が促進される。検査は、これまでは常に、ICなどの半導体デバイスを加工する重要な一部であった。しかし、半導体デバイスのサイズが小さくなるにつれ、小さな欠陥もデバイスを故障させる原因となりうるため、検査は、仕様にあった半導体デバイスを首尾よく製造するうえでよりいっそう重要なものとなる。例えば、半導体デバイスのサイズが小さくなるにつれ、比較的小さな欠陥でも半導体デバイスの望ましくない狂いの原因となりうるため、サイズの小さな欠陥を検出することが必要になってきた。   The inspection process is used at various steps in the semiconductor manufacturing process to detect defects on the wafer, which promotes increased manufacturing process yield and increased revenue. Inspection has always been an important part of processing semiconductor devices such as ICs. However, as the size of a semiconductor device decreases, small defects can cause the device to fail, and inspection becomes even more important for successfully manufacturing a semiconductor device that meets specifications. For example, as the size of semiconductor devices decreases, it has become necessary to detect defects of small size because even relatively small defects can cause undesirable erratics in the semiconductor device.

製造歩留まり管理の他の重要な部分は、ウェハ又はレチクル上の欠陥の原因を突き止めて欠陥の原因を是正し、これにより他のウェハ又はレチクル上の欠陥の数を減らすことである。多くの場合、欠陥の原因を突き止めることは、欠陥タイプを識別し、かつサイズ、形状、組成などの欠陥の他の属性を識別することを含む。検査は、典型的には、ウェハ又はレチクル上の欠陥を検出し、ウェハ又はレチクル上の配置、ウェハ又はレチクル上の欠陥の数、及びときには欠陥サイズなどの欠陥に関する限定された情報を与えることしか含まないので、検査結果から判定される以上の個々の欠陥に関する情報を得るために、欠陥レビューが使用されることが多い。例えば、ウェハ又はレチクル上で検出された欠陥を再び見て、さらに自動的な、又は手動による何らかの手段により欠陥を調べるために、欠陥レビュー・ツールを使用することができる。   Another important part of manufacturing yield management is to identify the cause of defects on the wafer or reticle and correct the cause of the defects, thereby reducing the number of defects on other wafers or reticles. In many cases, locating the cause of a defect involves identifying the defect type and identifying other attributes of the defect such as size, shape, composition. Inspection typically only detects defects on the wafer or reticle and provides limited information about the defect such as placement on the wafer or reticle, number of defects on the wafer or reticle, and sometimes defect size. Since they are not included, defect reviews are often used to obtain information about individual defects beyond those determined from inspection results. For example, a defect review tool can be used to review defects detected on a wafer or reticle and to examine the defects further by some automatic or manual means.

欠陥レビューは、典型的には、高倍率光学系又は走査型電子顕微鏡(SEM)のいずれかを使用してより高い分解能で欠陥に関する補足情報を生成することを含む。欠陥レビューにより生成される欠陥に対する高い分解能のデータほど、プロファイル、粗さ、より正確なサイズ情報などの欠陥の属性を決定するのに適している。電子分散型X線分光法(EDS)システムなどのシステムを使用して、欠陥分析も実行できる。このような欠陥分析は、欠陥の組成などの情報を見つけ出すために実行される。検査、レビュー、分析、又はそれらの何らかの組合せにより判別される欠陥の属性を使用することで、欠陥のタイプ(つまり、欠陥分類)を識別し、場合によっては、欠陥の根本原因を突き止めることができる。次いで、この情報を使用することで、1つ又は複数の半導体加工プロセスの1つ又は複数のパラメータを監視し、変更して、欠陥を減らすか、又はなくすことができる。   The defect review typically involves generating supplemental information about the defect with higher resolution using either high magnification optics or a scanning electron microscope (SEM). Higher resolution data for defects generated by defect review is more suitable for determining defect attributes such as profile, roughness, and more accurate size information. Defect analysis can also be performed using a system such as an electron dispersive x-ray spectroscopy (EDS) system. Such defect analysis is performed to find information such as the composition of the defect. By using defect attributes determined by inspection, review, analysis, or some combination thereof, the type of defect (ie, defect classification) can be identified and, in some cases, the root cause of the defect can be determined . This information can then be used to monitor and change one or more parameters of one or more semiconductor processing processes to reduce or eliminate defects.

しかし、設計ルールが縮小すると、半導体製造プロセスが、それらのプロセスの実行能力の限界に近いところで稼働していることがある。それに加えて、設計ルールが縮小すると、小さな欠陥でもデバイスの電気的パラメータに影響を及ぼす可能性があり、検査に細心の注意を払う必要がある。したがって、設計ルールが縮小するにつれ、検査により検出される潜在的に歩留まりに関連する欠陥の母集団は劇的に拡大し、検査により検出されるニュイサンス欠陥(nuisance defect)の母集団も劇的に増大する。したがって、ウェハ上で検出される欠陥がますます増え、すべての欠陥を排除するためにプロセスを是正するのは困難な作業となり、また費用がかかる可能性がある。その際、複数の欠陥のうち、デバイスの電気的パラメータと歩留まりに影響を実際に及ぼす欠陥を突き止めることで、プロセス制御方法の対象をそれらの欠陥に絞りつつ、他の欠陥を大部分無視するようにすることが可能である。さらに、より小さな設計ルールでは、プロセス誘発障害は、場合によっては、系統的な障害である傾向を有する。つまり、プロセス誘発障害は、設計内において何回も繰り返されることが多い所定の設計パターンで発生しがちである。空間的に統計的な、電気的に関連する欠陥の排除は、そのような欠陥を排除することが歩留まりに対する著しい全体的影響を及ぼすため重要である。欠陥がデバイス・パラメータや歩留まりに影響を及ぼすかどうかは、多くの場合、上述の検査、レビュー、分析プロセスからは断定することができないが、それは、これらのプロセスが、電気的設計に関して欠陥の位置を決定することができないことがあるからである。   However, as design rules shrink, semiconductor manufacturing processes may be operating near the limits of their ability to execute. In addition, as design rules shrink, even small defects can affect device electrical parameters, requiring careful attention to inspection. Thus, as design rules shrink, the potential yield-related defect population detected by inspection expands dramatically, and the nuisance defect population detected by inspection also increases dramatically. Increase. Thus, more and more defects are detected on the wafer, and correcting the process to eliminate all defects can be a difficult task and can be expensive. In doing so, by identifying the defects that actually affect the electrical parameters and yield of the device, the process control method is focused on those defects, and the other defects are largely ignored. It is possible to Furthermore, with smaller design rules, process-induced failures tend to be systematic failures in some cases. That is, process-induced failures tend to occur in a predetermined design pattern that is often repeated many times in the design. The elimination of spatially statistical, electrically related defects is important because eliminating such defects has a significant overall impact on yield. Whether defects affect device parameters or yield can often not be determined from the inspection, review, and analysis processes described above, which means that these processes determine the location of defects with respect to electrical design. This is because it may not be possible to determine.

欠陥情報を電気的設計にアラインさせるためのいくつかの方法及びシステムが開発されている。例えば、SEMレビュー・システムを使用して、欠陥のサンプルに対する欠陥配置のより正確な座標を決定することができ、またSEMレビュー・システムにより報告される欠陥座標を使用して、電気的設計における欠陥の配置を決定することができる。他の方法は、検査対象領域(例えば、検査が実施されるウェハ上に形成されるデバイスの領域)をウェハ上に印刷されたパターンの物理的配置にアラインさせることを含む。しかし、現在のところ、システム誤差や不完全性により約2μm精度以下の精度で対象領域をウェハ上に印刷されたパターンにアラインさせることができる。例えば、明視野(BF)検査システムは、約±1μmの座標精度を有する。それに加えて、現在使用されている方法における検査対象領域は、比較的広く、多くの非クリティカル・フィーチャを含むが、もちろん望ましいクリティカル・フィーチャも含む。検査システムが、設計とプロセスとが相互に依存する結果として生じる微妙な空間的に系統的な「製造しやすい設計」(DFM)欠陥を捕捉する感度を最大にしようとしても、システムは、CMPフィル領域などの非クリティカル領域における数百万もの事象に圧倒される可能性がある。このようなニュイサンス欠陥を検出することは、多くの理由から有益なことではない。例えば、これらのニュイサンス欠陥事象は、検査データの後処理により検査結果から取り除かれる必要がある。それに加えて、ニュイサンス事象検出により、DFM用途に関して検査システムの最終的に達成可能な感度が制限される。高率のニュイサンス欠陥データも、検査システムの実行時データ処理能力に過負荷をかけ、これにより、スループットを低下させ、及び/又はデータ喪失を引き起こす可能性がある。   Several methods and systems have been developed for aligning defect information with electrical designs. For example, a SEM review system can be used to determine more accurate coordinates of defect placement for a sample of defects, and defect coordinates reported by the SEM review system can be used to determine defects in electrical design. Can be determined. Another method involves aligning the area to be inspected (eg, the area of the device formed on the wafer on which the inspection is performed) with the physical arrangement of the pattern printed on the wafer. However, at present, the target area can be aligned with the pattern printed on the wafer with an accuracy of about 2 μm or less due to system errors and imperfections. For example, a bright field (BF) inspection system has a coordinate accuracy of about ± 1 μm. In addition, the area to be inspected in currently used methods is relatively wide and includes many non-critical features, but of course also includes desirable critical features. Even if the inspection system tries to maximize the sensitivity to capture subtle spatially systematic “manufacturable design” (DFM) defects that result from the interdependence of design and process, It can be overwhelmed by millions of events in non-critical areas such as areas. Detecting such nuisance defects is not beneficial for a number of reasons. For example, these nuisance defect events need to be removed from inspection results by post-processing of inspection data. In addition, nuisance event detection limits the ultimate achievable sensitivity of the inspection system for DFM applications. High rates of nuisance defect data can also overload the run-time data processing capabilities of the inspection system, thereby reducing throughput and / or causing data loss.

したがって、設計データの実質的に高い正確度の「コンテキスト」を利用して限定はしないが欠陥検出アルゴリズム又は方法におけるピクセルのグループ化、検出感度の手直し、ニュイサンス欠陥のフィルタリング、欠陥の分類、欠陥のグループ化、設計コンテキストをサンプリング・スキームの一部として使用することによりレビューできるように欠陥をサンプリングすることなどの1つ又は複数のコンテキスト・ベースの機能を実行できるように、検査データをサブピクセル精度(ピクセルのサイズを検査されるジオメトリのサイズの程度とすることができる)で設計データにアラインさせるための方法及びシステムを開発すると有益である。   Therefore, the grouping of pixels in defect detection algorithms or methods, reworking of detection sensitivity, nuisance defect filtering, defect classification, defect Sub-pixel accuracy for inspection data to perform one or more context-based functions such as grouping, sampling defects so that they can be reviewed by using the design context as part of the sampling scheme It would be beneficial to develop a method and system for aligning design data (where the pixel size can be a measure of the size of the geometry being examined).

方法及びシステムのさまざまな実施態様の以下の説明は、いかなる形であっても付属の請求項の主題を制限するものとして解釈されるべきではない。   The following description of various embodiments of methods and systems should not be construed as limiting the subject matter of the appended claims in any way.

一実施態様は、設計データ空間における検査データの位置を決定するためのコンピュータ実施方法に関係する。この方法は、ウェハ上のアライメント部位に対する検査システムにより取り込まれたデータを所定のアライメント部位に対するデータ(例えば、設計データ)にアラインさせることを含む。所定のアライメント部位に対するデータと、ウェハ上のアライメント部位に対する検査システムにより取り込まれたデータは、別々に得られる。例えば、所定のアライメント部位に対するデータは、アライメント部位が印刷されているウェハを使用しては取り込まれない。この方法は、さらに、設計データ空間における所定のアライメント部位の位置に基づいて設計データ空間におけるウェハ上のアライメント部位の位置を決定することを含む。設計データ空間におけるウェハ上のアライメント部位の位置を決定することは、さらに、ウェハ上の設計レイアウト及び/又は検査時のウェハの配向に基づいて実行される。それに加えて、この方法は、設計データ空間におけるウェハ上のアライメント部位の位置に基づいて設計データ空間における検査システムによりそのウェハについて取り込まれた検査データの位置を決定することを含む。検査データの位置は、本明細書でさらに説明されるように、格納され、使用される。一実施態様では、検査データの位置は、サブピクセル精度で決定される。   One embodiment relates to a computer-implemented method for determining the location of inspection data in a design data space. The method includes aligning data captured by an inspection system for an alignment site on a wafer with data (eg, design data) for a predetermined alignment site. Data for a predetermined alignment site and data captured by the inspection system for the alignment site on the wafer are obtained separately. For example, data for a predetermined alignment site is not captured using a wafer on which the alignment site is printed. The method further includes determining the position of the alignment site on the wafer in the design data space based on the position of the predetermined alignment site in the design data space. Determining the position of the alignment site on the wafer in the design data space is further performed based on the design layout on the wafer and / or the orientation of the wafer during inspection. In addition, the method includes determining the position of inspection data captured for the wafer by the inspection system in the design data space based on the position of the alignment site on the wafer in the design data space. The location of the inspection data is stored and used as described further herein. In one implementation, the location of the inspection data is determined with sub-pixel accuracy.

他の実施態様では、所定のアライメント部位に対するデータは、グラフィック・データ・ストリーム(GDS)ファイル、他の標準的な機械可読ファイル、当業界で知られている他の好適なファイル、設計データベースなどの、データ構造体に格納される設計データを含む。GDSIIファイルは、設計レイアウト・データの表現に使用されるファイル群のクラスの1つである。このようなファイルの他の例としては、GL1ファイルやOASISファイルがある。いくつかの実施態様は、本明細書ではGDSファイル又はGDSIIファイルに関して説明されているが、これらの実施態様は、データ構造構成、記憶形式、又は記憶機構に関係なく、ファイル群のこのクラス全体に等しく適用可能であると理解されるべきである。異なる実施態様では、所定のアライメント部位に対するデータは、所定のアライメント部位がウェハ上にどのように印刷されるかどうかを示す1つ又は複数のシミュレートされたイメージを含む。   In other embodiments, the data for a given alignment site may be a graphic data stream (GDS) file, other standard machine readable files, other suitable files known in the art, design databases, etc. , Including design data stored in a data structure. A GDSII file is one of a class of files used to represent design layout data. Other examples of such files include GL1 files and OASIS files. Although some implementations are described herein with respect to GDS or GDSII files, these implementations apply to this entire class of files regardless of data structure organization, storage format, or storage mechanism. It should be understood that it is equally applicable. In different embodiments, the data for a given alignment site includes one or more simulated images that show how the given alignment site is printed on the wafer.

いくつかの実施態様では、所定のアライメント部位に対するデータは、所定のアライメント部位の1つ又は複数の属性を含み、アライメント部位に対するデータは、アライメント部位の1つ又は複数の属性を含み、アラインさせるステップは、所定のアライメント部位の1つ又は複数の属性をアライメント部位の1つ又は複数の属性にアラインさせることを含む。このような実施態様の1つでは、所定のアライメント部位の1つ又は複数の属性は、所定のアライメント部位の重心を含み、アライメント部位の1つ又は複数の属性は、アライメント部位の重心を含む。   In some embodiments, the data for the predetermined alignment site includes one or more attributes of the predetermined alignment site, and the data for the alignment site includes one or more attributes of the alignment site and are aligned. Includes aligning one or more attributes of the predetermined alignment site to one or more attributes of the alignment site. In one such embodiment, the one or more attributes of the predetermined alignment site include a centroid of the predetermined alignment site, and the one or more attributes of the alignment site include a centroid of the alignment site.

追加の実施態様では、所定のアライメント部位に対するデータは、検査システム又は他のイメージ収集システムにより取り込まれる、設計データに対するGDSIIファイルなどのデータ構造体に格納されている設計データにアラインされたデータを含む。さらに他の実施態様では、所定のアライメント部位に対するデータは、設計データ空間における設計座標にアラインされた標準参照ダイ・イメージの少なくとも一部を含む。標準参照ダイ・イメージは、取り込まれた、又はシミュレートされた、又は拡大された、又はこれらを組み合わせて得られた参照イメージとすることができる。   In additional embodiments, data for a given alignment site includes data aligned with design data stored in a data structure, such as a GDSII file for design data, captured by an inspection system or other image acquisition system. . In yet another embodiment, the data for a given alignment site includes at least a portion of a standard reference die image aligned with design coordinates in the design data space. The standard reference die image may be a captured reference, a simulated or magnified reference image, or a combination of these.

いくつかの実施態様では、所定のアライメント部位は、x及びy方向でユニークな1つ又は複数の属性を有する少なくとも1つのアライメント・フィーチャを含む。他の実施態様では、所定のアライメント部位は、少なくとも2つのアライメント・フィーチャを含む。少なくとも2つのアライメント・フィーチャのうちの第1のものは、x方向にユニークな1つ又は複数の属性を有する。少なくとも2つのアライメント・フィーチャのうちの第2のものは、y方向にユニークな1つ又は複数の属性を有する。   In some implementations, the predetermined alignment site includes at least one alignment feature having one or more attributes that are unique in the x and y directions. In other embodiments, the predetermined alignment site includes at least two alignment features. The first of the at least two alignment features has one or more attributes that are unique in the x direction. The second of the at least two alignment features has one or more attributes that are unique in the y direction.

追加の一実施態様では、この方法は、検査システムを使用して所定のアライメント部位を選択することを含む。このような一実施態様では、所定のアライメント部位を選択するために使用される検査システム(又は他のイメージ収集システム)のイメージング・モードは、検査データを取り込むために使用される検査システムの1つ又は複数のイメージング・モードと異なる。いくつかの実施態様では、アライメント部位の位置を決定することは、ウェハの検査前に実行され、検査データの位置を決定することは、ウェハの検査時に実行される。他の実施態様では、検査データの位置を決定することは、ウェハの検査に続いて実行される。このような一実施態様では、検査データの位置を決定することは、ウェハ上で検出された欠陥に対応する検査データの位置について実行され、欠陥に対応しない検査データの位置については実行されない。このように、設計データ空間における検査データの位置は、ウェハ上の欠陥配置で取り込まれた検査データ(例えば、パッチ・イメージ)によってのみ決定される。   In an additional embodiment, the method includes selecting a predetermined alignment site using an inspection system. In one such embodiment, the imaging mode of the inspection system (or other image acquisition system) used to select the predetermined alignment site is one of the inspection systems used to capture inspection data. Or different from multiple imaging modes. In some implementations, determining the position of the alignment site is performed prior to inspection of the wafer, and determining the position of inspection data is performed during inspection of the wafer. In other embodiments, determining the location of the inspection data is performed subsequent to inspection of the wafer. In such an embodiment, determining the position of the inspection data is performed for the position of the inspection data corresponding to the defect detected on the wafer and not for the position of the inspection data not corresponding to the defect. As described above, the position of the inspection data in the design data space is determined only by the inspection data (for example, patch image) acquired by the defect arrangement on the wafer.

他の実施態様では、アライメント部位に対するデータは、検査データのスワス(swath)内にある。他のこのような実施態様では、検査データの位置を決定することは、設計データ空間におけるアライメント部位の位置に基づいて設計データ空間内のスワスの位置を決定することと、スワスの位置に基づいて設計データ空間における検査データの追加のスワスの位置を決定することとを含む。   In other embodiments, the data for the alignment site is in a swath of test data. In other such embodiments, determining the position of the inspection data may include determining a swath position in the design data space based on the position of the alignment site in the design data space, and based on the swath position. Determining the location of additional swaths of inspection data in the design data space.

一実施態様では、この方法は、設計データ空間における検査データの位置と設計データ空間における設計データの1つ又は複数の属性に基づいてウェハの異なる部分で欠陥を検出する感度を決定することを含む。このような一実施態様では、設計データの1つ又は複数の属性は、ウェハの検査データが取り込まれたプロセス層、異なるプロセス層、又はそれらの何らかの組合せに対し、設計データ、異なる設計データ、又はそれらの何らかの組合せについて、そのウェハ、他の複数のウェハ、又はそれらの何らかの組合せに対してすでに取り込まれている検査データの1つ又は複数の属性に基づいて選択される。他のこのような実施態様では、設計データの1つ又は複数の属性は、異なる部分においてすでに検出されている欠陥の歩留まりクリティカル度、異なる部分においてすでに検出されている欠陥の故障確率、又はそれらの何らかの組合せに基づいて選択される。   In one embodiment, the method includes determining sensitivity to detect defects in different portions of the wafer based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. . In one such embodiment, the one or more attributes of the design data may include design data, different design data, or a process layer for which wafer inspection data was captured, a different process layer, or some combination thereof, or Some combination thereof is selected based on one or more attributes of inspection data already captured for the wafer, other wafers, or some combination thereof. In other such implementations, the one or more attributes of the design data may include the yield criticality of defects already detected in different parts, the failure probability of defects already detected in different parts, or their It is selected based on some combination.

他の実施態様では、この方法は、設計データ空間における検査データの位置と設計データ空間にわたる設計データの1つ又は複数の属性に対する値を含むコンテキスト・マップに基づいてウェハの異なる部分で欠陥を検出する感度を決定することを含む。このような一実施態様では、感度を決定することは、ウェハの異なる部分で欠陥を検出するために検査データとともに使用される感度しきい値を決定することを含む。他のそのような実施態様では、感度を決定することは、ウェハの検査時に検査システムにより実行される。他のそのような実施態様では、感度を決定することは、ウェハに対する検査データの取り込みが完了した後に実行される。   In another embodiment, the method detects defects in different portions of the wafer based on a context map that includes the location of inspection data in the design data space and values for one or more attributes of the design data across the design data space. Determining the sensitivity to perform. In one such implementation, determining the sensitivity includes determining a sensitivity threshold that is used with the inspection data to detect defects at different portions of the wafer. In other such embodiments, determining the sensitivity is performed by the inspection system when inspecting the wafer. In other such embodiments, determining sensitivity is performed after inspection data capture for the wafer is complete.

追加の一実施態様では、この方法は、設計データ空間における検査データの位置、設計データ空間における設計データの1つ又は複数の属性、検査データの1つ又は複数の属性に基づいてウェハの異なる部分で欠陥を検出する感度を決定することを含む。このような一実施態様では、検査データの1つ又は複数の属性は、異なる部分で欠陥が検出された場合に、1つ又は複数のイメージ・ノイズ属性、又はそれらの何らかの組合せを含む。   In an additional embodiment, the method includes different portions of the wafer based on the location of the inspection data in the design data space, one or more attributes of the design data in the design data space, one or more attributes of the inspection data. Determining the sensitivity of detecting defects. In one such implementation, the one or more attributes of the inspection data include one or more image noise attributes, or some combination thereof, when defects are detected in different portions.

いくつかの実施態様では、この方法は、ウェハ上で加工されるデバイスの設計に対するスキーマ・データの1つ又は複数の属性、デバイスに対する物理的レイアウトの予想される電気的挙動の1つ又は複数の属性、又はそれらの何らかの組合せに基づいてウェハ上の欠陥を検出する1つ又は複数のパラメータを変更することを含む。他の実施態様では、この方法は、ウェハ上で実行されるべき電気的試験プロセスの1つ又は複数のパラメータに基づいて検査データを使用してウェハ上で欠陥を検出するために1つ又は複数のパラメータを変更することを含む。他の実施態様では、この方法は、検査データを使用してウェハ上で検出された欠陥に基づいてウェハ上で実行される電気的試験プロセスの1つ又は複数のパラメータを変更することを含む。   In some implementations, the method includes one or more attributes of schema data for a design of a device being processed on a wafer, one or more of the expected electrical behavior of a physical layout for the device. Changing one or more parameters that detect defects on the wafer based on the attributes, or some combination thereof. In other embodiments, the method includes one or more for detecting defects on the wafer using inspection data based on one or more parameters of an electrical test process to be performed on the wafer. Including changing the parameters. In other embodiments, the method includes altering one or more parameters of an electrical test process performed on the wafer based on defects detected on the wafer using inspection data.

他の実施態様では、この方法は、フィードバック制御技術を使用して方法の1つ又は複数のステップの結果に基づいて検査システムにより実行される検査プロセスの1つ又は複数のパラメータを定期的に変更することを含む。他の実施態様では、この方法は、フィードバック制御技術を使用して方法の1つ又は複数のステップの結果に基づいて検査システムにより実行される検査プロセスの1つ又は複数のパラメータを自動的に変更することを含む。さらに他の実施態様では、この方法は、方法の1つ又は複数のステップの結果を使用して知識ベースを生成することと、知識ベースを使用して検査システムにより実行される検査プロセスを生成することとを含む。   In another embodiment, the method periodically changes one or more parameters of an inspection process performed by the inspection system based on the results of one or more steps of the method using feedback control techniques. Including doing. In other embodiments, the method automatically alters one or more parameters of an inspection process performed by the inspection system based on the results of one or more steps of the method using feedback control techniques. Including doing. In yet another embodiment, the method uses the result of one or more steps of the method to generate a knowledge base and uses the knowledge base to generate an inspection process that is performed by the inspection system. Including.

他の実施態様では、この方法は、設計データ空間における欠陥に対応する検査データの部分の位置と設計データ空間にわたる設計データの1つ又は複数の属性に対する値を含むコンテキスト・マップに基づいてウェハの異なる部分で検出された欠陥を分類することを含む。そのような一実施態様では、欠陥を分類することは、ウェハの検査時に検査システムにより実行される。他のそのような実施態様では、欠陥を分類することは、ウェハに対する検査データの取り込みが完了した後に実行される。   In another embodiment, the method includes the location of a portion of the inspection data corresponding to a defect in the design data space and a wafer map based on a context map that includes values for one or more attributes of the design data across the design data space. Including classifying defects detected in different parts. In one such embodiment, classifying the defects is performed by the inspection system when inspecting the wafer. In other such embodiments, classifying the defects is performed after inspection data capture for the wafer is complete.

他の実施態様では、検査データは、ウェハ上の1つ又は複数の欠陥に対するデータを含む。このような一実施態様では、この方法は、設計データ空間における検査データの位置に基づいて設計データ空間における欠陥の位置を決定することと、設計データ空間における欠陥の位置と設計データ空間における設計データの1つ又は複数の属性に基づいて欠陥がニュイサンス欠陥であるかどうかを判定することとを含む。このような一実施態様では、この方法は、設計データ空間における設計データの1つ又は複数の属性に基づいてニュイサンス欠陥であると判定されない欠陥が系統的欠陥であるか、又はランダム欠陥であるかを判定することを含む。欠陥が空間的系統的欠陥であるか、又はランダム欠陥であるかを判定することは、さらに、設計データにおけるホット・スポットに対応する履歴ファブ・データ又は他のデータなどの他の情報と組み合わせて設計データ空間における設計データの1つ又は複数の属性に基づいて実行されることも可能である。このような一実施態様では、この方法は、さらに、設計データ空間における検査データの位置及び検査データの1つ又は複数の統計的に決定された属性に基づいて欠陥が系統的欠陥であるか、又はランダム欠陥であるかを判定することを含む。一実施態様では、検査データは、プロセス・ウィンドウ・クォリフィケーションのために取り込まれる。他の実施態様では、この方法は、設計データ空間における検査データの位置と設計データ空間における設計データの1つ又は複数の属性に基づいて欠陥を分類することを含む。   In other embodiments, the inspection data includes data for one or more defects on the wafer. In one such embodiment, the method determines the position of the defect in the design data space based on the position of the inspection data in the design data space, and the position of the defect in the design data space and the design data in the design data space. Determining whether the defect is a nuisance defect based on one or more attributes of In one such embodiment, the method determines whether a defect that is not determined to be a nuisance defect based on one or more attributes of the design data in the design data space is a systematic defect or a random defect. Determining. Determining whether the defect is a spatial systematic defect or a random defect is further combined with other information such as historical fab data or other data corresponding to hot spots in the design data. It can also be performed based on one or more attributes of design data in the design data space. In one such embodiment, the method further includes determining whether the defect is a systematic defect based on the location of the inspection data in the design data space and one or more statistically determined attributes of the inspection data. Or determining whether it is a random defect. In one embodiment, inspection data is captured for process window qualification. In another embodiment, the method includes classifying defects based on the location of inspection data in the design data space and one or more attributes of the design data in the design data space.

一実施態様では、この方法は、設計データ空間における検査データの位置と設計データ空間における設計データの1つ又は複数の属性に基づいて、ビン範囲に従って欠陥をグループ分けすることを含む。いくつかの実施態様では、この方法は、設計データ空間における検査データの位置、設計データ空間における設計データの1つ又は複数の属性、設計データが印刷されるレチクルについて取り込まれるレチクル検査データの1つ又は複数の属性に基づいて、ビン範囲に従って欠陥をグループ分けすることを含む。追加の一実施態様では、この方法は、設計データ空間における検査データの位置、設計データ空間における設計データの1つ又は複数の属性、検査データの1つ又は複数の属性に基づいて、ビン範囲に従って欠陥をグループ分けすることを含む。いくつかの実施態様では、この方法は、設計データ空間における検査データの位置、設計データ空間における設計データの1つ又は複数の属性、検査データの1つ又は複数の属性、設計データが印刷されるレチクルについて取り込まれるレチクル検査データの1つ又は複数の属性に基づいて、ビン範囲に従って欠陥をグループ分けすることを含む。他の実施態様では、この方法は、設計データ空間における検査データの位置、設計データ空間における設計データの1つ又は複数の属性、検査データの1つ又は複数の属性に基づいて、さらにはウェハの検査データが取り込まれたプロセス層、異なるプロセス層、又はそれらの何らかの組合せに対し、設計データ、異なる設計データ、又はそれらの何らかの組合せについて、そのウェハ、他の複数のウェハ、又はそれらの何らかの組合せに対するすでに取り込まれている検査データの1つ又は複数の属性に基づいて、ビン範囲に従って欠陥をグループ分けすることを含む。   In one embodiment, the method includes grouping defects according to bin ranges based on the location of inspection data in the design data space and one or more attributes of the design data in the design data space. In some embodiments, the method includes the location of inspection data in the design data space, one or more attributes of the design data in the design data space, and one of the reticle inspection data captured for the reticle on which the design data is printed. Or grouping defects according to bin ranges based on a plurality of attributes. In an additional embodiment, the method is in accordance with a bin range based on the location of the inspection data in the design data space, one or more attributes of the design data in the design data space, one or more attributes of the inspection data. Including grouping defects. In some embodiments, the method prints the location of the inspection data in the design data space, one or more attributes of the design data in the design data space, one or more attributes of the inspection data, the design data. Grouping defects according to bin ranges based on one or more attributes of reticle inspection data captured for the reticle. In other embodiments, the method may be based on the location of inspection data in the design data space, one or more attributes of the design data in the design data space, one or more attributes of the inspection data, and even on the wafer. For the process layer from which inspection data was captured, a different process layer, or some combination thereof, for the design data, different design data, or some combination thereof, to the wafer, other wafers, or some combination thereof Including grouping defects according to bin ranges based on one or more attributes of inspection data already captured.

上で説明されているように、検査データは、ウェハ上の1つ又は複数の欠陥に対するデータを含む。そのような一実施態様では、この方法は、設計データ空間における検査データの位置に基づいて、及び設計データ空間における設計データの1つ又は複数の属性に基づいて、レビューのため欠陥の少なくとも一部を選択することを含む。そのような他の実施態様では、この方法は、設計データ空間における検査データの位置に基づいて、及び設計データ空間における設計データの1つ又は複数の属性に基づいて、欠陥がレビューされる順序を決定することを含む。そのようなさらに他の実施態様では、この方法は、レビューのため欠陥の少なくとも一部を選択することを含み、欠陥の少なくともその一部は、設計データの1つ又は複数の属性の異なる値を有する設計データ空間における設計データのそれぞれの部分の中に見つかる少なくとも1つの欠陥を含む。欠陥レビュー・サンプリングは、さらに、又はそれとは別に、欠陥がビン範囲に従って分けられるグループの1つ又は複数の属性に基づいて実行される。欠陥は、本明細書でさらに説明されるように、ビン範囲に従って分けられ、それらのグループの1つ又は複数の属性は、設計データの1つ又は複数の属性に基づいて、又は本明細書で説明されている他の方法により決定される。   As described above, the inspection data includes data for one or more defects on the wafer. In one such embodiment, the method includes at least a portion of a defect for review based on the location of inspection data in the design data space and based on one or more attributes of the design data in the design data space. Including selecting. In such other embodiments, the method determines the order in which defects are reviewed based on the location of inspection data in the design data space and based on one or more attributes of the design data in the design data space. Including deciding. In yet other such embodiments, the method includes selecting at least a portion of the defect for review, wherein at least a portion of the defect has a different value of one or more attributes of the design data. At least one defect found in each portion of the design data in the design data space. Defect review sampling is additionally or alternatively performed based on one or more attributes of the group into which defects are separated according to bin ranges. Defects are divided according to bin ranges, as described further herein, and one or more attributes of those groups can be based on one or more attributes of the design data or as used herein. Determined by other methods described.

他の実施態様では、この方法は、設計データ空間における検査データの位置に基づいて、及び設計データ空間における設計データの1つ又は複数の属性に基づいてウェハの異なる部分について取り込まれた検査システムの1つ又は複数の検出器から出力の1つ又は複数の所定の属性を抽出することを含む。このような一実施態様では、設計データの1つ又は複数の属性は、ウェハの検査データが取り込まれたプロセス層、異なるプロセス層、又はそれらの何らかの組合せに対し、設計データ、異なる設計データ、又はそれらの何らかの組合せについて、そのウェハ、他の複数のウェハ、又はそれらの何らかの組合せに対するすでに取り込まれている検査データの1つ又は複数の属性に基づいて選択される。   In another embodiment, the method includes an inspection system captured for different portions of a wafer based on the location of inspection data in the design data space and based on one or more attributes of the design data in the design data space. Extracting one or more predetermined attributes of the output from the one or more detectors. In one such embodiment, the one or more attributes of the design data may include design data, different design data, or a process layer for which wafer inspection data was captured, a different process layer, or some combination thereof, or Some combination of them is selected based on one or more attributes of inspection data already captured for the wafer, other wafers, or some combination thereof.

他の実施態様では、この方法は、設計データ空間における検査データの位置、設計データ空間における設計データの1つ又は複数の属性、検査データの1つ又は複数の属性に基づいてウェハの異なる部分について取り込まれた検査システムの1つ又は複数の検出器から出力の1つ又は複数の所定の属性を抽出することを含む。このような一実施態様では、検査データの1つ又は複数の属性は、異なる部分で1つ又は複数の欠陥が検出された場合に、1つ又は複数のイメージ・ノイズ属性、又はそれらの何らかの組合せを含む。   In other embodiments, the method may be used for different portions of a wafer based on the location of inspection data in the design data space, one or more attributes of the design data in the design data space, and one or more attributes of the inspection data. Extracting one or more predetermined attributes of the output from one or more detectors of the captured inspection system. In one such embodiment, the one or more attributes of the inspection data may include one or more image noise attributes, or some combination thereof, if one or more defects are detected in different portions. including.

いくつかの実施態様では、この方法は、設計データ空間における検査データの位置に基づいて、及び設計データ空間における設計データの1つ又は複数の属性に基づいてウェハ上で検出された1つ又は複数の欠陥に対する故障確率値を決定することを含む。   In some embodiments, the method includes one or more detected on the wafer based on the location of the inspection data in the design data space and based on one or more attributes of the design data in the design data space. Determining a failure probability value for a given defect.

他の実施態様では、この方法は、設計データ空間における検査データの位置に基づいて設計データ空間におけるウェハ上で検出された欠陥の位置の座標を決定することと、設計データに対するフロア・プランに基づいて欠陥の位置の座標を設計セル座標に変換することとを含む。このような一実施態様では、この方法は、オーバーレイ公差を使用して欠陥の周囲の異なる領域を決定することと、1つ又は複数のセル・タイプに対する異なる領域を使用して欠陥リピータ解析を実行し、1つ又は複数のセル・タイプが系統的欠陥セル・タイプであるかどうかを判定し、系統的欠陥セル・タイプ内の1つの又は複数の系統的欠陥ジオメトリの1つ又は複数の配置を決定することとを含む。このような一実施態様では、この方法は、系統的欠陥セル・タイプの近くに配置されているセル、ジオメトリ、又はそれらの何らかの組合せに対する設計データの1つ又は複数の属性に基づいて空間的系統的欠陥が系統的欠陥セル・タイプ内に生じるかどうかを判定することを含む。   In another embodiment, the method is based on determining a coordinate of a position of a defect detected on the wafer in the design data space based on the position of the inspection data in the design data space, and on a floor plan for the design data. And converting the coordinates of the position of the defect into design cell coordinates. In one such embodiment, the method uses overlay tolerances to determine different regions around the defect and performs defect repeater analysis using different regions for one or more cell types. Determining whether one or more cell types are systematic defect cell types, and determining one or more arrangements of one or more systematic defect geometries within the systematic defect cell types Determining. In one such embodiment, the method includes a spatial lineage based on one or more attributes of the design data for a cell, geometry, or some combination thereof that is located near the systematic defect cell type. Determining whether a physical defect occurs within the systematic defect cell type.

他の実施態様では、この方法は、設計データ空間における検査データの位置に基づいて設計データ空間におけるウェハ上で検出された欠陥の位置を決定することと、設計データの1つ又は複数の属性に対する所定の値が、設計データ空間における位置の関数として格納されているデータ構造体を使用して欠陥の位置に対応する設計データの1つ又は複数の属性に対する値を決定することとを含む。   In another embodiment, the method determines a position of a detected defect on the wafer in the design data space based on the position of the inspection data in the design data space, and for one or more attributes of the design data. Determining a value for one or more attributes of the design data corresponding to the position of the defect using a data structure stored as a function of position in the design data space.

他の実施態様では、レチクル検査システムにより生成されるレチクルのイメージが、設計データ空間において設計データとして使用される。レチクルは、ウェハ上に設計データを印刷するために使用される。他の実施態様では、レチクル・イメージがウェハ上にどのように印刷されるかを例示するシミュレートされたイメージが、設計データ空間において設計データとして使用される。追加の実施態様では、この方法は、ウェハ上に設計データを印刷するために使用されるレチクルについて取り込まれたレチクル検査データに基づいて設計データ空間における設計データに対するコンテキスト・マップを生成することを含む。   In another embodiment, an image of a reticle generated by a reticle inspection system is used as design data in a design data space. The reticle is used to print design data on the wafer. In other embodiments, a simulated image illustrating how the reticle image is printed on the wafer is used as design data in the design data space. In an additional embodiment, the method includes generating a context map for design data in the design data space based on reticle inspection data captured for the reticle used to print the design data on the wafer. .

一実施態様では、この方法は、設計データ空間における検査データの位置とコンテキスト・マップとを使用してウェハ上のレチクル欠陥の印刷可能性を判定するウェハ検査プロセスを最適化することを含む。他の実施態様では、この方法は、検査データと標準参照ダイとを標準参照ダイ・ベースの検査に使用してウェハ上の欠陥を検出することを含む。他の実施態様では、この方法は、検査データ、標準参照ダイ、摂動行列における標準参照ダイに関連付けられているウェハ・ノイズの表現を、標準参照ダイ・ベースの検査に使用してウェハ上の欠陥を検出することを含む。   In one embodiment, the method includes optimizing a wafer inspection process that uses the location of the inspection data in the design data space and the context map to determine the printability of reticle defects on the wafer. In other embodiments, the method includes using the inspection data and the standard reference die for standard reference die based inspection to detect defects on the wafer. In another embodiment, the method uses a representation of wafer noise associated with the standard reference die in the inspection data, standard reference die, and perturbation matrix for standard reference die based inspection, and defects on the wafer. Detecting.

他の実施態様では、ウェハと追加のウェハは、ウェハ・レベルのプロセス・パラメータ変調を使用して処理され、この方法は、ウェハと追加のウェハ上のダイに対する検査データを共通の標準参照ダイと比較することによりウェハと追加のウェハ上の欠陥を検出することを含む。   In other embodiments, the wafer and the additional wafer are processed using wafer level process parameter modulation, and the method can pass inspection data for the die on the wafer and the additional wafer to a common standard reference die. Detecting defects on the wafer and additional wafers by comparing.

上述のステップはそれぞれ、設計データ空間における検査データの近似的位置、設計データ空間における設計データの1つ又は複数の属性、履歴ファブ・データ、又は設計データ内のホット・スポットに対応する他のデータに基づいて実行される。いくつかの実施態様では、この方法は、欠陥、欠陥がビン範囲に従って分けられたグループの1つ又は複数の属性、又は本明細書で説明されている(複数の)方法の実施態様のどれかの他の結果に基づいて統計的プロセス制御(SPC)を実行することを含む。上述の方法の実施態様はそれぞれ、本明細書で説明されている(複数の)方法の他の(複数の)ステップを含む。上述の方法の実施態様はそれぞれ、本明細書で説明されているシステムの実施態様のどれかにより実行される。   Each of the above steps is an approximate location of inspection data in the design data space, one or more attributes of the design data in the design data space, historical fab data, or other data corresponding to hot spots in the design data. It is executed based on. In some embodiments, the method can include a defect, one or more attributes of a group in which the defect is separated according to a bin range, or any of the method embodiment (s) described herein. Performing statistical process control (SPC) based on other results. Each of the method embodiments described above includes other step (s) of the method (s) described herein. Each of the method embodiments described above may be performed by any of the system embodiments described herein.

他の実施態様は、設計データ空間における検査データの位置を決定するように構成されたシステムに関係する。システムは、設計データを格納した記憶媒体を備える。システムは、さらに、記憶媒体に結合されたプロセッサも備える。プロセッサは、ウェハ上のアライメント部位について検査システムにより取り込まれたデータを、所定のアライメント部位に対するデータにアラインさせるように構成される。プロセッサは、さらに、設計データ空間における所定のアライメント部位の位置に基づいて設計データ空間におけるウェハ上のアライメント部位の位置を決定するように構成される。それに加えて、プロセッサは、設計データ空間におけるウェハ上のアライメント部位の位置に基づいて設計データ空間における検査システムによりそのウェハについて取り込まれた検査データの位置を決定するように構成される。システムのこの実施態様は、さらに、本明細書で説明されているように構成される。   Another embodiment relates to a system configured to determine the location of inspection data in a design data space. The system includes a storage medium that stores design data. The system further includes a processor coupled to the storage medium. The processor is configured to align data captured by the inspection system for alignment sites on the wafer with data for a predetermined alignment site. The processor is further configured to determine the position of the alignment site on the wafer in the design data space based on the position of the predetermined alignment site in the design data space. In addition, the processor is configured to determine the position of inspection data captured for the wafer by the inspection system in the design data space based on the position of the alignment site on the wafer in the design data space. This embodiment of the system is further configured as described herein.

追加の実施態様は、設計データ空間における検査データの位置を決定するように構成されたシステムに関係する。このシステムは、ウェハ上のアライメント部位に対するデータとウェハに対する検査データとを取り込むように構成された検査システムを備える。システムは、さらに、設計データを格納した記憶媒体を備える。それに加えて、システムは、検査システムと、記憶媒体に結合されたプロセッサをも備える。プロセッサは、ウェハ上のアライメント部位に対するデータを所定のアライメント部位に対するデータにアラインさせるように構成される。プロセッサは、さらに、設計データ空間における所定のアライメント部位の位置に基づいて設計データ空間におけるウェハ上のアライメント部位の位置を決定するように構成される。それに加えて、プロセッサは、設計データ空間におけるウェハ上のアライメント部位の位置に基づいて設計データ空間における検査データの位置を決定するように構成される。システムのこの実施態様は、さらに、本明細書で説明されているように構成される。   An additional embodiment relates to a system configured to determine the location of inspection data in the design data space. The system includes an inspection system configured to capture data for alignment sites on the wafer and inspection data for the wafer. The system further includes a storage medium storing design data. In addition, the system also includes an inspection system and a processor coupled to the storage medium. The processor is configured to align data for alignment sites on the wafer with data for predetermined alignment sites. The processor is further configured to determine the position of the alignment site on the wafer in the design data space based on the position of the predetermined alignment site in the design data space. In addition, the processor is configured to determine the position of the inspection data in the design data space based on the position of the alignment site on the wafer in the design data space. This embodiment of the system is further configured as described herein.

追加の実施態様は、実行時に(例えば、検査プロセス実行時に)検査空間における設計データに基づく対象領域(例えば、検査すべき領域、より高い感度で検査されるべき領域、又はより低い感度で検査されるべき領域)の位置を決定するように構成されたシステムに関係する。それに加えて、システムは、検査プロセス実行時にデータの取り込まれたピクセルを正しい検査対象領域に実質的に正確に割り当てるように構成される。このような検査対象領域のサイズと頻度は、ダイ上の設計ジオメトリのサイズと頻度に近づく。システムは、さらに、本明細書で説明されているように構成される。   Additional embodiments may be examined at run time (eg, when performing an inspection process) target areas (eg, areas to be inspected, areas to be inspected with higher sensitivity, or with lower sensitivity) based on design data in the inspection space. Related to a system configured to determine the position of the area to be. In addition, the system is configured to substantially accurately assign the captured pixels to the correct area to be inspected when performing the inspection process. The size and frequency of such a region to be inspected approaches the size and frequency of the design geometry on the die. The system is further configured as described herein.

他の実施態様は、ウェハ上で検出された欠陥をビン範囲に従って分けるコンピュータ実施方法に関係する。この方法は、設計データ空間における欠陥の位置に近接する設計データの部分同士を比較することを含む。この方法は、さらに、比較するステップの結果に基づいてそれらの部分における設計データが少なくとも類似しているかどうかを判定することも含む。それらの部分における設計データが少なくとも類似しているかどうかを判定することは、それらの部分の1つ又は複数を回転し及び/又は鏡像することを含む。それに加えて、この方法は、欠陥をビン範囲に従ってグループ分けする際に、それらのグループのそれぞれにおける欠陥の位置に近接する設計データの部分が少なくとも類似しているようにグループ分けすることを含む。この方法は、さらに、ビン範囲に従ってグループ分けするステップの結果を記憶媒体に格納することを含む。   Another embodiment relates to a computer-implemented method for separating defects detected on a wafer according to bin ranges. The method includes comparing portions of the design data that are proximate to the location of the defect in the design data space. The method further includes determining whether the design data in those portions is at least similar based on the result of the comparing step. Determining whether the design data in those portions is at least similar includes rotating and / or mirroring one or more of those portions. In addition, when the defects are grouped according to bin ranges, the method includes grouping such that portions of the design data that are proximate to the position of the defect in each of those groups are at least similar. The method further includes storing the result of the grouping step according to the bin range on a storage medium.

一実施態様では、これらの部分の寸法は、少なくとも一部は、欠陥を検出するために使用される検査システムにより報告された欠陥の位置、検査システムの座標の不正確さ、設計データの1つ又は複数の属性、検査システムの欠陥サイズ誤差、又はそれらの何らかの組合せに基づいて決定される。他の実施態様では、これらの部分の少なくとも一部の寸法は異なる。   In one embodiment, the dimensions of these portions are determined at least in part from the location of the defect reported by the inspection system used to detect the defect, inaccuracies in the coordinates of the inspection system, one of the design data. Or based on multiple attributes, inspection system defect size error, or some combination thereof. In other embodiments, the dimensions of at least some of these portions are different.

一実施態様では、これらの部分における設計データは、複数の設計層に対する設計データを含む。この方法では、本明細書で説明されている方法で使用される設計データは、設計の1つ又は複数の層に対する設計データである。本明細書で説明されている方法における設計の1つ又は複数の層に設計データを使用することは、複数の層の欠陥を検出することができる明視野(BF)検査を使用して欠陥が検出されるとき、また配置のクリティカル度が設計の前の又は後の層において生じる内容に依存しうる場合などの事例において有用である。上で説明されている方法は、少なくとも類似の設計データとともに注目する欠陥の一部又は前部をビン範囲に従ってグループ分けすることを含む。   In one implementation, the design data in these portions includes design data for multiple design layers. In this method, the design data used in the methods described herein is design data for one or more layers of the design. Using the design data for one or more layers of the design in the method described herein can detect defects using bright field (BF) inspection that can detect defects in multiple layers. This is useful in cases such as when detected and where the criticality of the placement can depend on what happens in the layers before or after the design. The method described above includes grouping a portion or front of the defect of interest together with at least similar design data according to bin ranges.

他の実施態様では、比較するステップは、それらの部分の少なくとも一部における設計データの全体をそれらの部分のうちの他の部分における設計データと比較することを含む。異なる実施態様では、比較するステップは、それらの部分の少なくとも一部における設計データの異なる領域をそれらの部分のうちの他の部分における設計データと比較することを含む。   In other embodiments, the comparing step includes comparing the entire design data in at least some of those portions with design data in other portions of the portions. In different embodiments, the comparing step includes comparing different regions of design data in at least some of those portions with design data in other portions of those portions.

一実施態様では、この方法は、ウェハ上のアライメント部位について検査システムにより取り込まれたデータを所定のアライメント部位に対するデータと比較することにより設計データ空間における欠陥の位置を決定することを含む。他の実施態様では、この方法は、欠陥の検出時に検査システムにより取り込まれたデータをレビューにより決定された設計データにおける配置と比較することにより設計データ空間における欠陥の位置を決定することを含む。   In one embodiment, the method includes determining the position of the defect in the design data space by comparing data captured by the inspection system for the alignment site on the wafer with data for a predetermined alignment site. In another embodiment, the method includes determining the position of the defect in the design data space by comparing the data captured by the inspection system upon detection of the defect with an arrangement in the design data determined by review.

アライメント精度は、設計からウェハへの座標変換と検査システムの座標精度の両方に依存することに留意されたい。好ましくは、したがって、検査システムにより報告される座標は、実質的に正確である。それに加えて、アライメント部位の測定は、論理検査座標を使用して実行される。検査システムは、論理ウェハ座標を出力するが、走査型電子顕微鏡(SEM)などの欠陥レビュー・ツールは、物理ウェハ座標を測定する。したがって、ウェハ上の物理座標は、予想されるウェハ・レイアウトと比較したときのレチクル・オフセット、スケーリング、微小回転の差を説明するように検査システムにより補正される。その際、これらの補正は、さらに、レチクルからレチクルへの2つの座標系の間の誤差を低減するためにSEM測定に適用される。   It should be noted that the alignment accuracy depends on both the design-to-wafer coordinate transformation and the inspection system coordinate accuracy. Preferably, therefore, the coordinates reported by the inspection system are substantially accurate. In addition, alignment site measurements are performed using logical test coordinates. The inspection system outputs logical wafer coordinates, while a defect review tool such as a scanning electron microscope (SEM) measures physical wafer coordinates. Thus, the physical coordinates on the wafer are corrected by the inspection system to account for reticle offset, scaling, and micro-rotation differences when compared to the expected wafer layout. In so doing, these corrections are further applied to SEM measurements to reduce errors between the two coordinate systems from reticle to reticle.

一実施態様では、ビン範囲に従って分けるステップは、欠陥をビン範囲に従ってグループ分けする際に、それらのグループのそれぞれにおける欠陥の位置に近接する設計データの部分が少なくとも類似し、それらのグループのそれぞれにおける欠陥の1つ又は複数の属性が少なくとも類似するようにグループ分けすることを含む。このような一実施態様では、1つ又は複数の属性は、欠陥が検出された検査の結果の1つ又は複数の属性、検査の1つ又は複数のパラメータ、又はそれらの何らかの組合せを含む。   In one embodiment, the step of binning according to bin ranges is such that when the defects are grouped according to bin ranges, portions of the design data that are proximate to the position of the defect in each of those groups are at least similar, and in each of those groups Grouping such that one or more attributes of the defects are at least similar. In one such implementation, the one or more attributes include one or more attributes of the result of the inspection in which the defect was detected, one or more parameters of the inspection, or some combination thereof.

いくつかの実施態様では、欠陥の位置に近接する設計データの部分は、欠陥が配置されている設計データを含む。他の実施態様では、欠陥の位置に近接する設計データの部分は、欠陥の位置の周りの設計データを含む。   In some implementations, the portion of the design data proximate to the location of the defect includes design data where the defect is located. In other embodiments, the portion of the design data proximate to the defect location includes design data around the defect location.

他の実施態様では、ビン範囲に従って分けるステップは、欠陥をビン範囲に従ってグループ分けする際に、それらのグループのそれぞれにおける欠陥の位置に近接する設計データの部分が少なくとも類似し、部分内のポリゴンに関するそれらのグループのそれぞれにおける欠陥の位置が少なくとも類似するようにグループ分けすることを含む。   In another embodiment, the step of binning according to bin ranges is such that when defects are grouped according to bin ranges, portions of the design data proximate to the position of the defect in each of those groups are at least similar and relate to polygons within the portion. Grouping so that the positions of the defects in each of these groups are at least similar.

他の実施態様では、この方法は、複数の欠陥のうちの1つ又は複数に対する欠陥クリティカル度指数(DCI)を決定することを含む。他の実施態様では、この方法は、欠陥の1つ又は複数が、欠陥の位置に近接する設計データの1つ又は複数の属性、欠陥の1つ又は複数の属性、欠陥を検出するために使用される検査システムにより報告された欠陥の位置、検査システムの座標の不正確さ、又はそれらの何らかの組合せに基づいて設計データについて加工されたデバイス内に1つ又は複数の電気的障害を引き起こす確率を決定することを含む。このような一実施態様では、この方法は、さらに、この確率に基づいて欠陥のうちの1つ又は複数に対するDCIを決定することも含む。   In other embodiments, the method includes determining a defect criticality index (DCI) for one or more of the plurality of defects. In other embodiments, the method is used to detect one or more of the defects, one or more attributes of the design data proximate to the position of the defect, one or more attributes of the defect, defects. The probability of causing one or more electrical faults in the device processed for the design data based on the location of the defect reported by the inspected inspection system, inaccuracies in the coordinates of the inspection system, or some combination thereof Including deciding. In one such implementation, the method further includes determining a DCI for one or more of the defects based on the probability.

いくつかの実施態様では、この方法は、ビン範囲に従って分けるステップの結果に基づいて設計データにおける1つ又は複数のホット・スポットを識別することを含む。他の実施態様では、この方法は、ビン範囲に従って分けるステップの結果に基づいてレビューする欠陥の少なくともいくつかを選択することを含む。追加の一実施態様では、この方法は、ビン範囲に従って分けるステップの結果に基づいてレビューする欠陥をサンプリングするプロセスを生成することを含む。他の実施態様では、この方法は、ビン範囲に従って分けるステップの結果に基づいてウェハを検査するプロセスを変更することを含む。いくつかの実施態様では、この方法は、検査の結果に基づいて検査時にウェハを検査するプロセスを変更することを含む。さらに他の実施態様では、この方法は、ビン範囲に従って分けるステップの結果に基づいてウェハに対する計量プロセスを変更することを含む。さらに他の実施態様では、この方法は、ビン範囲に従って分けるステップの結果に基づいてウェハに対する計量プロセスのサンプリング・プランを変更することを含む。さらに他の実施態様では、この方法は、ビン範囲に従って分けるステップの結果を使用して時間の経過とともに系統的欠陥、潜在的系統的欠陥、又はそれらの何らかの組合せを監視することを含む。   In some implementations, the method includes identifying one or more hot spots in the design data based on the results of the step of dividing according to bin ranges. In other embodiments, the method includes selecting at least some of the defects to review based on the result of the step of dividing according to bin ranges. In an additional embodiment, the method includes generating a process for sampling defects to review based on the result of the step of dividing according to bin ranges. In other embodiments, the method includes modifying the process of inspecting the wafer based on the result of the step of dividing according to bin ranges. In some embodiments, the method includes changing the process of inspecting the wafer during inspection based on the results of the inspection. In yet another embodiment, the method includes changing the weighing process for the wafer based on the result of the step of dividing according to the bin range. In yet another embodiment, the method includes changing the sampling plan of the metrology process for the wafer based on the result of the step of dividing according to the bin range. In yet another embodiment, the method includes monitoring systematic defects, potential systematic defects, or some combination thereof over time using the results of the step of dividing according to bin ranges.

さらに他の実施態様では、欠陥は、検査プロセスにより検出されており、この方法は、設計データにおける1つ又は複数の注目パターン(POI)が印刷されるウェハ上の配置をレビューすることと、レビューステップの結果に基づいて欠陥が1つ又は複数のPOIの配置のところで検出されているかどうかを判定することと、1つ又は複数の欠陥捕捉率を改善するように検査プロセスを変更することとを含む。   In yet another embodiment, the defect has been detected by an inspection process, the method comprising: reviewing an arrangement on the wafer on which one or more patterns of interest (POI) in the design data are printed; Determining whether a defect has been detected at the location of the one or more POIs based on the results of the steps, and modifying the inspection process to improve one or more defect capture rates. Including.

いくつかの実施態様では、この方法は、設計データにおいて1つ又は複数のPOIを優先順位付けすることと、優先順位付けステップの結果に基づいて設計データが印刷されるウェハ上で実行される1つ又は複数のプロセスを最適化することとを含む。他の実施態様では、この方法は、設計データにおける1つ又は複数のPOIを優先順位付けすることと、優先順位付けステップの結果に基づいて1つ又は複数のPOIのうちの少なくとも1つを最適化することとを含む。追加の一実施態様では、この方法は、設計データにおける1つ又は複数のPOIを優先順位付けすることと、優先順位付けステップの結果に基づいて1つ又は複数のPOIの1つ又は複数の分解能向上技術(RET)フィーチャを最適化することとを含む。   In some implementations, the method is performed on a wafer on which design data is printed based on the results of prioritizing one or more POIs in the design data and prioritizing steps. Optimizing one or more processes. In another embodiment, the method prioritizes one or more POIs in the design data and optimizes at least one of the one or more POIs based on the results of the prioritization step. Including. In an additional embodiment, the method prioritizes one or more POIs in the design data, and one or more resolutions of the one or more POIs based on the results of the prioritization step. Optimizing enhancement technology (RET) features.

一実施態様では、欠陥は、光学検査により検出される。いくつかの実施態様では、欠陥は、電子ビーム検査により検出される。他の実施態様では、欠陥は、プロセス・ウィンドウ・クォリフィケーション(PWQ)法で検出される。   In one embodiment, the defect is detected by optical inspection. In some embodiments, the defect is detected by electron beam inspection. In other embodiments, defects are detected with a process window qualification (PWQ) method.

いくつかの実施態様では、この方法は、検査プロセスの結果の信号対雑音比を高めるためにグループの1つ又は複数の中の欠陥のうちの少なくともいくつかをレビューし、欠陥が検出された検査プロセスの結果からニュイサンス欠陥に対応するグループの1つ又は複数を取り除くことにより欠陥のグループの1つ又は複数がニュイサンス欠陥に対応しているかどうかを判定することを含む。他の実施態様では、この方法は、グループの1つ又は複数の中の欠陥の少なくともいくつかのレビューの結果、設計データの1つ又は複数の属性、欠陥の1つ又は複数の属性、又はそれらの何らかの組合せに基づいて欠陥のグループの1つ又は複数を分類することを含む。追加の実施態様では、この方法は、グループの1つ又は複数の中の欠陥の少なくともいくつかのレビューの結果、設計データの1つ又は複数の属性、欠陥の1つ又は複数の属性、又はそれらの何らかの組合せに基づいて欠陥のグループの1つ又は複数の根本原因を突き止めることを含む。   In some implementations, the method reviews at least some of the defects in one or more of the group to increase the signal to noise ratio resulting from the inspection process, and the inspection in which the defects are detected. Determining whether one or more of the group of defects corresponds to the nuisance defect by removing one or more of the group corresponding to the nuisance defect from the result of the process. In other embodiments, the method may include as a result of at least some review of defects in one or more of the group, one or more attributes of the design data, one or more attributes of the defects, or Categorizing one or more of the group of defects based on some combination of. In an additional embodiment, the method includes the result of at least some review of defects in one or more of the group, one or more attributes of the design data, one or more attributes of the defects, or Locating one or more root causes of the group of defects based on some combination of.

一実施態様では、この方法は、グループの1つ又は複数における欠陥の少なくともいくつかを実験プロセス・ウィンドウの結果にマッピングすることにより欠陥のグループの1つ又は複数の根本原因を突き止めることを含む。他の実施態様では、この方法は、グループの1つ又は複数における欠陥の少なくともいくつかをシミュレートされたプロセス・ウィンドウの結果にマッピングすることにより欠陥のグループの1つ又は複数の根本原因を突き止めることを含む。   In one embodiment, the method includes locating one or more root causes of the group of defects by mapping at least some of the defects in one or more of the groups to the results of the experimental process window. In other embodiments, the method locates one or more root causes of a group of defects by mapping at least some of the defects in one or more of the groups to the result of a simulated process window. Including that.

いくつかの実施態様では、この方法は、欠陥配置に関する設計データを使用して加工されているデバイスの電気的特性をモデル化することと、モデル化するステップの結果に基づいて欠陥配置における欠陥のパラメータ関連性を決定することを含む。他の実施態様では、この方法は、設計データの1つ又は複数の属性に基づいて欠陥の1つ又は複数の致命確率(KP:kill probability)値を監視することを含む。追加の実施態様では、この方法は、設計データにおける1つ又は複数のPOIに対するKP値を監視することと、ビン範囲に従ってグループの1つ又は複数に分けられた欠陥の位置に近接する設計データの部分が1つ又は複数のPOIに対応する場合にグループの1つ又は複数に1つ又は複数のPOIに対するKP値を割り当てることとを含む。   In some implementations, the method uses the design data regarding the defect placement to model the electrical characteristics of the device being processed and based on the results of the modeling step, the defect placement in the defect placement. Including determining parameter relevance. In other embodiments, the method includes monitoring one or more kill probability (KP) values of the defect based on one or more attributes of the design data. In an additional embodiment, the method monitors KP values for one or more POIs in the design data, and detects design data proximate to the location of the defect grouped into one or more groups according to the bin range. Assigning a KP value for one or more POIs to one or more of the groups if the portion corresponds to one or more POIs.

いくつかの実施態様では、本明細書で説明されている方法のステップの1つ又は複数は、検査システムにより(つまり、「オンツール」)、又は検査システムから物理的に分離されているが、恐らくは伝送媒体を使って検査システムに結合されているプロセッサにより実行される。例えば、一実施態様では、コンピュータ実施方法は、欠陥を検出するために使用される検査システムにより実行される。代替実施態様では、コンピュータ実施方法は、欠陥を検出するために使用される検査システム以外のシステムにより実行される。   In some embodiments, one or more of the method steps described herein may be separated by the inspection system (ie, “on-tool”) or physically separated from the inspection system, Probably implemented by a processor coupled to the inspection system using a transmission medium. For example, in one embodiment, the computer-implemented method is performed by an inspection system that is used to detect defects. In an alternative embodiment, the computer-implemented method is performed by a system other than the inspection system used to detect the defect.

他の実施態様では、決定するステップは、部分の中の設計データ内の共通パターンが少なくとも類似しているかどうかを判定することを含む。追加の実施態様では、決定するステップは、部分の中の設計データの共通属性が少なくとも類似しているかどうかを判定することを含む。他の実施態様では、決定するステップは、部分の中の設計データのフィーチャ空間内の共通属性が少なくとも類似しているかどうかを判定することを含む。   In other embodiments, the determining step includes determining whether common patterns in the design data in the portion are at least similar. In an additional embodiment, the determining step includes determining whether the common attributes of the design data in the portion are at least similar. In another embodiment, the determining step includes determining whether common attributes in the feature space of the design data in the portion are at least similar.

一実施態様では、この方法は、欠陥のグループの1つ又は複数の影響を受けるウェハ上に形成されるダイの割合を決定することを含む。他の実施態様では、この方法は、グループの少なくとも1つに対応する設計データにおける1つ又は複数のPOIを決定することと、ビン範囲に従って1つ又は複数のPOIに対応するグループの少なくとも1つに分けられた欠陥の数とウェハ上の1つ又は複数のPOIの配置の数との比を決定することとを含む。追加の実施態様では、この方法は、グループの少なくとも1つに対応する設計データにおける1つ又は複数のPOIを決定することと、ビン範囲に従って1つ又は複数のPOIに対応するグループの少なくとも1つに分けられた欠陥の数と設計データにおける1つ又は複数のPOIの配置の数との比を決定することとを含む。   In one embodiment, the method includes determining a percentage of dies formed on the affected wafer (s) of the group of defects. In another embodiment, the method includes determining one or more POIs in the design data corresponding to at least one of the groups, and at least one of the groups corresponding to the one or more POIs according to the bin range. Determining the ratio of the number of defects divided into the number of one or more POI placements on the wafer. In an additional embodiment, the method includes determining one or more POIs in the design data corresponding to at least one of the groups and at least one of the groups corresponding to the one or more POIs according to the bin range. Determining the ratio of the number of defects divided into the number of placements of one or more POIs in the design data.

他の実施態様では、この方法は、グループの少なくとも1つに対応する設計データにおけるPOIを決定することと、ビン範囲に従ってグループの少なくとも1つに分けられた欠陥が配置されているウェハ上に形成されたダイの割合を決定することと、その割合に基づいてPOIに優先度を割り当てることとを含む。いくつかの実施態様では、この方法は、グループの1つ又は複数に含まれる欠陥が検出されるウェハ上で全設計インスタンスの数によりグループの1つ又は複数を優先順位付けすることを含む。他の実施態様では、この方法は、グループの1つ又は複数に含まれる欠陥が少なくとも1回検出されるウェハ上に設計データを印刷するために使用される、レチクル上の設計インスタンスの数によりグループの1つ又は複数を優先順位付けすることを含む。追加の実施態様では、この方法は、ビン範囲に従ってグループの1つ又は複数に分けられた欠陥が検出されたレチクル上の配置の数、及びビン範囲に従ってグループの1つ又は複数に分けられた欠陥の位置に近接する設計データの部分に少なくとも類似しているレチクル上に印刷される設計データの部分の総数に基づいてグループの1つ又は複数に対するレチクル・ベースの限界を決定することを含む。   In another embodiment, the method includes determining a POI in design data corresponding to at least one of the groups and forming on the wafer where the defects divided into at least one of the groups according to the bin range are located. Determining a percentage of the die that has been made and assigning a priority to the POI based on the percentage. In some implementations, the method includes prioritizing one or more of the groups by the number of total design instances on a wafer in which a defect included in one or more of the groups is detected. In another embodiment, the method includes grouping according to the number of design instances on the reticle that are used to print design data on a wafer in which defects in one or more of the groups are detected at least once. Prioritizing one or more of the. In an additional embodiment, the method includes the number of placements on the reticle in which a defect divided into one or more groups according to the bin range is detected, and the defect divided into one or more groups according to the bin range. Determining a reticle-based limit for one or more of the groups based on the total number of portions of the design data printed on the reticle that are at least similar to the portion of the design data proximate to the location of.

一実施態様では、この方法は、比較するステップの前に、設計データ空間における欠陥の位置に近接する設計データの部分をビットマップに変換することを含む。このような一実施態様では、比較するステップは、ビットマップ同士を比較することを含む。   In one implementation, the method includes converting a portion of the design data proximate to the location of the defect in the design data space to a bitmap prior to the comparing step. In one such implementation, the comparing step includes comparing the bitmaps.

上述の方法の実施態様はそれぞれ、本明細書で説明されている(複数の)方法の他の(複数の)ステップを含む。それに加えて、上述の方法の実施態様はそれぞれ、本明細書で説明されているシステムにより実行される。   Each of the method embodiments described above includes other step (s) of the method (s) described herein. In addition, each of the above-described method embodiments is performed by the system described herein.

他の実施態様は、ウェハ上で検出された欠陥についてDCIを決定する方法に関係する。この方法は、欠陥がウェハ上に加工されているデバイスの1つ又は複数の電気的属性を変える確率を、設計データ空間における欠陥の位置に近接する、デバイスに対する設計データの1つ又は複数の属性に基づいて決定することを含む。この方法は、さらに、欠陥が1つ又は複数の電気的属性を変える確率に基づいて欠陥に対するDCIを決定することも含む。それに加えて、この方法は、DCIを記憶媒体に格納することを含む。   Another embodiment relates to a method for determining DCI for defects detected on a wafer. The method includes determining the probability that the defect will change one or more electrical attributes of the device being processed on the wafer, the one or more attributes of the design data for the device that are proximate to the position of the defect in the design data space. To make a decision based on The method further includes determining a DCI for the defect based on the probability that the defect changes one or more electrical attributes. In addition, the method includes storing the DCI on a storage medium.

一実施態様では、欠陥は、ランダム欠陥を含む。他の実施態様では、欠陥は、系統的欠陥を含む。追加の実施態様では、1つ又は複数の電気的属性は、デバイスの機能を含む。他の実施態様では、1つ又は複数の電気的属性は、デバイスの1つ又は複数の電気的パラメータを含む。   In one embodiment, the defect includes a random defect. In other embodiments, the defects include systematic defects. In additional embodiments, the one or more electrical attributes include device functionality. In other implementations, the one or more electrical attributes include one or more electrical parameters of the device.

一実施態様では、設計データの1つ又は複数の属性は、冗長度、ネット・リスト、又はそれらの何らかの組合せを含む。他の実施態様では、設計データの1つ又は複数の属性は、設計データにおけるフィーチャの寸法、設計データにおけるフィーチャの密度、又はそれらの何らかの組合せを含む。   In one implementation, the one or more attributes of the design data include a redundancy, a net list, or some combination thereof. In other implementations, the one or more attributes of the design data include feature dimensions in the design data, feature densities in the design data, or some combination thereof.

一実施態様では、確率を決定することは、設計データに対する電気的試験結果と設計データの1つ又は複数の属性との間の相関を使用して確率を決定することを含む。他の実施態様では、確率を決定することは、設計データ空間内に欠陥が位置する確率と組み合わせた設計データの1つ又は複数の属性、欠陥を検出するために使用される検査システムにより報告される欠陥の位置、検査システムの座標の不正確さ、欠陥のサイズ、検査システムの欠陥サイズ誤差、又はそれらの何らかの組合せに基づいて確率を決定することを含む。そのような一実施態様では、欠陥は、ランダム欠陥を含む。   In one embodiment, determining the probability includes determining the probability using a correlation between an electrical test result for the design data and one or more attributes of the design data. In other embodiments, determining the probability is reported by an inspection system used to detect one or more attributes of the design data combined with the probability that the defect is located in the design data space, the defect. Determining the probability based on the location of the defect, the inaccuracy of the inspection system coordinates, the size of the defect, the defect size error of the inspection system, or some combination thereof. In one such embodiment, the defects include random defects.

いくつかの実施態様では、確率を決定することは、欠陥の1つ又は複数の属性と組み合わせて設計データの1つ又は複数の属性に基づいて確率を決定することを含む。そのような一実施態様では、欠陥は、系統的欠陥を含む。   In some implementations, determining the probability includes determining the probability based on one or more attributes of the design data in combination with one or more attributes of the defect. In one such embodiment, the defect includes a systematic defect.

一実施態様では、DCIを決定することは、欠陥に割り当てられた分類と組み合わせて確率に基づいて欠陥に対するDCIを決定すること含む。他の実施態様では、設計データの1つ又は複数の属性は、デバイスの複数の設計層に対する設計データの1つ又は複数の属性を含む。   In one embodiment, determining the DCI includes determining the DCI for the defect based on the probability in combination with the classification assigned to the defect. In other implementations, the one or more attributes of the design data include one or more attributes of the design data for multiple design layers of the device.

一実施態様では、この方法は、設計データ空間における検査データの位置を決定することにより欠陥の位置に近接する設計データを決定することを含む。他の実施態様では、この方法は、欠陥のアライメントにより欠陥の位置に近接する設計データを決定することを含む。いくつかの実施態様では、この方法は、少なくとも一部は、欠陥を検出するために使用される検査システムにより報告された欠陥の位置、検査システムの座標の不正確さ、設計データの1つ又は複数の属性、欠陥サイズ、検査システムの欠陥サイズ誤差、又はそれらの何らかの組合せに基づいて欠陥の位置に近接する設計データを決定することを含む。   In one embodiment, the method includes determining design data proximate to a defect location by determining the location of inspection data in the design data space. In other embodiments, the method includes determining design data proximate to a defect location by defect alignment. In some implementations, the method includes, at least in part, the location of the defect reported by the inspection system used to detect the defect, the inaccuracy of the inspection system coordinates, one of the design data or Determining design data proximate to the position of the defect based on a plurality of attributes, defect size, defect size error of the inspection system, or some combination thereof.

一実施態様では、この方法は、欠陥に対する設計データの歩留まりの感度に基づいてDCIを修正することを含む。他の実施態様では、この方法は、欠陥に対し決定されたDCIに基づいて欠陥上で実行されるプロセスを変えることを含む。追加の実施態様では、この方法は、欠陥に対し決定されたDCIに基づいて欠陥を検出するために使用されるプロセスを変えることを含む。他の実施態様では、この方法は、欠陥に対するDCIに基づいてデバイスが加工される追加のウェハの検査用のプロセスを生成することを含む。   In one embodiment, the method includes modifying the DCI based on design data yield sensitivity to defects. In other embodiments, the method includes altering a process performed on the defect based on the DCI determined for the defect. In additional embodiments, the method includes changing the process used to detect the defect based on the DCI determined for the defect. In other embodiments, the method includes generating a process for inspection of additional wafers on which the device is processed based on DCI for defects.

一実施態様では、コンピュータ実施方法は、欠陥を検出するために使用される検査システムにより実行される。他の実施態様では、コンピュータ実施方法は、欠陥を検出するために使用される検査システム以外のシステムにより実行される。   In one embodiment, the computer-implemented method is performed by an inspection system that is used to detect defects. In other embodiments, the computer-implemented method is performed by a system other than an inspection system used to detect defects.

上述の方法の実施態様はそれぞれ、本明細書で説明されている(複数の)方法の他の(複数の)ステップを含む。それに加えて、上述の方法の実施態様はそれぞれ、本明細書で説明されている任意のシステムにより実行される。   Each of the method embodiments described above includes other step (s) of the method (s) described herein. In addition, each of the above-described method embodiments is performed by any of the systems described herein.

他の実施態様は、ウェハ上に形成されたメモリ・バンクのメモリ修復指数(MRI)を決定するコンピュータ実施方法に関係する。この方法は、メモリ・バンクのアレイ・ブロック領域内に配置されている欠陥に基づいてメモリ・バンクを修復するのに必要な冗長行と冗長列の個数を決定することを含む。この方法は、さらに、メモリ・バンクを修復するのに必要な冗長行の個数をメモリ・バンクの利用可能な冗長行の個数と比較することを含む。それに加えて、この方法は、メモリ・バンクを修復するのに必要な冗長列の個数をメモリ・バンクの利用可能な冗長列の個数と比較することを含む。この方法は、さらに、冗長行の個数を比較した結果及び冗長列の個数を比較した結果に基づいてメモリ・バンクのMRIを決定することを含む。MRIは、メモリ・バンクが修復可能かどうかを示すものである。この方法は、さらに、MRIを記憶媒体に格納することを含む。   Another embodiment relates to a computer-implemented method for determining a memory repair index (MRI) of a memory bank formed on a wafer. The method includes determining the number of redundant rows and redundant columns required to repair the memory bank based on defects located in the array block area of the memory bank. The method further includes comparing the number of redundant rows required to repair the memory bank with the number of available redundant rows in the memory bank. In addition, the method includes comparing the number of redundant columns required to repair the memory bank with the number of available redundant columns in the memory bank. The method further includes determining the MRI of the memory bank based on the result of comparing the number of redundant rows and the result of comparing the number of redundant columns. MRI indicates whether the memory bank can be repaired. The method further includes storing the MRI on a storage medium.

一実施態様では、この方法は、アレイ・ブロック領域内に配置されている欠陥のうちどれがメモリ・バンク内のビットにエラーを引き起こすかを判定することと、それらのビットのエラーの原因となる欠陥の配置に基づいてエラーを起こすビットの位置を判定することとを含む。このような一実施態様では、メモリ・バンクを修復するのに必要な冗長行の個数と冗長列の個数を決定することは、エラーを起こすビットの位置を使用して実行される。   In one embodiment, the method determines which of the defects located in the array block area causes errors in the bits in the memory bank and causes errors in those bits. Determining the position of the bit causing the error based on the placement of the defect. In one such embodiment, determining the number of redundant rows and redundant columns needed to repair the memory bank is performed using the location of the bit causing the error.

他の実施態様では、この方法は、フィード・フォワード制御技術を使用してMRIに基づいて電気的試験プロセスの1つ又は複数のパラメータを変更することを含む。追加の実施態様では、この方法は、メモリ・バンクが修復可能でない場合に、メモリ・バンクが配置されているダイが電気的試験プロセス実行時に試験されないようにフィード・フォワード制御技術を使用してMRIに基づいて電気的試験プロセスの1つ又は複数のパラメータを変更することを含む。他の実施態様では、この方法は、メモリ・バンクのアレイ・ブロック領域内に配置された欠陥の1つ又は複数の属性、MRI、又はそれらの何らかの組合せに基づいて修復プロセスの1つ又は複数のパラメータを変更することを含む。   In other embodiments, the method includes changing one or more parameters of the electrical test process based on MRI using a feed forward control technique. In an additional embodiment, the method uses an MRI using a feed forward control technique so that if the memory bank is not repairable, the die in which the memory bank is located is not tested during the electrical test process. Changing one or more parameters of the electrical testing process based on In other embodiments, the method includes one or more of the repair process based on one or more attributes of defects located in the array block region of the memory bank, MRI, or some combination thereof. Including changing parameters.

一実施態様では、欠陥は、メモリ・バンクのゲート層で検出された欠陥を含む。他の実施態様では、欠陥は、メモリ・バンクの金属層で検出された欠陥を含む。   In one embodiment, the defects include defects detected at the gate layer of the memory bank. In other implementations, the defects include defects detected in the metal layer of the memory bank.

いくつかの実施態様では、この方法は、メモリ・バンクにおける欠陥の配置に基づいて欠陥のビット・エラー・モードを予測することを含む。他の実施態様では、この方法は、アレイ・ブロック領域内に配置されている欠陥の1つ又は複数に対するDCIを決定することを含む。このような一実施態様では、メモリ・バンクを修復するのに必要な冗長行の個数と冗長列の個数を決定することは、欠陥の1つ又は複数についてDCIを使用して実行される。   In some implementations, the method includes predicting the bit error mode of the defect based on the placement of the defect in the memory bank. In other embodiments, the method includes determining DCI for one or more of the defects located in the array block region. In one such implementation, determining the number of redundant rows and redundant columns needed to repair the memory bank is performed using DCI for one or more of the defects.

一実施態様では、冗長行の個数を比較することは、メモリ・ダイのそれぞれのバンクごとに別々に実行され、冗長列の個数を比較することは、メモリ・ダイのそれぞれのバンクごとに別々に実行される。いくつかの実施態様では、この方法は、メモリ・バンクの冗長行とメモリ・バンクの冗長列内に配置されている欠陥に基づいて利用可能な冗長行の個数と利用可能な冗長列の個数を決定することを含む。   In one embodiment, comparing the number of redundant rows is performed separately for each bank of the memory die, and comparing the number of redundant columns is performed separately for each bank of the memory die. Executed. In some implementations, the method determines the number of redundant rows available and the number of available redundant columns based on the defects located in the redundant rows of the memory bank and the redundant columns of the memory bank. Including deciding.

一実施態様では、この方法は、ダイ内に形成される複数のメモリ・バンクに対するMRIを決定することと、複数のメモリ・バンクに対するMRIに基づいてダイの修復歩留まりを予測することとを含む。他の実施態様では、この方法は、MRIに基づいて、メモリ・バンクにおける利用可能な冗長列の個数、利用可能な冗長行の個数、又はそれらの何らかの組合せがメモリ・バンクの設計者により評価されるべきかどうかを決定することを含む。   In one implementation, the method includes determining an MRI for a plurality of memory banks formed in the die and predicting a repair yield of the die based on the MRI for the plurality of memory banks. In other embodiments, the method is based on MRI and the number of available redundant columns in the memory bank, the number of available redundant rows, or some combination thereof is evaluated by the memory bank designer. Including deciding whether or not to do.

いくつかの実施態様では、この方法は、ウェハ上の1つ又は複数のダイのそれぞれのメモリ・バンクに対するMRIを決定することと、それぞれのメモリ・バンクに対するMRIに基づいて1つ又は複数のダイのメモリ修復歩留まりを決定することとを含む。いくつかのそのような実施態様では、この方法は、ウェハ上の1つ又は複数のダイに対する1つ又は複数のメモリ修復歩留まりに基づいてウェハの配置を実行することを含む。   In some implementations, the method includes determining an MRI for each memory bank of one or more dies on the wafer and determining the one or more dies based on the MRI for each memory bank. Determining the memory repair yield. In some such implementations, the method includes performing wafer placement based on one or more memory repair yields for one or more dies on the wafer.

一実施態様では、冗長行の個数を比較することは、メモリ・バンクを修復するのに必要な冗長行の一部を決定することを含み、冗長列の個数を比較することは、メモリ・バンクを修復するのに必要な冗長列の一部を決定することを含み、メモリ・バンクに対するMRIを決定することは冗長行の一部と冗長列の一部に基づいてMRIを決定することを含む。このようないくつかの実施態様では、この方法は、ウェハ上の1つ又は複数のダイのそれぞれのメモリ・バンクに対するMRIを決定することと、それぞれのメモリ・バンクに対するMRIに基づいて1つ又は複数のダイのメモリ修復歩留まりを決定することとを含む。追加のそのような実施態様では、この方法は、1つ又は複数のダイのそれぞれに対するメモリ修復歩留まりに基づいてウェハに対するメモリ修復歩留まりを決定することを含む。   In one embodiment, comparing the number of redundant rows includes determining a portion of the redundant row necessary to repair the memory bank, and comparing the number of redundant columns includes: Determining a portion of redundant columns necessary to repair the memory, and determining an MRI for the memory bank includes determining an MRI based on a portion of the redundant row and a portion of the redundant column. . In some such implementations, the method includes determining an MRI for each memory bank of one or more dies on the wafer and one or more based on the MRI for each memory bank. Determining a memory repair yield of the plurality of dies. In additional such embodiments, the method includes determining a memory repair yield for the wafer based on the memory repair yield for each of the one or more dies.

一実施態様では、MRIは、さらに、メモリ修復バンクが修復可能でなくなる確率を示す。このような一実施態様では、この方法は、ウェハ上の1つ又は複数のダイにおけるそれぞれのメモリ・バンクに対するMRIを決定することと、1つ又は複数のダイにおけるメモリ・バンクのそれぞれに対するMRIに基づいて1つ又は複数のダイに対するMRIを決定することとを含み、1つ又は複数のダイに対するMRIは、1つ又は複数のダイが修復可能でなくなる確率を示す。そのような一実施態様では、この方法は、ウェハ上の1つ又は複数のダイに対するMRIのしきい値設定に基づいてウェハ・ベースの歩留まり予測を決定することを含む。   In one implementation, the MRI further indicates the probability that the memory repair bank will not be repairable. In one such embodiment, the method includes determining an MRI for each memory bank in one or more dies on the wafer and an MRI for each of the memory banks in the one or more dies. Determining an MRI for the one or more dies based on the MRI for the one or more dies indicates a probability that the one or more dies will not be repairable. In one such embodiment, the method includes determining wafer-based yield prediction based on MRI threshold settings for one or more dies on the wafer.

一実施態様では、この方法は、メモリ・バンクのデコーダ領域に配置されている欠陥の数、メモリ・バンクのセンス・アンプ領域に配置されている欠陥の数、又はそれらの何らかの組合せに基づいてメモリ・バンクにおける修復不可能な欠陥の個数を決定することを含む。   In one embodiment, the method includes memory based on the number of defects located in the decoder area of the memory bank, the number of defects located in the sense amplifier area of the memory bank, or some combination thereof. Including determining the number of unrepairable defects in the bank.

いくつかの実施態様では、冗長行の個数と冗長列の個数を決定することは、メモリ・バンクのアレイ・ブロック領域に配置されている欠陥のそれぞれに対するDCIを決定することと、DCIを所定のしきい値と比較することと、所定のしきい値よりも高いDCIを有する欠陥のすべてを修復するのに必要な冗長行の個数と冗長列の個数を決定することを含む。   In some implementations, determining the number of redundant rows and the number of redundant columns includes determining DCI for each of the defects located in the array block region of the memory bank, Comparing to a threshold value and determining the number of redundant rows and redundant columns required to repair all defects having a DCI higher than a predetermined threshold value.

一実施態様では、この方法は、メモリ・バンクのアレイ・ブロック領域内に配置されている欠陥によるメモリ・バンクの障害に対するMRIを決定することを含む。他の実施態様では、この方法は、メモリ・バンクの冗長行と冗長列内に配置されている欠陥によるメモリ・バンクの障害に対するMRIを決定することを含む。   In one embodiment, the method includes determining an MRI for failure of the memory bank due to a defect located in the array block area of the memory bank. In another embodiment, the method includes determining an MRI for failure of the memory bank due to defects located in the redundant row and redundant column of the memory bank.

いくつかの実施態様では、この方法は、メモリ・バンク内で検出された欠陥同士の空間的相関関係を例示する類似のメモリ・バンク設計の積層マップを生成することを含む。他の実施態様では、この方法は、ダイに基づいてMRIを決定することを含む。追加の実施態様では、この方法は、ウェハ上のダイがアレイ・ブロック領域内に配置されている欠陥により不具合を生じる場合を示す指標を決定することを含む。   In some implementations, the method includes generating a stack map of similar memory bank designs that illustrate the spatial correlation between defects detected in the memory bank. In other embodiments, the method includes determining an MRI based on the die. In an additional embodiment, the method includes determining an indication that indicates when a die on the wafer is defective due to a defect located in the array block region.

一実施態様では、この方法は、ウェハ上のダイにおいてメモリ・バンクに対するMRIを決定することと、修復可能でないことをMRIにより示されているメモリ・バンクの2つ又はそれ以上の間の空間的相関関係を例示するダイの積層マップを生成することとを含む。他の実施態様では、この方法は、ウェハ上のダイにおいてメモリ・バンクに対するMRIを決定することと、修復可能でないことをMRIにより示されているメモリ・バンクの2つ又はそれ以上の間の空間的相関関係を例示するウェハ上のメモリ・バンクを形成するために使用されるレチクルの積層マップを生成することとを含む。   In one embodiment, the method determines an MRI for a memory bank in a die on the wafer and a spatial between two or more of the memory banks indicated by the MRI that it is not repairable. Generating a die stacking map illustrating the correlation. In another embodiment, the method determines the MRI for a memory bank in a die on the wafer and the space between two or more of the memory banks indicated by the MRI that it is not repairable. Generating a stacking map of reticles used to form a memory bank on the wafer illustrating the dynamic correlation.

いくつかの実施態様では、この方法は、ダイにおいて検出された欠陥の影響を受けるダイのメモリ・バンクを識別することと、メモリ・バンク上の欠陥の影響に基づいてメモリ・バンクをランク付けすることとを含む。他の実施態様では、この方法は、メモリ・バンクの修復不可能な領域における欠陥の影響を受けるウェハ上に形成されるメモリ・バンクの割合を決定することを含む。追加の実施態様では、この方法は、発生する可能性のある障害の間の空間的相関関係を例示するウェハ上に形成されたメモリ・バンク内に発生する可能性のある障害の積層ウェハ・マップを生成することを含む。他の実施態様では、この方法は、ウェハ上に形成された複数のダイに対するMRIを決定することと、MRIに基づいて複数のダイをランク付けすることとを含む。   In some embodiments, the method identifies a memory bank of a die that is affected by a detected defect in the die and ranks the memory bank based on the effect of the defect on the memory bank. Including. In another embodiment, the method includes determining a percentage of the memory bank formed on the wafer that is affected by a defect in an unrepairable area of the memory bank. In an additional embodiment, the method includes a stacked wafer map of potential failures that may occur in a memory bank formed on a wafer that illustrates a spatial correlation between possible failures. Generating. In other embodiments, the method includes determining an MRI for a plurality of dies formed on the wafer and ranking the plurality of dies based on the MRI.

上述の方法の実施態様はそれぞれ、本明細書で説明されている(複数の)方法の他の(複数の)ステップを含む。それに加えて、上述の方法の実施態様はそれぞれ、本明細書で説明されているシステムにより実行される。   Each of the method embodiments described above includes other step (s) of the method (s) described herein. In addition, each of the above-described method embodiments is performed by the system described herein.

他の実施態様は、ウェハ上で検出された欠陥をビン範囲に従って分ける異なるコンピュータ実施方法に関係する。この方法は、設計データ空間における欠陥の位置と設計データにおけるホット・スポットの位置とを比較することを含む。少なくとも類似している設計データに近接して配置されているホット・スポットは、互いに相関する。この方法は、さらに、欠陥と少なくとも類似している位置を有するホット・スポットとを関連付けることを含む。それに加えて、この方法は、グループのそれぞれにおける欠陥が互いに相関するホット・スポットのみに関連付けられるように欠陥をビン範囲によってグループ分けるすることを含む。この方法は、さらに、ビン範囲に従ってグループ分けするステップの結果を記憶媒体に格納することを含む。   Other embodiments relate to different computer-implemented methods that divide the defects detected on the wafer according to bin ranges. The method includes comparing a defect location in the design data space with a hot spot location in the design data. Hot spots located close to at least similar design data are correlated with each other. The method further includes associating a hot spot having a location that is at least similar to the defect. In addition, the method includes grouping defects by bin ranges so that defects in each of the groups are associated only with hot spots that correlate with each other. The method further includes storing the result of the grouping step according to the bin range on a storage medium.

一実施態様では、この方法は、系統的欠陥に関連付けられている設計データにおけるPOIの配置を識別することによりホット・スポット同士を相関させることと、POIと設計データにおける類似のパターンとを相関させることと、POIの配置と設計データにおける類似パターンの配置とを相関するホット・スポットの位置として相関させることとを含む。   In one embodiment, the method correlates hot spots by identifying POI placement in design data associated with systematic defects and correlates POIs with similar patterns in design data. And correlating the POI arrangement and the arrangement of similar patterns in the design data as correlated hot spot positions.

いくつかの実施態様では、この方法は、DBCをグループの1つ又は複数に割り当てることを含む。他の実施態様では、コンピュータ実施方法は、ウェハ上の欠陥を検出するために使用される検査システムにより実行される。他の実施態様では、この方法は、設計データが印刷される1つ又は複数のウェハの検査結果を使用してホット・スポットを監視することを含む。   In some implementations, the method includes assigning a DBC to one or more of the groups. In other embodiments, the computer-implemented method is performed by an inspection system that is used to detect defects on the wafer. In other embodiments, the method includes monitoring hot spots using inspection results of one or more wafers on which design data is printed.

一実施態様では、この方法は、ホット・スポット間の相関関係に基づいてウェハを検査することを含む。他の実施態様では、この方法は、ビン範囲に従って分けるステップの結果を使用して時間の経過とともに系統的欠陥、潜在的系統的欠陥、又はそれらの何らかの組合せを監視することを含む。追加の一実施態様では、この方法は、ビン範囲に従って分けるステップの結果に基づいて欠陥のレビューを実行することを含む。他の実施態様では、この方法は、ビン範囲に従って分けるステップの結果に基づいてレビューする欠陥を選択するプロセスを生成することを含む。   In one embodiment, the method includes inspecting the wafer based on the correlation between hot spots. In other embodiments, the method includes monitoring systematic defects, potential systematic defects, or some combination thereof over time using the results of the step of dividing according to bin ranges. In an additional embodiment, the method includes performing a defect review based on the results of the step of dividing according to bin ranges. In other embodiments, the method includes generating a process for selecting defects to review based on the results of the step of dividing according to bin ranges.

一実施態様では、この方法は、ビン範囲に従って分けるステップの結果に基づいて設計データにおける系統的欠陥と潜在的系統的欠陥を識別することと、時間を追って系統的欠陥と潜在的系統的欠陥の発生を監視することとを含む。他の実施態様では、この方法は、ビン範囲に従って分けるステップの結果に基づいて設計データが印刷されているウェハを検査するプロセスを生成することを含む。追加の実施態様では、この方法は、ビン範囲に従って分けるステップの結果に基づいて設計データが印刷されているウェハを検査するプロセスを変更することを含む。   In one embodiment, the method identifies systematic and potential systematic defects in the design data based on the results of the step of binning according to bin ranges, and identifies systematic and potential systematic defects over time. Monitoring the occurrence. In another embodiment, the method includes generating a process for inspecting a wafer on which design data is printed based on the result of the step of dividing according to bin ranges. In an additional embodiment, the method includes modifying the process of inspecting the wafer on which the design data is printed based on the result of the step of dividing according to the bin range.

いくつかの実施態様では、この方法は、欠陥のグループの1つ又は複数の影響を受けるウェハ上に形成されるダイの割合を決定することを含む。他の実施態様では、この方法は、欠陥の1つ又は複数に対するDCIを決定することを含む。追加の実施態様では、この方法は、ビン範囲に従ってグループの少なくとも1つに分けられた欠陥が配置されているウェハ上に形成されたダイの割合を決定することと、その割合に基づいてグループの少なくとも1つに優先度を割り当てることとを含む。   In some embodiments, the method includes determining a percentage of dies formed on the affected wafer of one or more of the group of defects. In other embodiments, the method includes determining a DCI for one or more of the defects. In an additional embodiment, the method includes determining a percentage of dies formed on a wafer having at least one defect grouped therein according to the bin range, and based on the percentage of the group. Assigning priority to at least one.

一実施態様では、この方法は、グループの1つ又は複数に含まれる欠陥に関連付けられているホット・スポットと相関する全ホット・スポットの数及びグループの1つ又は複数に含まれる欠陥の数によりグループの1つ又は複数を優先順位付けすることを含む。他の実施態様では、この方法は、グループの1つ又は複数に含まれる欠陥が少なくとも1回検出されるウェハ上に設計データを印刷するために使用されるレチクル上の対応するホット・スポット配置の数によりグループの1つ又は複数を優先順位付けすることを含む。   In one embodiment, the method depends on the number of total hot spots correlated with the hot spots associated with defects included in one or more of the groups and the number of defects included in one or more of the groups. Prioritizing one or more of the groups. In another embodiment, the method includes a corresponding hot spot arrangement on a reticle used to print design data on a wafer in which defects in one or more of the groups are detected at least once. Prioritizing one or more of the groups by number.

いくつかの実施態様では、この方法は、ビン範囲に従ってグループの1つ又は複数に分けられた欠陥が検出されたレチクル上の配置の数、及びグループの1つ又は複数に含まれる欠陥に関連付けられているホット・スポットと相関するレチクル上のホット・スポット配置の総数に基づいてグループの1つ又は複数に対するレチクル・ベースの限界を決定することを含む。   In some embodiments, the method is associated with the number of placements on the reticle in which defects classified into one or more of the groups according to the bin range are detected, and the defects included in one or more of the groups. Determining a reticle-based limit for one or more of the groups based on the total number of hot spot placements on the reticle that correlate with the hot spots being detected.

上述の方法の実施態様はそれぞれ、本明細書で説明されている(複数の)方法の他の(複数の)ステップを含む。それに加えて、上述の方法の実施態様はそれぞれ、本明細書で説明されているシステムにより実行される。   Each of the method embodiments described above includes other step (s) of the method (s) described herein. In addition, each of the above-described method embodiments is performed by the system described herein.

他の実施態様は、ウェハ上で検出された欠陥をビン範囲に従って分ける異なるコンピュータ実施方法に関係する。この方法は、設計データ空間における欠陥の位置に近接する設計データの1つ又は複数の属性を比較することを含む。この方法は、さらに、比較するステップの結果に基づいて欠陥の位置に近接する設計データの1つ又は複数の属性が少なくとも類似しているかどうかを判定することも含む。それに加えて、この方法は、欠陥をビン範囲に従ってグループ分けする際に、それらのグループのそれぞれにおける欠陥の位置に近接する設計データの1つ又は複数の属性が少なくとも類似しているようにグループ分けすることを含む。この方法は、さらに、ビン範囲に従ってグループ分けするステップの結果を記憶媒体に格納することを含む。   Other embodiments relate to different computer-implemented methods that divide the defects detected on the wafer according to bin ranges. The method includes comparing one or more attributes of design data proximate to a defect location in the design data space. The method further includes determining whether one or more attributes of the design data proximate to the defect location are at least similar based on the result of the comparing step. In addition, when the defect is grouped according to the bin range, the method groups so that one or more attributes of the design data close to the position of the defect in each of those groups are at least similar. Including doing. The method further includes storing the result of the grouping step according to the bin range on a storage medium.

一実施態様では、1つ又は複数の属性は、パターン密度を含む。他の実施態様では、この方法は、1つ又は複数の属性を使用して欠陥がランダム欠陥であるか、系統的欠陥であるかを判定することを含む。追加の一実施態様では、この方法は、1つ又は複数の属性を使用してグループの1つ又は複数をランク付けすることを含む。他の実施態様では、この方法は、1つ又は複数の属性を使用してグループの少なくとも1つに含まれる欠陥をランク付けすることを含む。いくつかの実施態様では、1つ又は複数の属性は、フィーチャ空間における1つ又は複数の属性を含む。   In one implementation, the one or more attributes include pattern density. In other embodiments, the method includes determining whether the defect is a random defect or a systematic defect using one or more attributes. In an additional embodiment, the method includes ranking one or more of the groups using one or more attributes. In other implementations, the method includes ranking defects included in at least one of the groups using one or more attributes. In some implementations, the one or more attributes include one or more attributes in the feature space.

一実施態様では、この方法は、1つ又は複数の属性を使用してグループの少なくとも1つに含まれる欠陥をビン範囲に従ってサブグループに分けることを含む。他の実施態様では、この方法は、1つ又は複数の属性を使用してグループの少なくとも1つに含まれる欠陥を分析することを含む。追加の一実施態様では、この方法は、1つ又は複数の属性を使用して欠陥の1つ又は複数の歩留まり関連性を決定することを含む。他の実施態様では、この方法は、1つ又は複数の属性を使用してグループの1つ又は複数の総合的歩留まり関連性を決定することを含む。さらに他の実施態様では、この方法は、1つ又は複数の属性を使用してDCIを欠陥の1つ又は複数に割り当てることを含む。   In one implementation, the method includes using one or more attributes to divide defects included in at least one of the groups into subgroups according to the bin range. In other embodiments, the method includes analyzing defects included in at least one of the groups using one or more attributes. In an additional embodiment, the method includes determining one or more yield relevances of the defect using one or more attributes. In other embodiments, the method includes determining one or more overall yield associations for the group using one or more attributes. In yet another embodiment, the method includes assigning a DCI to one or more of the defects using one or more attributes.

いくつかの実施態様では、この方法は、欠陥の位置に近接する設計データを欠陥の周りの領域における設計データと欠陥が配置されている領域における設計データに分けることを含む。他の実施態様では、この方法は、ルールと1つ又は複数の属性とを使用してビン範囲に従ってグループ分けするか、又はフィルタリングするために設計データ内の構造を識別することを含む。   In some implementations, the method includes dividing design data proximate to a defect location into design data in a region around the defect and design data in a region where the defect is located. In other embodiments, the method includes identifying structures in the design data for grouping or filtering according to bin ranges using rules and one or more attributes.

一実施態様では、この方法は、欠陥の検出時に生成される検査結果に基づいて、また系統的欠陥として識別された欠陥に基づいてレビュー、測定、試験、又はそれらの何らかの組合せが実行されるウェハ上の配置を決定することを含む。他の実施態様では、この方法は、欠陥の検出時に生成される検査結果、系統的欠陥として識別された欠陥、及び欠陥の歩留まり関連性に基づいてレビュー、測定、試験、又はそれらの何らかの組合せが実行されるウェハ上の配置を決定することを含む。追加の実施態様では、この方法は、欠陥の検出時に生成される検査結果と、系統的欠陥として識別された欠陥と、プロセス・ウィンドウ・マッピングとに基づいてレビュー、測定、試験、又はそれらの何らかの組合せが実行されるウェハ上の配置を決定することを含む。   In one embodiment, the method includes performing a review, measurement, test, or some combination thereof based on inspection results generated upon detection of defects and based on defects identified as systematic defects. Including determining the top placement. In other embodiments, the method includes review, measurement, testing, or some combination thereof based on inspection results generated upon detection of defects, defects identified as systematic defects, and defect yield relevance. Determining the placement on the wafer to be performed. In an additional embodiment, the method includes review, measurement, testing, or some of them based on inspection results generated upon detection of defects, defects identified as systematic defects, and process window mapping. Determining the placement on the wafer where the combination is performed.

一実施態様では、この方法は、ビン範囲に従って分けるステップの結果とユーザー支援レビューの結果を使用して系統的発見を実行することを含む。他の実施態様では、この方法は、比較するステップに先立ち、ビン範囲に従って分けるステップの結果に含まれる信号対雑音比を改善するために欠陥が配置されている機能ブロックに基づいて欠陥を分離することを含む。   In one implementation, the method includes performing a systematic discovery using the results of the step of dividing according to bin ranges and the results of the user-assisted review. In another embodiment, the method isolates the defect based on the functional block in which the defect is located to improve the signal to noise ratio included in the result of the step of dividing according to the bin range prior to the comparing step. Including that.

いくつかの実施態様では、設計データは、設計により階層的セルに編成され、この方法は、比較するステップに先立ち、ビン範囲に従って分けるステップの結果に含まれる信号対雑音比を改善するために欠陥が配置されている階層的セルに基づいて欠陥を分離することを含む。他の実施態様では、設計データは、設計により階層的セルに編成され、欠陥が階層的セルの複数に配置される場合に、この方法は、階層的セルの面積、欠陥位置に関する確率、又はそれらの何らかの組合せに基づいて階層的セルのそれぞれに欠陥が配置される確率に基づいて欠陥を階層的セルのそれぞれと相関させることを含む。   In some implementations, the design data is organized into hierarchical cells by design, and the method includes a defect to improve the signal to noise ratio included in the result of the step of dividing according to bin ranges prior to the step of comparing. Isolating defects based on the hierarchical cell in which is placed. In other embodiments, when the design data is organized into hierarchical cells by design and the defects are placed in multiple of the hierarchical cells, the method can be used to determine the area of the hierarchical cell, the probability of the defect location, or Correlating defects with each of the hierarchical cells based on the probability that the defect is placed in each of the hierarchical cells based on some combination of.

一実施態様では、欠陥は、検査プロセスにより検出されており、この方法は、設計データにおける1つ又は複数のPOIが印刷されるウェハ上の配置をレビューすることと、レビューステップの結果に基づいて欠陥が1つ又は複数のPOIの配置のところで検出されているかどうかを判定することと、1つ又は複数の欠陥捕捉率を改善するように検査プロセスを変更することとを含む。   In one embodiment, the defect has been detected by an inspection process, and the method is based on reviewing an arrangement on the wafer on which one or more POIs in the design data are printed and the result of the review step. Determining whether a defect has been detected at the location of the one or more POIs and modifying the inspection process to improve the one or more defect capture rates.

上述の方法の実施態様はそれぞれ、本明細書で説明されている(複数の)方法の他の(複数の)ステップを含む。それに加えて、上述の方法の実施態様はそれぞれ、本明細書で説明されているシステムにより実行される。   Each of the method embodiments described above includes other step (s) of the method (s) described herein. In addition, each of the above-described method embodiments is performed by the system described herein.

他の実施態様は、ウェハ上で検出された欠陥に分類を割り当てるコンピュータ実施方法に関係する。この方法は、設計データ(例えば、POI設計例)が異なるDBC(例えば、異なるDBCビン定義)に対応する設計データ空間における欠陥の位置に近接する設計データの部分同士を比較することを含む。異なるDBCに対応する設計データと異なるDBCは、データ構造体内に格納される。この方法は、さらに、比較するステップの結果に基づいてそれらの部分における設計データが異なるDBCに対応する設計データに少なくとも類似しているかどうかを判定することも含む。それに加えて、この方法は、それらの部分における設計データに少なくとも類似している設計データに対応するDBCを欠陥に割り当てることを含む。この方法は、さらに、割り当てるステップの結果を記憶媒体に格納することを含む。   Another embodiment relates to a computer-implemented method for assigning a classification to defects detected on a wafer. The method includes comparing portions of design data proximate to a defect location in a design data space corresponding to DBCs (eg, different DBC bin definitions) with different design data (eg, POI design examples). A DBC different from design data corresponding to a different DBC is stored in the data structure. The method further includes determining whether the design data in those portions is at least similar to design data corresponding to different DBCs based on the result of the comparing step. In addition, the method includes assigning to the defect a DBC corresponding to design data that is at least similar to the design data in those portions. The method further includes storing the result of the assigning step on a storage medium.

一実施態様では、コンピュータ実施方法は、欠陥を検出するために使用される検査システムにより実行される。他の実施態様では、コンピュータ実施方法は、欠陥を検出するために使用される検査システム以外のシステムにより実行される。   In one embodiment, the computer-implemented method is performed by an inspection system that is used to detect defects. In other embodiments, the computer-implemented method is performed by a system other than an inspection system used to detect defects.

一実施態様では、この方法は、割り当てるステップの結果に基づいて設計データにおけるホット・スポットを監視することを含む。他の実施態様では、異なるDBCに対応する設計データは、設計データ空間における1つ又は複数の他のウェハ上で検出された欠陥の位置に近接する設計データの位置に基づいて1つ又は複数の他のウェハ上で検出された欠陥をグループ分けすることにより識別される。   In one embodiment, the method includes monitoring hot spots in the design data based on the result of the assigning step. In other embodiments, the design data corresponding to different DBCs may be one or more based on the location of the design data proximate to the location of defects detected on one or more other wafers in the design data space. The defects detected on other wafers are identified by grouping them.

いくつかの実施態様では、欠陥は、検査プロセスで検出されており、この方法は、設計データにおける1つ又は複数のPOIが印刷されるウェハ上の配置をレビューすることと、レビューステップの結果に基づいて欠陥が1つ又は複数のPOIの配置のところで検出されているかどうかを判定することと、1つ又は複数の欠陥捕捉率を改善するように検査プロセスを変更することとを含む。   In some implementations, defects have been detected in the inspection process, and the method can include reviewing the placement on the wafer on which one or more POIs in the design data are printed and the result of the review step. Based on determining whether a defect has been detected at the location of the one or more POIs and modifying the inspection process to improve one or more defect capture rates.

一実施態様では、この方法は、欠陥に割り当てられたDBCに基づいて欠陥がニュイサンス欠陥であるかどうかを判定することと、検査プロセスの結果の信号対雑音比を高めるために欠陥が検出された検査プロセスの結果からニュイサンス欠陥を除去することとを含む。   In one embodiment, the method detects a defect to determine whether the defect is a nuisance defect based on the DBC assigned to the defect and to increase the signal-to-noise ratio resulting from the inspection process. Removing nuisance defects from the results of the inspection process.

他の実施態様では、この方法は、欠陥の1つ又は複数に対するKP値を決定することを含む。追加の実施態様では、この方法は、欠陥に割り当てられたDBCが、レビュー・システムから見える系統的欠陥に対応するかどうかを判定することと、レビューのためレビュー・システムから見える欠陥のみを選択することによりレビューのため欠陥をサンプリングすることとを含む。他の実施態様では、この方法は、パターン依存欠陥を示す設計データにおける1つ又は複数のフィーチャを識別することにより設計データにおける1つ又は複数のPOIを決定することを含む。   In other embodiments, the method includes determining a KP value for one or more of the defects. In an additional embodiment, the method determines whether the DBC assigned to the defect corresponds to a systematic defect visible to the review system and selects only defects visible from the review system for review. Sampling defects for review. In other embodiments, the method includes determining one or more POIs in the design data by identifying one or more features in the design data that exhibit pattern dependent defects.

一実施態様では、DBCは、欠陥が配置されている設計データ又は欠陥の近くに配置されている設計データにおける1つ又は複数のポリゴンを識別する。他の実施態様では、DBCは、設計データにおける1つ又は複数のポリゴン内の欠陥の配置を識別する。追加の実施態様では、データ構造体は、技術、プロセス、又はそれらの何らかの組合せにより編成された設計データの例(例えば、DBCビン定義に対するPOI設計例)を含むライブラリを含む。   In one implementation, the DBC identifies one or more polygons in the design data where the defect is located or in the design data located near the defect. In other implementations, the DBC identifies the placement of defects within one or more polygons in the design data. In additional implementations, the data structure includes a library that includes examples of design data (eg, POI design examples for DBC bin definitions) organized by technology, process, or some combination thereof.

いくつかの実施態様では、この方法は、欠陥の位置に近接する設計データを欠陥の周りの領域における設計データと欠陥が配置されている領域における設計データに分けることを含む。他の実施態様では、この方法は、割り当てるステップの結果を使用して時間の経過とともに系統的欠陥、潜在的系統的欠陥、又はそれらの何らかの組合せを監視することを含む。追加の実施態様では、この方法は、DBCに対応する設計データの1つ又は複数の属性に基づいてDBCの1つ又は複数に対するKP値を決定することを含む。KP値は、さらに、DBCに対応する設計データと電気的試験データの1つ又は複数の属性に基づいて決定される。他の実施態様では、この方法は、欠陥の1つ又は複数に割り当てられたDBCに対応する設計データの1つ又は複数の属性に基づいて欠陥の1つ又は複数に対するKP値を決定することを含む。さらに他の実施態様では、この方法は、DBCの1つ又は複数に対するKP値を監視することと、欠陥に割り当てられたDBCに対するKP値を欠陥に割り当てることとを含む。   In some implementations, the method includes dividing design data proximate to a defect location into design data in a region around the defect and design data in a region where the defect is located. In other embodiments, the method includes monitoring systematic defects, potential systematic defects, or some combination thereof over time using the results of the assigning step. In additional embodiments, the method includes determining a KP value for one or more of the DBCs based on one or more attributes of design data corresponding to the DBC. The KP value is further determined based on one or more attributes of design data and electrical test data corresponding to the DBC. In another embodiment, the method comprises determining a KP value for one or more of the defects based on one or more attributes of the design data corresponding to the DBC assigned to the one or more of the defects. Including. In yet another embodiment, the method includes monitoring a KP value for one or more of the DBCs and assigning a KP value for the DBC assigned to the defect to the defect.

一実施態様では、これらの部分の少なくとも一部の寸法は、異なる。他の実施態様では、これらの部分における設計データは、複数の設計層に対する設計データを含む。他の実施態様では、この方法は、ウェハ上のアライメント部位について検査システムにより取り込まれたデータを所定のアライメント部位に対するデータと比較することにより設計データ空間における欠陥の位置を決定することを含む。追加の実施態様では、この方法は、欠陥の検出時に検査システムにより取り込まれたデータをレビューにより決定された設計データにおける配置と比較することにより設計データ空間における欠陥の位置を決定することを含む。   In one embodiment, the dimensions of at least some of these portions are different. In other implementations, the design data in these portions includes design data for multiple design layers. In another embodiment, the method includes determining the position of the defect in the design data space by comparing data captured by the inspection system for the alignment site on the wafer with data for a predetermined alignment site. In an additional embodiment, the method includes determining the position of the defect in the design data space by comparing the data captured by the inspection system upon detection of the defect with an arrangement in the design data determined by review.

一実施態様では、割り当てるステップは、それらの部分における設計データに少なくとも類似し、またそれらの部分における設計データの1つ又は複数の属性に少なくとも類似する1つ又は複数の属性を有する設計データに対応するDBCを欠陥に割り当てることを含む。このような一実施態様では、1つ又は複数の属性は、欠陥が検出された検査の1つ又は複数の属性、検査の1つ又は複数のパラメータ、又はそれらの何らかの組合せを含む。   In one embodiment, the assigning step corresponds to design data having one or more attributes that are at least similar to the design data in those portions and at least similar to one or more attributes of the design data in those portions. Assigning DBCs to defects. In one such implementation, the one or more attributes include one or more attributes of the inspection in which the defect was detected, one or more parameters of the inspection, or some combination thereof.

一実施態様では、欠陥の位置に近接する設計データは、欠陥が配置されている設計データを含む。他の実施態様では、欠陥の位置に近接する設計データは、欠陥の位置の周りの設計データを含む。追加の実施態様では、この方法は、DBCの1つ又は複数に割り当てられている欠陥をビン範囲に従ってグループ分けする際に、欠陥の位置に近接する設計データの部分に含まれるポリゴンに関するそれらのグループのそれぞれにおける欠陥の位置が少なくとも類似しているようにグループ分けすることを含む。   In one embodiment, the design data proximate to the position of the defect includes design data where the defect is located. In other embodiments, the design data proximate to the defect location includes design data around the defect location. In an additional embodiment, the method includes groups of polygons included in a portion of the design data proximate to the location of the defect when grouping defects assigned to one or more of the DBCs according to the bin range. Grouping so that the positions of the defects in each of the are at least similar.

一実施態様では、この方法は、割り当てるステップの結果に基づいてレビューする欠陥の少なくともいくつかを選択することを含む。他の実施態様では、この方法は、割り当てるステップの結果に基づいてレビューする欠陥をサンプリングするプロセスを生成することを含む。追加の実施態様では、この方法は、割り当てるステップの結果に基づいてウェハを検査するプロセスを変更することを含む。いくつかの実施態様では、この方法は、検査の結果に基づいて検査時にウェハを検査するプロセスを変更することを含む。他の実施態様では、この方法は、割り当てるステップの結果に基づいてウェハの計量プロセスを変更することを含む。さらに他の実施態様では、この方法は、割り当てるステップの結果に基づいてウェハに対する計量プロセスのサンプリング・プランを変更することを含む。それに加えて、この方法は、割り当てるステップの結果に基づいて実行時に測定、試験、レビュー、又はこれらの何らかの組合せが実行されるウェハ上の配置を決定することを含む。   In one embodiment, the method includes selecting at least some of the defects to review based on the result of the assigning step. In other embodiments, the method includes generating a process for sampling defects for review based on the result of the assigning step. In additional embodiments, the method includes changing the process of inspecting the wafer based on the result of the assigning step. In some embodiments, the method includes changing the process of inspecting the wafer during inspection based on the results of the inspection. In another embodiment, the method includes changing the wafer weighing process based on the result of the assigning step. In yet another embodiment, the method includes changing the sampling plan of the metrology process for the wafer based on the result of the assigning step. In addition, the method includes determining an arrangement on the wafer at which measurements, tests, reviews, or some combination thereof are performed at runtime based on the results of the assigning step.

他の実施態様では、この方法は、DBCの1つ又は複数を優先順位付けすることと、優先順位付けステップの結果に基づいて設計データが印刷されるウェハ上で実行される1つ又は複数のプロセスを最適化することとを含む。   In other embodiments, the method prioritizes one or more of the DBCs, and the one or more performed on the wafer on which design data is printed based on the results of the prioritization step. Optimizing the process.

一実施態様では、この方法は、欠陥に割り当てられたDBCに基づいて欠陥の根本原因を突き止めることを含む。他の実施態様では、この方法は、複数の欠陥のうちの少なくともいくつかを実験プロセス・ウィンドウの結果にマッピングすることにより複数の欠陥のうちの少なくともいくつかの根本原因を突き止めることを含む。追加の実施態様では、この方法は、複数の欠陥のうちの少なくともいくつかをシミュレートされたプロセス・ウィンドウの結果にマッピングすることにより複数の欠陥のうちの少なくともいくつかの根本原因を突き止めることを含む。他の実施態様では、この方法は、DBCの1つ又は複数に対応する根本原因を突き止めることと、欠陥に割り当てられたDBCに対応する根本原因に基づいて根本原因を欠陥に割り当てることとを含む。   In one embodiment, the method includes locating the root cause of the defect based on the DBC assigned to the defect. In another embodiment, the method includes locating at least some root causes of the plurality of defects by mapping at least some of the plurality of defects to the results of the experimental process window. In an additional embodiment, the method includes determining at least some root causes of the plurality of defects by mapping at least some of the plurality of defects to a simulated process window result. Including. In other embodiments, the method includes locating a root cause corresponding to one or more of the DBCs and assigning a root cause to the defect based on the root cause corresponding to the DBC assigned to the defect. .

一実施態様では、この方法は、DBCのうちの1つ又は複数が割り当てられた欠陥の影響を受けるウェハ上に形成されるダイの割合を決定することを含む。他の実施態様では、この方法は、DBCの少なくとも1つに対応する設計データにおけるPOIを決定することと、DBCの少なくとも1つが割り当てられている欠陥の数とウェハ上のPOIの配置の数との比を決定することとを含む。   In one embodiment, the method includes determining the percentage of dies that are formed on the wafer to which one or more of the DBCs are affected by the assigned defect. In another embodiment, the method includes determining a POI in design data corresponding to at least one of the DBCs, the number of defects to which at least one of the DBCs is assigned, and the number of POI placements on the wafer. Determining the ratio of.

いくつかの実施態様では、この方法は、DBCの少なくとも1つに対応する設計データにおける1つ又は複数のPOIを決定することと、DBCの少なくとも1つが割り当てられている欠陥の数と設計データにおける1つ又は複数のPOIの配置の数との比を決定することとを含む。他の実施態様では、この方法は、DBCの少なくとも1つに対応する設計データにおけるPOIを決定することと、DBCの少なくとも1つが割り当てられている欠陥が配置されているウェハ上に形成されたダイの割合を決定することと、その割合に基づいてPOIに優先度を割り当てることとを含む。   In some implementations, the method includes determining one or more POIs in design data corresponding to at least one of the DBCs, and determining the number of defects to which at least one of the DBCs are assigned and the design data. Determining a ratio to the number of placements of the one or more POIs. In another embodiment, the method includes determining a POI in design data corresponding to at least one of the DBCs and a die formed on the wafer on which the defect to which at least one of the DBCs is assigned is located. And determining a priority for the POI based on the ratio.

一実施態様では、この方法は、DBCの1つ又は複数が割り当てられている欠陥が検出されるウェハ(例えば、ウェハの検査された領域)上の全設計インスタンス(例えば、DBCビン定義からのPOI設計例)の数によりDBCの1つ又は複数を優先順位付けすることを含む。他の実施態様では、この方法は、DBCの1つ又は複数が割り当てられている欠陥が少なくとも1回検出されるウェハ上に設計データを印刷するために使用されるレチクル(例えば、レチクルの検査された領域)上の設計インスタンスの数によりDBCの1つ又は複数を優先順位付けすることを含む。   In one implementation, the method includes all design instances (eg, POIs from a DBC bin definition) on a wafer (eg, an inspected area of the wafer) in which defects to which one or more of the DBCs are assigned are detected. Including prioritizing one or more of the DBCs according to the number of design examples). In another embodiment, the method includes a reticle (e.g., inspecting a reticle) used to print design data on a wafer where a defect to which one or more of the DBCs are assigned is detected at least once. Prioritizing one or more of the DBCs according to the number of design instances on the region.

他の実施態様では、この方法は、DBCの1つ又は複数が割り当てられている欠陥が検出されたレチクル(例えば、レチクルの検査領域)上の配置の数、及びDBCの1つ又は複数が割り当てられている欠陥の位置に近接する設計データの部分に類似しているレチクル上に印刷される設計データ(例えば、DBCビン定義からのPOI設計例)の部分の総数に基づいて、DBCの1つ又は複数に対するレチクル・ベースの限界を決定することを含む。   In another embodiment, the method includes the number of placements on a reticle (eg, an inspection area of a reticle) in which a defect to which one or more of the DBCs are assigned is detected, and one or more of the DBCs are assigned. One of the DBCs based on the total number of portions of design data (eg, POI design examples from DBC bin definitions) printed on a reticle that is similar to the portion of the design data proximate to the location of the defect being marked Or determining a reticle-based limit for the plurality.

いくつかの実施態様では、この方法は、比較するステップの前に欠陥の位置に近接する設計データの部分を第1のビットマップに変換することと、比較するステップの前にDBCに対応する設計データを第2のビットマップに変換することとを含む。このような一実施態様では、比較するステップは、第1のビットマップと第2のビットマップとを比較することを含む。   In some implementations, the method includes converting a portion of design data proximate to a defect location to a first bitmap prior to the comparing step and a design corresponding to the DBC prior to the comparing step. Converting the data into a second bitmap. In one such implementation, the step of comparing includes comparing the first bitmap and the second bitmap.

上述の方法の実施態様はそれぞれ、本明細書で説明されている(複数の)方法の他の(複数の)ステップを含む。それに加えて、上述の方法の実施態様はそれぞれ、本明細書で説明されているシステムにより実行される。   Each of the method embodiments described above includes other step (s) of the method (s) described herein. In addition, each of the above-described method embodiments is performed by the system described herein.

他の実施態様は、ウェハに対する検査プロセスを変更するための方法に関係する。この方法は、設計データにおける1つ又は複数のPOIが印刷されるウェハ上の配置をレビューすることを含む。この方法は、さらに、欠陥が1つ又は複数のPOIの配置のところで検出されるべきであったかどうかをレビューするステップの結果に基づいて判定することを含む。それに加えて、この方法は、1つ又は複数のPOIのうちの少なくともいくつかに配置されている欠陥に対する1つ又は複数の欠陥捕捉率を改善するために検査プロセスを変更することを含む。   Another embodiment relates to a method for changing the inspection process for a wafer. The method includes reviewing an arrangement on the wafer where one or more POIs in the design data are printed. The method further includes determining based on the result of the step of reviewing whether the defect was to be detected at the placement of the one or more POIs. In addition, the method includes modifying the inspection process to improve one or more defect capture rates for defects located in at least some of the one or more POIs.

一実施態様では、変更するステップは、検査プロセスを実行するために使用される検査システムの光学モードを変更することを含む。他の実施態様では、変更するステップは、決定するステップの結果に基づいて検査プロセスを実行するために使用される検査システムの光学モードを決定することを含む。追加の実施態様では、変更するステップは、検査プロセスの結果の中のノイズを抑制するために検査プロセスを変更することを含む。他の実施態様では、変更するステップは、注目していない欠陥の検出を減らすために検査プロセスを変更することを含む。さらに他の実施態様では、変更するステップは、検査プロセスにおいて使用されるアルゴリズムを変更することを含む。さらに他の実施態様では、変更するステップは、検査プロセスにおいて使用されるアルゴリズムの1つ又は複数のパラメータを変更することを含む。   In one embodiment, the changing step includes changing the optical mode of the inspection system used to perform the inspection process. In other embodiments, the step of changing includes determining an optical mode of the inspection system used to perform the inspection process based on the result of the determining step. In additional embodiments, the modifying step includes modifying the inspection process to suppress noise in the results of the inspection process. In other embodiments, the modifying step includes modifying the inspection process to reduce detection of defects that are not of interest. In yet another embodiment, the changing step includes changing an algorithm used in the inspection process. In still other embodiments, the step of changing includes changing one or more parameters of an algorithm used in the inspection process.

上述の方法の実施態様はそれぞれ、本明細書で説明されている(複数の)方法の他の(複数の)ステップを含む。それに加えて、上述の方法の実施態様はそれぞれ、本明細書で説明されているシステムにより実行される。   Each of the method embodiments described above includes other step (s) of the method (s) described herein. In addition, each of the above-described method embodiments is performed by the system described herein.

追加の実施態様は、設計データと欠陥データを表示し、分析するように構成されたシステムに関係する。システムは、半導体デバイスの設計レイアウト、半導体デバイスの少なくとも一部が形成されるウェハについて取り込まれたインライン検査データ、及びウェハについて取り込まれた電気的試験データを表示するように構成されたユーザー・インターフェイスを備える。ユーザー・インターフェイスは、さらに、半導体デバイスのモデル化されたデータ及び/又はウェハに対する障害分析データを表示するように構成される。システムは、さらに、ユーザー・インターフェイスを介してユーザーから分析実行の命令を受け取った後、設計レイアウトの1つ又は複数、インライン検査データ、電気的試験データを分析するように構成されたプロセッサを備える。プロセッサは、さらに、上述のようにモデル化されたデータ及び/又は障害分析データを分析するように構成される。   Additional embodiments relate to a system configured to display and analyze design data and defect data. The system includes a user interface configured to display a semiconductor device design layout, in-line inspection data captured for a wafer on which at least a portion of the semiconductor device is formed, and electrical test data captured for the wafer. Prepare. The user interface is further configured to display semiconductor device modeled data and / or fault analysis data for the wafer. The system further comprises a processor configured to analyze one or more of the design layouts, in-line inspection data, electrical test data after receiving an analysis execution instruction from the user via the user interface. The processor is further configured to analyze the data modeled as described above and / or fault analysis data.

一実施態様では、電気的試験データは、論理ビットマップ・データを含む。他の実施態様では、ユーザー・インターフェイスは、設計レイアウト、インライン検査データ、電気的試験データのうちの少なくとも2つのオーバーレイを、場合によっては本明細書で説明されている他のデータと組み合わせて表示するように構成される。このような一実施態様では、電気的試験データは論理ビットマップ・データを含む。いくつかの実施態様では、プロセッサは、ユーザー・インターフェイスを介してユーザーから欠陥密度の決定を実行する命令を受け取った後設計データ空間において欠陥密度を決定するように構成される。追加の実施態様では、プロセッサは、ユーザー・インターフェイスを介してユーザーから欠陥サンプリングを実行する命令を受け取った後レビューのため欠陥サンプリングを実行するように構成される。他の実施態様では、プロセッサは、ユーザー・インターフェイスを介してユーザーからグループ分けを実行する命令を受け取った後、設計データ空間における欠陥の位置に近接する設計レイアウトの類似性に基づいて欠陥をグループ分けするように構成される。上述のシステムの実施態様は、それぞれ、本明細書で説明されているようにさらに構成される。   In one embodiment, the electrical test data includes logical bitmap data. In other embodiments, the user interface displays at least two overlays of design layout, in-line inspection data, electrical test data, possibly in combination with other data described herein. Configured as follows. In one such embodiment, the electrical test data includes logical bitmap data. In some implementations, the processor is configured to determine the defect density in the design data space after receiving an instruction to perform the defect density determination from the user via the user interface. In additional embodiments, the processor is configured to perform defect sampling for review after receiving an instruction to perform defect sampling from a user via a user interface. In another embodiment, the processor groups the defects based on the similarity of the design layout close to the position of the defects in the design data space after receiving an instruction to perform the grouping from the user via the user interface. Configured to do. Each of the embodiments of the system described above are further configured as described herein.

他の実施態様は、ウェハ上で検出された電気的欠陥の根本原因を突き止めるためのコンピュータ実施方法に関係する。この方法は、設計データ空間における電気的欠陥の位置を決定することを含む。この方法は、さらに、電気的欠陥の一部の位置が1つ又は複数のプロセス条件に対応する空間シグネチャを定めるかどうかを決定することも含む。それに加えて、電気的欠陥の一部の位置が1つ又は複数のプロセス条件に対応する空間シグネチャを定める場合、この方法は、電気的欠陥の一部の根本原因を1つ又は複数のプロセス条件として識別することを含む。このように、この方法は、電気的試験結果に関する空間シグネチャ解析を実行することを含む。この方法は、さらに、識別するステップの結果を記憶媒体に格納することを含む。上述の方法の実施態様は、本明細書で説明されている他の(複数の)ステップを含む。上述の方法の実施態様は、本明細書で説明されているシステムの実施態様のどれかにより実行される。   Another embodiment relates to a computer-implemented method for determining the root cause of electrical defects detected on a wafer. The method includes determining the location of electrical defects in the design data space. The method further includes determining whether the location of the portion of the electrical defect defines a spatial signature corresponding to the one or more process conditions. In addition, if the location of the portion of the electrical defect defines a spatial signature corresponding to the one or more process conditions, the method can identify the root cause of the portion of the electrical defect as one or more process conditions. Including identifying as. Thus, the method includes performing a spatial signature analysis on the electrical test results. The method further includes storing the result of the identifying step on a storage medium. Embodiments of the method described above include the other step (s) described herein. The method embodiments described above may be performed by any of the system embodiments described herein.

さらに他の実施態様は、レビューのためウェハ上で検出された欠陥を選択するコンピュータ実施方法に関係する。この方法は、ウェハ上の1つ又は複数のゾーンを識別することを含む。1つ又は複数のゾーンは、ウェハ上の1つ又は複数の欠陥タイプ(例えば、可能な系統的欠陥)の位置に関連付けられている。この方法は、さらに、レビュー対象の1つ又は複数のゾーンのみにおいて検出された欠陥を選択することも含む。それに加えて、この方法は、選択したステップの結果を記憶媒体に格納することを含む。この方法の実施態様は、本明細書で説明されている他の(複数の)ステップを含む。この方法の実施態様は、本明細書で説明されているシステムの実施態様のどれかにより実行される。   Yet another embodiment relates to a computer-implemented method for selecting defects detected on a wafer for review. The method includes identifying one or more zones on the wafer. One or more zones are associated with the location of one or more defect types (eg, possible systematic defects) on the wafer. The method further includes selecting defects detected in only one or more zones to be reviewed. In addition, the method includes storing the result of the selected step on a storage medium. Implementations of this method include the other step (s) described herein. This method embodiment is performed by any of the system embodiments described herein.

上述の方法を使用できる複数のレビュー使用事例がある。例えば、上述の方法は、発見フェーズにおいて、又は監視フェーズのメンテナンス時に実行される、潜在的系統的欠陥のリストからの系統的欠陥検証に使用される。それに加えて、上述の方法は、知られているホット・スポット(発見フェーズにおいて、又はレシピー・セットアップ時に実行される、任意のパターン探索により識別される)に類似しているローカル・パターン(つまり、ローカル設計データ)を使って知られているホット・スポット又は配置をレビューすることにより系統的欠陥捕捉に使用される。この方法は、さらに、監視フェーズにおいて実行される、ホット・スポット上で、又はホット・スポットの近くで検出された欠陥の検証又は分類に使用される。   There are several review use cases that can use the method described above. For example, the method described above is used for systematic defect verification from a list of potential systematic defects performed in the discovery phase or during maintenance of the monitoring phase. In addition, the above-described method allows local patterns that are similar to known hot spots (identified by any pattern search performed in the discovery phase or during recipe setup) (ie, Used for systematic defect capture by reviewing known hot spots or locations using local design data. This method is further used for verification or classification of defects detected on or near the hot spot, performed in the monitoring phase.

上述のゾーン情報は、特定のゾーンから欠陥をサンプリングするだけでなく、ウェハのすべてのゾーンから何らかの理にかなった方法で欠陥をサンプリングし、及び/又は設計から抽出されたクリティカル領域を、これらの設計により決まる特定の種類のクリティカル領域を見つけるか、又は位置特定する確率が高いウェハの特定のゾーンに相関させるために使用される。設計データから抽出されたクリティカル領域は、単一デバイスに対するものとしてよいが、これらのクリティカル領域による実際の検査欠陥を見つける確率は、特定のウェハ・ゾーンでは他のゾーンに比べて顕著である場合がある。このように、この方法は、上述のゾーン分析を使用してダイからウェハへの欠陥情報の外挿を含む。この方法の実施態様は、本明細書で説明されている他の(複数の)ステップを含む。   The above-described zone information not only samples defects from a particular zone, but also samples critical regions from all zones of the wafer in some reasonable way and / or extracts critical regions from the design. Used to correlate to specific zones of the wafer that are likely to find or locate a specific type of critical area as determined by design. The critical areas extracted from the design data may be for a single device, but the probability of finding actual inspection defects due to these critical areas may be more pronounced in certain wafer zones than in other zones. is there. Thus, the method includes extrapolation of defect information from die to wafer using the zone analysis described above. Implementations of this method include the other step (s) described herein.

さらに他の実施態様は、設計データに対する1つ又は複数の歩留まり関係プロセスを評価するコンピュータ実施方法に関係する。この方法は、ルール・チェック、モデル、又は本明細書で説明されている他の適切なステップ又は方法を使用して設計データにおける潜在的障害を識別することを含む。この方法は、さらに、潜在的障害の1つ又は複数の属性を決定することを含む。それに加えて、この方法は、1つ又は複数の属性に基づいて潜在的障害が検出可能であるかどうかを判定することを含む。この方法は、さらに、1つ又は複数の属性に基づいて複数の異なる検査システムのうちのどれが潜在的障害を検出するのに最も適しているかを判定することを含む。さらに、この方法は、複数の異なる検査システムのうちのどれが、記憶媒体に格納されている潜在的障害を検出するために最も適しているかを判定した結果を格納することを含む。   Yet another embodiment relates to a computer-implemented method for evaluating one or more yield-related processes for design data. The method includes identifying potential faults in the design data using rule checks, models, or other suitable steps or methods described herein. The method further includes determining one or more attributes of the potential failure. In addition, the method includes determining whether a potential fault is detectable based on one or more attributes. The method further includes determining which of a plurality of different inspection systems is best suited for detecting a potential failure based on the one or more attributes. In addition, the method includes storing a result of determining which of a plurality of different inspection systems is most suitable for detecting a potential failure stored on the storage medium.

一実施態様では、この方法は、最も適していると判断された検査システムの1つ又は複数のパラメータを選択することを含む。これらのパラメータは、1つ又は複数の属性に基づいて選択される。このように、最良の検査システム・タイプは、注目する欠陥の(複数の)属性に基づいて推定又は選択される。他の実施態様では、この方法は、設計データを使って加工されたデバイスを歩留まりに対する潜在的障害の影響を決定することを含む。上述の方法の実施態様はそれぞれ、本明細書で説明されている(複数の)方法の説明されている他の(複数の)ステップを含む。それに加えて、上述の方法の実施態様はそれぞれ、本明細書で説明されているシステムの実施態様により実行される。   In one embodiment, the method includes selecting one or more parameters of the inspection system determined to be most suitable. These parameters are selected based on one or more attributes. Thus, the best inspection system type is estimated or selected based on the defect (s) attributes of interest. In other embodiments, the method includes determining the impact of a potential failure on the yield of devices fabricated using design data. Each of the above-described method embodiments includes other described step (s) of the method (s) described herein. In addition, each of the above-described method embodiments is performed by the system embodiments described herein.

他の実施態様は、(複数の)コンピュータ実施方法又は本明細書で説明されている(複数の)方法を実行するためにプロセッサ上で実行可能なプログラム命令を収めたキャリア媒体に関係する。追加の実施態様は、(複数の)コンピュータ実施方法又は本明細書で説明されている(複数の)方法を実行するように構成されたシステムに関係する。システムは、コンピュータ実施方法又は本明細書で説明されている方法のうちの1つ又は複数を実行するためのプログラム命令を実行するように構成されたプロセッサを備えることができる。一実施態様では、システムは、スタンドアロン・システムである。他の実施態様では、システムは、ウェハ検査システムなどの検査システムの一部又はその検査システムに結合されたものであってよい。異なる実施態様では、システムは、欠陥レビュー・システムの一部又は欠陥レビュー・システムに結合されたものであってよい。さらに他の実施態様では、システムは、ファブ・データベースに結合されたものであってもよい。システムは、電線、ケーブル、無線伝送路、及び/又はネットワークなどの伝送媒体により検査システム、レビュー・システム、及び/又はファブ・データベースに結合される。伝送媒体は、「有線」及び「無線」部分を備えることができる。   Another embodiment relates to a carrier medium containing program instructions executable on a processor to perform the computer implemented method (s) or the method (s) described herein. Additional embodiments relate to computer-implemented method (s) or systems configured to perform the method (s) described herein. The system can comprise a processor configured to execute program instructions for performing one or more of the computer-implemented methods or methods described herein. In one embodiment, the system is a stand-alone system. In other embodiments, the system may be part of an inspection system, such as a wafer inspection system, or coupled to the inspection system. In different embodiments, the system may be part of a defect review system or coupled to a defect review system. In yet other implementations, the system may be coupled to a fab database. The system is coupled to the inspection system, review system, and / or fab database by a transmission medium such as a wire, cable, wireless transmission line, and / or network. Transmission media can comprise “wired” and “wireless” portions.

本発明の他の利点は、好ましい実施態様の以下の詳細な説明を読み、また付属の図面を参照すると、当業界者に明らかになると思われる。   Other advantages of the present invention will become apparent to those skilled in the art upon reading the following detailed description of the preferred embodiments and upon reference to the accompanying drawings.

本発明は、さまざまな修正及び代替形態により異なるが、特定の実施態様は、図面の例で示され、本明細書で詳細に説明される。図面は縮尺どおりとは限らない。しかし、図面及びその詳細説明は、本発明を開示されている特定の形態に限定することを意図されていないが、それどころか、本発明は、付属の請求項により定められているような本発明の精神及び範囲から逸脱しないすべての修正形態、等価形態、及び代替形態を対象とする。   While the invention is subject to various modifications and alternative forms, specific embodiments are shown by way of example in the drawings and are described in detail herein. The drawings are not necessarily to scale. However, the drawings and detailed description thereof are not intended to limit the invention to the particular forms disclosed, but on the contrary, the invention is not limited to the invention as defined by the appended claims. All modifications, equivalents, and alternatives that do not depart from the spirit and scope are covered.

本明細書で使用されているように、「ウェハ」という用語は、半導体又は非半導体材料から形成される基板を指す。このような半導体又は非半導体材料の例は、限定はしないが、単結晶シリコン、ヒ化ガリウム、及びリン化インジウムを含む。このような基板は、一般に、半導体製造設備において見いだされ、及び/又は処理される。   As used herein, the term “wafer” refers to a substrate formed from a semiconductor or non-semiconductor material. Examples of such semiconductor or non-semiconductor materials include, but are not limited to, single crystal silicon, gallium arsenide, and indium phosphide. Such substrates are generally found and / or processed in semiconductor manufacturing facilities.

ウェハは、基板上に形成された1つ又は複数の層を備える。例えば、このような層は、限定はしないが、レジスト、誘電体、及び導電体を含む。多くの異なるタイプのこのような層は、当業界で知られており、本明細書で使用されているようなウェハという用語は、このような層のすべてのタイプを含むウェハを含むことが意図されている。   The wafer comprises one or more layers formed on the substrate. For example, such layers include, but are not limited to, resists, dielectrics, and conductors. Many different types of such layers are known in the art, and the term wafer as used herein is intended to include wafers that include all types of such layers. Has been.

ウェハ上に形成される1つ又は複数の層は、パターン付き、又はパターン無しとすることができる。例えば、ウェハは、それぞれ繰り返し可能なパターン付きフィーチャを有する複数のダイを含む。このような材料層を形成し、処理することで、最終的に、完成デバイスが得られる。集積回路(IC)などの多くの異なるタイプのデバイスがウェハ上に形成され、本明細書で使用されているようなウェハという用語は、当業界で知られているタイプのデバイスが形成されるウェハを含むことが意図されている。   The layer or layers formed on the wafer can be patterned or unpatterned. For example, a wafer includes a plurality of dies each having a repeatable patterned feature. By forming and processing such a material layer, a finished device is finally obtained. Many different types of devices, such as integrated circuits (ICs), are formed on a wafer, and the term wafer as used herein is a wafer on which devices of the type known in the art are formed. It is intended to include

本明細書では、ウェハに関して実施形態が説明されているが、一般にマスク又はフォトマスクと呼ばれることがある、レチクルなどの他の試料についてもこれらの実施形態を使用することができることは理解されるであろう。多くの異なるタイプのレチクルは、当業界で知られており、本明細書で使用されているような「レチクル」、「マスク」、「フォトマスク」という用語は、当業界で知られているすべてのタイプのレチクルを含むことが意図されている。   Although embodiments are described herein with reference to a wafer, it is understood that these embodiments can also be used for other samples, such as reticles, which are commonly referred to as masks or photomasks. I will. Many different types of reticles are known in the art, and the terms “reticle”, “mask”, and “photomask” as used herein are all known in the art. It is intended to include other types of reticles.

本明細書で使用されるような「設計データ」という用語は、一般に、ICの物理的設計(レイアウト)や、複雑なシミュレーション又は単純な幾何学的及びブール演算により物理的設計から導き出されるデータを指す。それに加えて、レチクル検査システムにより取り込まれるレチクルのイメージ及び/又はその派生物は、設計データに対する1つ又は複数の「プロキシ」として使用される。このようなレチクル・イメージ又はその派生物は、設計データを使用する本明細書で説明されている任意の実施形態において設計レイアウトの代わりに使用できる。   The term “design data” as used herein generally refers to the physical design (layout) of an IC, data derived from a physical design through complex simulations or simple geometric and Boolean operations. Point to. In addition, the reticle image and / or its derivatives captured by the reticle inspection system are used as one or more “proxy” to the design data. Such a reticle image or derivative thereof can be used in place of the design layout in any of the embodiments described herein that use design data.

例えば、一実施形態では、レチクル検査システムにより生成されたレチクルのイメージが、設計データ空間における設計データとして使用される。レチクルは、ウェハ上に設計データを印刷するために使用される。このように、レチクル検査システムにより生成されたレチクルのイメージは、設計データの代わりに使用される。この実施形態で使用されるレチクルのイメージは、当業界で知られているレチクル検査システムにより好適な方法で生成されるレチクルの好適なイメージを含む。例えば、レチクルのイメージは、高倍率光学的レチクル検査システム又は電子ビーム・ベースのレチクル検査システムによりそれぞれ取り込まれたレチクルの高倍率光学的又は電子ビーム・イメージであってよい。それとは別に、レチクルのイメージは、空間イメージング・レチクル検査システムにより取り込まれたレチクルの空間イメージであってもよい。レチクルのイメージは、設計データを使用して1つ又は複数のステップを実行する本明細書で説明されている実施形態において設計データのプロキシとして使用される。   For example, in one embodiment, an image of a reticle generated by a reticle inspection system is used as design data in the design data space. The reticle is used to print design data on the wafer. Thus, the reticle image generated by the reticle inspection system is used in place of the design data. The reticle image used in this embodiment includes a preferred image of the reticle generated in a suitable manner by a reticle inspection system known in the art. For example, the reticle image may be a high magnification optical or electron beam image of a reticle captured by a high magnification optical reticle inspection system or an electron beam based reticle inspection system, respectively. Alternatively, the reticle image may be a reticle aerial image captured by a spatial imaging reticle inspection system. The image of the reticle is used as a proxy for design data in the embodiments described herein that use design data to perform one or more steps.

追加の実施形態では、この方法は、ウェハ上に設計データを印刷するために使用されるレチクルについて取り込まれたレチクル検査データに基づいて設計データ空間における設計データに対するコンテキスト・マップを生成することを含む。このように、レチクル検査データは、コンテキスト・マップの生成への入力として取り込まれる。コンテキスト・マップは、本明細書でさらに説明されるように構成される(例えば、コンテキスト・マップは、設計データ空間にわたる設計データの1つ又は複数の属性に対する値を含む)。コンテキスト・マップを生成するために使用されるレチクル検査データは、上述のレチクル・イメージの1つ又は複数などの当業界で知られている好適なレチクル検査データを含む。したがって、この実施形態では、レチクル検査データは、レチクルを横切る形でレチクル上に印刷されている設計データの1つ又は複数の属性に対する値を決定するため使用され、これらの値は、コンテキスト・マップを生成するために設計データ空間的にマッピングされる。レチクル上に印刷された設計データの1つ又は複数の属性に対する値を決定することは、本明細書で説明されているように、又は他の好適な方法でも、実行される。設計データの1つ又は複数の属性は、本明細書で説明されている(複数の)属性を含む。1つ又は複数の属性に対する値をレチクル空間から設計データ空間へマッピングすることは、さらに本明細書で説明されているように実行される。このようなコンテキスト・マップは、コンテキスト・マップを使用して1つ又は複数のステップを実行することを含む本明細書で説明されている実施形態のどれかにおいて使用される。それに加えて、このようなコンテキスト・マップは、さらに、本明細書で説明されているように、及び/又は本明細書で説明されている他の情報に基づいて、生成される。   In additional embodiments, the method includes generating a context map for design data in the design data space based on reticle inspection data captured for the reticle used to print the design data on the wafer. . In this way, reticle inspection data is captured as input to the generation of the context map. The context map is configured as described further herein (eg, the context map includes values for one or more attributes of design data across the design data space). The reticle inspection data used to generate the context map includes suitable reticle inspection data known in the art, such as one or more of the above-described reticle images. Thus, in this embodiment, reticle inspection data is used to determine values for one or more attributes of design data printed on the reticle across the reticle, and these values are used in context maps. Are mapped in the design data space. Determining values for one or more attributes of design data printed on a reticle is performed as described herein or in any other suitable manner. The one or more attributes of the design data include the attribute (s) described herein. Mapping the values for one or more attributes from the reticle space to the design data space is further performed as described herein. Such a context map is used in any of the embodiments described herein that include performing one or more steps using the context map. In addition, such a context map is further generated as described herein and / or based on other information described herein.

レチクル・イメージから導き出されたイメージは、さらに、設計データの「プロキシ」として使用できる。例えば、レチクル検査システム又は他の好適な結像系により生成されるレチクル・イメージを使用して、レチクル・イメージをウェハ上にどのように印刷するかを例示するシミュレートされたイメージを生成することができ、これは設計データの「プロキシ」として使用される。一実施形態では、レチクル・イメージをウェハ上に印刷する方法を例示するシミュレートされたイメージが、設計データ空間において設計データとして使用される。このように、レチクル・イメージがウェハ表面にどのように現れるかを示すシミュレーションは、さらに、設計データの代用とすることもできる。シミュレートされたイメージは、当業界で知られている好適な方法又はシステムを使用するいかなる方法でも生成される。シミュレートされたイメージは、設計データを使用して1つ又は複数のステップを実行する本明細書で説明されている実施形態において設計データのプロキシとして使用される。   The image derived from the reticle image can further be used as a “proxy” of design data. For example, using a reticle image generated by a reticle inspection system or other suitable imaging system to generate a simulated image illustrating how the reticle image is printed on a wafer This can be used as a “proxy” for design data. In one embodiment, a simulated image that illustrates a method for printing a reticle image on a wafer is used as design data in the design data space. Thus, a simulation showing how the reticle image appears on the wafer surface can be further substituted for design data. The simulated image is generated in any manner using any suitable method or system known in the art. The simulated image is used as a proxy for design data in the embodiments described herein that use design data to perform one or more steps.

少なくとも一部は1つ又は複数のステップを実行するために設計データを使用する本明細書で説明されている実施形態において、設計データは、上述の設計データ若しくは設計データ・プロキシ又はそれらの組合せを含む。   In the embodiments described herein that use design data to at least partially perform one or more steps, the design data may comprise design data or a design data proxy as described above, or a combination thereof. Including.

次に図面を参照する際に、図はスケール通りでないことに留意されたい。特に、図の要素の一部のスケールは、要素の特性を強調するために大きく誇張されている。図は同じスケールで描かれていないことにも留意されたい。同じ参照番号を使用することで、同様の構成をとりうる複数の図に示されている要素が示されている。   When referring to the figures, it should be noted that the figures are not to scale. In particular, the scale of some of the elements in the figure is greatly exaggerated to emphasize the characteristics of the elements. Note also that the figures are not drawn to the same scale. By using the same reference numerals, elements shown in several figures are shown which may have a similar configuration.

図1は、設計データ空間における検査データの位置を決定するためのコンピュータ実施方法の一実施形態を例示している。図1に示されているステップがすべて、方法の実施に本質的なものであるわけではないことに留意されたい。1つ又は複数のステップを図1に例示されている方法から省いたり、又は追加したりすることができ、又はこの方法は、そのまま、この実施形態の範囲内で実施される。   FIG. 1 illustrates one embodiment of a computer-implemented method for determining the location of inspection data in the design data space. Note that not all steps shown in FIG. 1 are essential to the implementation of the method. One or more steps may be omitted from or added to the method illustrated in FIG. 1, or the method may be implemented as such within the scope of this embodiment.

一般に、この方法は、データ準備フェーズ、レシピー・セットアップ・フェーズ(例えば、ウェハ検査レシピー・セットアップ)、ウェハ検査フェーズそれ自体を含む。この方法は、さらに、レビュー・フェーズと分析フェーズも含む。データ準備フェーズは、ウェハ上に加工されている、又はウェハ上に加工されるべきデバイスの物理的設計レイアウトを反映する設計データ(例えば、グラフィック・データ・ストリーム(GDS)ファイル、GDSIIファイル、又は他の標準ファイル若しくはデータベースなどのデータ構造体から得られる情報)を作成又は取り込むことを含む。GDSファイル、他のファイル、又はデータベースからの情報には、物理的設計レイアウト事前装飾を記述する(つまり、光近接効果補正(OPC)フィーチャ及び他の分解能向上技術(RET)フィーチャを設計に追加しないで)。   In general, the method includes a data preparation phase, a recipe setup phase (eg, wafer inspection recipe setup), and a wafer inspection phase itself. The method further includes a review phase and an analysis phase. The data preparation phase includes design data (eg, graphic data stream (GDS) file, GDSII file, or other that reflects the physical design layout of the device being processed on the wafer or to be processed on the wafer. Information obtained from data structures such as standard files or databases). Information from the GDS file, other files, or database describes the physical design layout pre-decoration (ie does not add optical proximity correction (OPC) features and other resolution enhancement technology (RET) features to the design. so).

図1に示されている方法は、一般に、本明細書でさらに説明されているように検査データ・ストリームをサブピクセル精度で設計データにアラインさせることを含む。このように、本明細書で説明されている方法は、検査のため「設計にアラインさせる」方法と一般的に呼べる(例えば、ウェハ検査)。この方法では、ウェハ検査に設計データ、及び適宜、コンテキスト・データを使用する。このように、本明細書で説明されている方法は、「コンテキスト・ベース検査」(CBI)法とも呼べる。デバイス設計データとコンテキスト・データを使用することで、ウェハ検査感度を高め、ニュイサンス事象検出を劇的に減らし、欠陥を分類する精度を高め、プロセス・ウィンドウ・クォリフィケーション(PWQ)などの検査システムのアプリケーションの機能を増強することができる。コンテキスト・データは、本明細書でさらに説明されているように欠陥レビュー・プロセスやシステムを有利に利用するためにも使用される。それに加えて、設計データとコンテキスト・データを使用する方法の例は、本明細書に全体が説明されているかのように参照により組み込まれている、Bevisの米国特許第6,886,153号、及びVolkらにより米国特許出願公開第2005/6,886,153号として2005年1月6日に公開された2004年7月1日に出願された米国特許出願第10/883,372号に例示されている。本明細書で説明されている方法は、本特許及び特許出願において説明されている(複数の)方法のどれかの(複数の)ステップを含む。   The method shown in FIG. 1 generally includes aligning a test data stream with design data with sub-pixel accuracy as further described herein. Thus, the methods described herein can generally be referred to as “aligning to design” methods for inspection (eg, wafer inspection). In this method, design data and, where appropriate, context data are used for wafer inspection. Thus, the methods described herein can also be referred to as “context-based inspection” (CBI) methods. Use device design data and context data to increase wafer inspection sensitivity, dramatically reduce nuisance event detection, increase defect classification accuracy, and inspection systems such as process window qualification (PWQ) The application functions can be enhanced. The context data is also used to advantageously utilize a defect review process or system as described further herein. In addition, an example of how to use design data and context data can be found in US Patent No. 6,886,153 to Bevis, incorporated by reference as if set forth in its entirety herein. And US Patent Application No. 10 / 883,372, filed July 1, 2004, published January 6, 2005, by Volk et al. As US Patent Application Publication No. 2005 / 6886,153. Has been. The methods described herein include the step (s) of any of the method (s) described in this patent and patent application.

本明細書で説明されている方法は、ホット・スポット発見フェーズを含む。ホット・スポット発見は、技術研究開発、製品設計、RET設計、レチクル設計と製造、製品増産において実行される。ホット・スポット発見フェーズは、レチクル設計改善及び欠陥監視と分類のためホット・スポットを識別することを含む。ホット・スポット発見フェーズは、さらに、ホット・スポット・データベースなどのホット・スポットに関する情報を格納するデータ構造体を生成することをも含む。いくつかの実施形態では、ホット・スポット発見は、複数のソースを使用して実行される。例えば、ホット・スポット発見は、設計空間ホット・スポット発見、ウェハ空間ホット・スポット発見、レチクル空間ホット・スポット発見、試験空間ホット・スポット発見、プロセス空間ホット・スポット発見の間の相関関係を使用して実行される。このような一例では、ホット・スポットの発見は、設計、モデル化結果、検査結果、計量結果、試験と障害分析(FA)結果からの複数の入力ソースを相関させることにより実行される。本明細書で説明されているステップはどれも、ホット・スポットを発見するために併用される。   The method described herein includes a hot spot discovery phase. Hot spot discovery is performed in technology research and development, product design, RET design, reticle design and manufacturing, and product increase. The hot spot discovery phase includes identifying hot spots for reticle design improvement and defect monitoring and classification. The hot spot discovery phase further includes generating a data structure that stores information about the hot spot, such as a hot spot database. In some embodiments, hot spot discovery is performed using multiple sources. For example, hot spot discovery uses the correlation between design space hot spot discovery, wafer space hot spot discovery, reticle space hot spot discovery, test space hot spot discovery, process space hot spot discovery. Executed. In one such example, hot spot discovery is performed by correlating multiple input sources from design, modeling results, inspection results, metrology results, tests and fault analysis (FA) results. Any of the steps described herein are combined to find hot spots.

設計空間では、設計ルール・チェック(DRC)の結果を使用して設計データにおけるクリティカル・ポイントのリストを作成し、これによりホット・スポットを識別する。DRCは、一般に、マスク製造に先立って(マスク処理前)レチクル・レイアウト・データの品質管理(QC)に関して実行される。そのため、DRCは、ホット・スポットを生成しない場合がある。代わりに、DRCの結果を使用して、設計マニュアルにはあったが、DRCルールの一部ではないか、又は新たに発見される新しい限界ホット・スポットを識別することができる。それに加えて、コンピュータによる設計の自動化(EDA)を使用して、ホット・スポットを発見することができる。このように、ホット・スポット発見フェーズにおいて、設計ルール(マージナリティ・チェッカーとして使用されるDRC)及び/又はEDA設計ツールをホット・スポットのソースとして使用することができる。さらに、コンピュータ支援設計技術(TCAD)ツールとプロキシを使用することで、ホット・スポットを発見することができる。TCADツールは、カリフォルニア州マウンテンビュー所在のSynopsis,Inc.社から市販されている。それに加えて、又はそれとは別に、カリフォルニア州サンノゼ所在のKLA−Teneor社から市販されているDesignScan分析ソフトウェア、任意のパターン探索、及び設計コンテキスト(例えば、機能ブロック、設計ライブラリ要素、セル、パターンが冗長かどうか、パターン密度、ダミー/フィル対アクティブなど)をホット・スポットのソースとして使用できる。他の例では、欠陥の設計データに基づくグループ分け(パレート分析を含む、又は含まない)を使用して、ホット・スポットを発見し、グループ分けすることができ、これは、本明細書で説明されているように実行される。   In the design space, the results of the design rule check (DRC) are used to create a list of critical points in the design data, thereby identifying hot spots. DRC is typically performed for reticle layout data quality control (QC) prior to mask manufacture (before mask processing). Therefore, DRC may not generate hot spots. Instead, DRC results can be used to identify new critical hot spots that were in the design manual but are not part of the DRC rules or are newly discovered. In addition, computer designed automation (EDA) can be used to find hot spots. Thus, in the hot spot discovery phase, design rules (DRC used as a marginality checker) and / or EDA design tool can be used as a source of hot spots. In addition, hot spots can be discovered using computer aided design technology (TCAD) tools and proxies. The TCAD tool is available from Synopsis, Inc., Mountain View, California. It is commercially available from the company. In addition, or alternatively, DesignScan analysis software, optional pattern search, and design context (eg, functional blocks, design library elements, cells, and patterns redundantly available from KLA-Tenoror, San Jose, Calif.) Whether or not, pattern density, dummy / fill vs active, etc.) can be used as a source of hot spots. In another example, grouping based on defect design data (with or without Pareto analysis) can be used to find and group hot spots, which are described herein. It is executed as it is.

追加の例では、設計空間において、ホット・スポット発見フェーズは、ウェハ上に印刷された設計データの走査型電子顕微鏡(SEM)イメージを設計データに揃えるか、又はオーバーレイすることで(本明細書で説明されているように実行される)、設計データ空間における実際の欠陥位置を識別し、設計データ空間における欠陥の位置に近接する設計データに基づく任意のパターン探索を実行して、設計における類似の可能なホット・スポットを識別することができる。次いで、ウェハに対する元の検査結果に実行されたリピータ解析を使用して、設計データにおける系統的欠陥とその設計グループを識別することができ、これは、本明細書でさらに説明されているように実行される。このアプローチの利点の1つは、ターゲットの欠陥が設計データ空間において実質的に正確に位置決めされる場合、任意のパターン探索及び/又は系統的欠陥識別に使用されるパターン探索ウィンドウを欠陥毎に調節することができる。   In additional examples, in the design space, the hot spot discovery phase can be accomplished by aligning or overlaying a scanning electron microscope (SEM) image of design data printed on the wafer with the design data (as used herein). Performed as described), identifies the actual defect location in the design data space, performs an arbitrary pattern search based on the design data close to the location of the defect in the design data space, and Possible hot spots can be identified. A repeater analysis performed on the original inspection results for the wafer can then be used to identify systematic defects and their design groups in the design data, as further described herein. Executed. One advantage of this approach is that if the target defect is located substantially accurately in the design data space, the pattern search window used for any pattern search and / or systematic defect identification is adjusted for each defect. can do.

ウェハ空間において、リピータ解析、系統的(例えば、プロセス限界)欠陥のゾーン/空間シグネチャ解析、系統的欠陥の時間シグネチャ解析、レチクル/ダイ空間における発見について信号対雑音比(S/N)を高める設計オーバーレイを有する積層ダイ(又はレチクル)結果、さらに系統的欠陥又は系統的欠陥のグループを優先順位付けするため欠陥の属性として欠陥空間に相関する歩留まり(又は致命確率(KP))のうちの1つ又は複数を使用してホット・スポットを発見することができ、それぞれ、本明細書でさらに説明されているように実行される。   Design to increase signal-to-noise ratio (S / N) for repeater analysis, systematic (eg process limit) defect zone / space signature analysis, systematic defect time signature analysis, and reticle / die space discovery in wafer space One of the stacked die (or reticle) results with overlay, and the yield (or critical probability (KP)) that correlates to the defect space as a defect attribute to further prioritize systematic defects or groups of systematic defects. Alternatively, a plurality can be used to find hot spots, each performed as further described herein.

レチクル/ダイ空間において、リピータ解析、欠陥密度マッピング、設計パターン・ベースのグループ分け解析、S/N比改善のための設計コンテキスト(例えば、機能ブロック)によるフィルタリング、設計におけるコールド・スポットを発見するためのレチクル検査からの注目していない欠陥の識別のうちの1つ又は複数を使用して、ホット・スポットを発見することができ、それぞれ、本明細書でさらに説明されるように実行される。   In reticle / die space, repeater analysis, defect density mapping, design pattern-based grouping analysis, filtering by design context (eg, functional block) for S / N ratio improvement, to find cold spots in the design One or more of the unidentified defect identifications from the other reticle inspections can be used to find hot spots, each performed as further described herein.

試験空間では、メモリ・ビット・エラーから設計へのマッピング及び論理ビットマップ密度から設計へのマッピングのうちの1つ又は複数を使用してホット・スポットを発見することができ、これらを両方とも、注目しない欠陥(又は設計におけるコールド・スポット)を識別するためにリピータ解析(ウェハ空間内で実行される)又は設計データ・ベースのグループ分け(レチクル/ダイ空間において実行される)と組み合わせることができる。これらのステップはそれぞれ、本明細書でさらに説明されているように実行される。   In the test space, one or more of memory bit error to design mapping and logical bitmap density to design mapping can be used to find hot spots, both of which Can be combined with repeater analysis (performed in wafer space) or grouping of design data base (performed in reticle / die space) to identify defects of interest (or cold spots in the design) . Each of these steps is performed as described further herein.

プロセス空間では、PWQをホット・スポットのソースとして使用し(ダイ−ダイ、標準参照ダイ、又はダイ−データベースの方法を使用して)、またプロセスの実験計画法(DOE)を使用してプロセス・ウィンドウ及びクリティカルな設計フィーチャをホット・スポットとして決定することで(ダイ−ダイ、標準参照ダイ、又はダイ−データベースの方法を使用して)、ホット・スポットを発見することができ、それぞれ、本明細書でさらに詳しく説明されるように実行される。   In process space, PWQ is used as a source of hot spots (using die-die, standard reference die, or die-database methods) and process design using process experiment design (DOE). By determining windows and critical design features as hot spots (using die-die, standard reference die, or die-database methods), hot spots can be found, respectively, As described in more detail in the book.

いくつかの実施形態では、図1のステップ10に示されているように、この方法は、設計データにおける所定のアライメント部位を選択することを含む。所定のアライメント部位を選択することは、検査システムを使用して実行される。所定のアライメント部位は、検査プロセス・レシピーのセットアップ時に選択される。「レシピー」は、一般に、検査などのプロセスを実行する命令群として定義される。ウェハ検査のレシピーを本明細書で説明されているようにセットアップすることは、自動的に、半自動的に(例えば、ユーザー補助の下で)、又は手動で実行される。   In some embodiments, as shown in step 10 of FIG. 1, the method includes selecting a predetermined alignment site in the design data. Selecting a predetermined alignment site is performed using an inspection system. The predetermined alignment site is selected when setting up the inspection process recipe. A “recipe” is generally defined as a group of instructions that perform a process such as inspection. Setting up the wafer inspection recipe as described herein may be performed automatically, semi-automatically (eg, with user assistance), or manually.

一例では、検査システムにより実行される検査プロセスのセットアップ時に、ウェハ・スワス分割情報、検査システム・モデル番号、検査に使用される(複数の)光学モード、ピクセル・サイズなどの検査システムのパラメータに関する情報を、設計データに加えて、所定のアライメント部位を選択するために使用する。所定のアライメント部位は、さらに、検査されるウェハの1つ又は複数の属性に基づいて選択される。所定のアライメント部位に対するデータ及び/又はイメージ(又はこのデータを参照するインデックス)は、検査プロセスに対するレシピーに格納される。例えば、ウェハ上の層に対する所定のアライメント部位に関する情報は、ウェハ上の層に対する検査プロセス・レシピーにおけるアライメント・データとして格納され、アライメント・データは、検査システムがこの特定のデバイス及び層のウェハを検査する毎に使用される。   In one example, information about inspection system parameters such as wafer swath split information, inspection system model number, optical mode (s) used for inspection, pixel size, etc. during the setup of the inspection process performed by the inspection system Is used to select a predetermined alignment site in addition to the design data. The predetermined alignment site is further selected based on one or more attributes of the wafer being inspected. Data and / or images (or indexes that refer to this data) for a given alignment site are stored in a recipe for the inspection process. For example, information about a given alignment site for a layer on the wafer is stored as alignment data in an inspection process recipe for the layer on the wafer, and the alignment data is used by the inspection system to inspect the wafer for this particular device and layer. Used every time.

いくつかの実施形態は、本明細書では、ウェハに対するデータ及び/又はイメージを取り込むために「ウェハ・スキャニング」又は「ウェハをスキャンすること」を含むものとして説明されているが、当業界で知られている適切な技術及び/又はシステムを使用することで、このようなデータ及び/又はイメージを取り込むことができるものと理解されるべきである。例えば、本明細書で説明されている検査システム又はフィールド毎のイメージ収集を実行するように構成された他の検査システムにより、ウェハに対するデータ及び/又はイメージを取り込むことができる。このように、ウェハの端から端までスキャンする代わりに、検査システムは、ステッピング方式でデータ及び/又はイメージを取り込むことができる。他の例では、本明細書で説明されている検査システム、又はポイント毎の検査を実行するように構成されている他の検査システムにより、ウェハに対するデータ及び/又はイメージを取り込むことができるが、これは、一般に、自動プロセス検査(API)と呼ばれうる。   Some embodiments are described herein as including "wafer scanning" or "scanning the wafer" to capture data and / or images for the wafer, but are known in the art. It should be understood that such data and / or images can be captured using appropriate techniques and / or systems that are known. For example, data and / or images for a wafer may be captured by the inspection system described herein or other inspection system configured to perform field-by-field image collection. Thus, instead of scanning across the wafer, the inspection system can capture data and / or images in a stepping manner. In other examples, data and / or images for a wafer can be captured by the inspection system described herein, or other inspection system configured to perform point-by-point inspection, This can generally be referred to as automated process inspection (API).

所定のアライメント部位を選択するために、いくつかの方法を使用できる。一実施形態では、この方法は、所定のアライメント部位に対応する設計データを取り込むことを含む。本明細書で説明されている方法において使用される所定のアライメント部位に対するデータ又はイメージは、レンダリングされたGDSクリップ(本明細書で使用されている「クリップ」という用語は、設計レイアウトの比較的小さな部分を意味する)とレンダリングされGDSクリップにアラインされた検査システムにより生成されたイメージを含む。所定のアライメント部位に対応する設計データをシミュレート(又は「レンダリング」)することを用いて、ウェハ上に設計データをどのように印刷するかを例示するイメージを生成することができる。この方法は、さらに、設計データ又はGDSクリップとシミュレート(「レンダリング」)されたイメージとの相互相関を実行することと、設計データ空間におけるシミュレートされたイメージの位置を(つまり、設計データ空間内の座標とともに)記録することを含む。所定のアライメント部位に対応する設計データが上述のようにウェハ上にどのように印刷されるかを例示するイメージをシミュレートすることは、好適な方法、アルゴリズム、又はKLA−Tencorから市販されているPROLITHなどの当業界で知られているソフトウェアを使用して実行される。   Several methods can be used to select a given alignment site. In one embodiment, the method includes capturing design data corresponding to a predetermined alignment site. Data or images for a given alignment site used in the methods described herein are rendered GDS clips (the term “clip” as used herein is a relatively small design layout). Means an image generated by an inspection system rendered and aligned to a GDS clip. Simulating (or “rendering”) design data corresponding to a given alignment site can be used to generate an image that illustrates how the design data is printed on a wafer. The method further performs cross-correlation between the design data or GDS clip and the simulated ("rendered") image and determines the location of the simulated image in the design data space (i.e., the design data space). Recording (with the coordinates inside). Simulating an image illustrating how design data corresponding to a given alignment site is printed on a wafer as described above is commercially available from suitable methods, algorithms, or KLA-Tencor. It is implemented using software known in the art such as PROLITH.

それに加えて、ウェハに対し1つ又は複数のプロセスが実行された後に所定のアライメント部位がウェハ上にどのように印刷されるかを例示するシミュレートされたイメージが、上述のように生成される。例えば、1つ又は複数のプロセスは、リソグラフィ、リソグラフィとエッチングの組合せ、異なるリソグラフィ・プロセスなどを含む。このように、本明細書で説明されている方法で使用される所定のアライメント部位に対するデータは、検査に先立ってウェハ上で実行される1つ又は複数のプロセスに基づいて選択又は生成された1つ又は複数のシミュレートされたイメージを含む。ウェハ上で異なるプロセスが実行された後に取り込まれた検査データのアライメントに対し所定のアライメント部位の異なるデータを使用することで、本明細書で説明されている方法の精度の高めることができる。   In addition, a simulated image is generated as described above that illustrates how a given alignment site is printed on the wafer after one or more processes have been performed on the wafer. . For example, the one or more processes include lithography, a combination of lithography and etching, different lithography processes, and the like. Thus, data for a given alignment site used in the methods described herein is selected or generated based on one or more processes performed on the wafer prior to inspection. Contains one or more simulated images. By using different data for a given alignment site for alignment of inspection data captured after different processes are performed on the wafer, the accuracy of the method described herein can be increased.

所定のアライメント部位を選択することは、設計データ(例えば、GDSデータ)を前処理して、検査プロセスやシステムに適合する所定のアライメント部位を選択することを含む。例えば、場合によっては、レンダリングされたGDSクリップは、ウェハ加工プロセスにより引き起こされる変化(例えば、色の変化)の影響を受けにくいため、本明細書で説明されている方法で所定のアライメント部位に対するデータとして使用するのに都合がよい。しかし、レンダリングされたGDSクリップ「オフライン」にアラインされている検査システムにより取り込まれた所定のアライメント部位のイメージは、デバイス加工の後の段階において生成される検査データとともに使用すると都合がよい場合があるが、それは、これらのイメージが、レンダリングされたGDSクリップに比べて、検査システムにより生成されたウェハ上のアライメント部位のイメージに類似している可能性があるからであり、これにより、より正確なアライメントを得ることができる。したがって、いくつかの実施形態では、本明細書で説明されている方法で使用されるアライメント・データは、GDSクリップと、検査実行時にウェハ上のアライメント部位に対する好適なデータ一致が必ず見つかるようにGDSクリップにアラインされたイメージの両方を含む。それとは別に、所定のアライメント部位の重心などの設計データにおける所定のアライメント部位の1つ又は複数の属性を決定し、検査システムにより取り込まれたアライメント部位のイメージの対応する重心を決定し、これを使用して、検査ピクセル・データを設計データにアラインさせることができる。   Selecting a predetermined alignment site includes pre-processing design data (eg, GDS data) to select a predetermined alignment site that is compatible with the inspection process or system. For example, in some cases, a rendered GDS clip is less susceptible to changes caused by the wafer processing process (eg, color changes), so data for a given alignment site is described in the manner described herein. Convenient to use as However, an image of a predetermined alignment site captured by an inspection system aligned to a rendered GDS clip “offline” may be convenient to use with inspection data generated at a later stage of device processing. This is because these images may be more similar to the image of the alignment site on the wafer generated by the inspection system compared to the rendered GDS clip, which makes it more accurate. Alignment can be obtained. Thus, in some embodiments, the alignment data used in the methods described herein is a GDS that ensures that a suitable data match is found for the GDS clip and the alignment site on the wafer when the inspection is performed. Includes both images aligned to the clip. Separately, determine one or more attributes of a given alignment site in the design data, such as the center of gravity of the given alignment site, determine the corresponding center of gravity of the image of the alignment site captured by the inspection system, and It can be used to align inspection pixel data with design data.

ダイ毎に選択された所定のアライメント部位の個数は大きく変化する。例えば、比較的疎らな所定のアライメント部位の集まりを選択する。それに加えて、所定のアライメント部位を、1つのダイ上で所定の頻度により選択する。所定のアライメント部位は、ダイそれ自体の中に含まれているため、ダイの中のデバイスのフィーチャ及び/又はダイのデバイス領域内に配置されているフィーチャを含むように所定のアライメント部位を選択する。このように、所定のアライメント部位が、設計データの既存のフィーチャを含むように選択される。このような所定の選択部位は有益である。なぜなら、アライメント・フィーチャを含むように設計データを修正する必要がなく、またアライメント・フィーチャはダイのサイズを増やさなくてもよいからである。   The number of predetermined alignment sites selected for each die varies greatly. For example, a relatively sparse collection of predetermined alignment sites is selected. In addition, a predetermined alignment site is selected on a single die with a predetermined frequency. Since the predetermined alignment site is contained within the die itself, the predetermined alignment site is selected to include device features within the die and / or features located within the device region of the die. . Thus, the predetermined alignment site is selected to include existing features of the design data. Such a predetermined selection site is beneficial. This is because it is not necessary to modify the design data to include alignment features, and alignment features do not need to increase the size of the die.

この方法は、さらに、検査システムにより取り込まれたイメージ又はデータにおいて(ミスアライメント公差範囲内で)ユニークに識別可能な設計データ内の所定のアライメント部位を選択することも含む。例えば、所定の探索範囲不確定の範囲内でユニークであるアライメント・フィーチャ(つまり、ターゲット)を含むように、所定のアライメント部位を選択することができる。こうして、イメージ又はデータにおけるウェハ上のアライメント部位の配置に特定の位置不確実さが与えられた場合、アライメント・データ及びイメージ又はデータに対し相関を実行し、2つのアライメント部位の比較的強い一致を明確に識別することができる。   The method further includes selecting a predetermined alignment site in the design data that is uniquely identifiable (within misalignment tolerances) in the image or data captured by the inspection system. For example, a predetermined alignment site can be selected to include alignment features (ie, targets) that are unique within a predetermined search range uncertainty. Thus, given a specific positional uncertainty in the alignment site placement on the wafer in the image or data, a correlation is performed on the alignment data and the image or data to produce a relatively strong match between the two alignment sites. It can be clearly identified.

一実施形態では、所定のアライメント部位は、x及びy方向でユニークな1つ又は複数の属性を有する少なくとも1つのアライメント・フィーチャを含む。このような1つの所定のアライメント部位の一実施形態が図2に示されている。図2に示されているように、所定のアライメント部位32は、アライメント・フィーチャ34を含む。アライメント・フィーチャ34は、x方向及びy方向にユニークな1つ又は複数の属性を有する。例えば、アライメント・フィーチャの隅は、そのアライメント・フィーチャをダイにおける他のフィーチャに関して、アライメント・フィーチャに近接するx方向とy方向にユニークなものにすることができる。所定のアライメント部位は、さらに、同様に、又は異なる形で構成される複数のそのようなアライメント・フィーチャを含むこともできる。このように、1つ又は複数のアライメント・フィーチャは、xとyの両方向においてユニークなものとすることができる。   In one embodiment, the predetermined alignment site includes at least one alignment feature having one or more attributes that are unique in the x and y directions. One embodiment of one such predetermined alignment site is shown in FIG. As shown in FIG. 2, the predetermined alignment site 32 includes alignment features 34. The alignment feature 34 has one or more attributes that are unique in the x and y directions. For example, the corners of the alignment feature can make the alignment feature unique in the x and y directions adjacent to the alignment feature with respect to other features in the die. A given alignment site may further include a plurality of such alignment features configured in a similar or different manner. Thus, one or more alignment features can be unique in both the x and y directions.

代替の実施形態では、所定のアライメント部位は、少なくとも2つのアライメント・フィーチャを含む。2つのアライメント・フィーチャのうちの第1のものは、x方向にユニークな1つ又は複数の属性を有する。2つのアライメント・フィーチャのうちの第2のものは、y方向にユニークな1つ又は複数の属性を有する。このような1つの所定のアライメント部位の一実施形態が図2に示されている。図2に示されているように、所定のアライメント部位32は、アライメント・フィーチャ38を含む。アライメント・フィーチャ38は、x方向にユニークであるが、y方向ではアライメントに関する情報をもたらさない1つ又は複数の属性を有する。例えば、アライメント・フィーチャ38の垂直エッジは、そのアライメント・フィーチャをダイにおける他のフィーチャに関して、アライメント・フィーチャに近接する、x方向にユニークなものにすることができる。所定のアライメント部位は、複数のそのようなフィーチャを含む。   In an alternative embodiment, the predetermined alignment site includes at least two alignment features. The first of the two alignment features has one or more attributes that are unique in the x direction. The second of the two alignment features has one or more attributes that are unique in the y direction. One embodiment of one such predetermined alignment site is shown in FIG. As shown in FIG. 2, the predetermined alignment site 32 includes alignment features 38. The alignment feature 38 has one or more attributes that are unique in the x direction but do not provide information about the alignment in the y direction. For example, the vertical edge of the alignment feature 38 can make the alignment feature unique in the x direction adjacent to the alignment feature with respect to other features on the die. A given alignment site includes a plurality of such features.

所定のアライメント部位36は、アライメント・フィーチャ40を含む。アライメント・フィーチャ40は、y方向にユニークであるが、x方向ではアライメントに関する情報をもたらさない1つ又は複数の属性を有する。例えば、アライメント・フィーチャ40の水平エッジは、このアライメント・フィーチャをダイにおける他のフィーチャに関して、アライメント・フィーチャに近接する、y方向にユニークなものにする。所定のアライメント部位は、複数のそのようなフィーチャを含む。さらに、所定のアライメント部位は、x及び/又はy方向でユニークな2つよりも多い属性を含む。このように、組み合わせることで「ライブ」イメージ又はデータ(例えば、検査時に検査システムにより取り込まれたイメージ又はデータ)と所定のアライメント部位に対するデータとの間の絶対(x,y)オフセットを決定するのに十分なx及びyのアライメント情報をもたらすフィーチャ38、40などのアライメント・フィーチャの集合を含むように所定のアライメント部位を選択することができる。   The predetermined alignment site 36 includes an alignment feature 40. The alignment feature 40 has one or more attributes that are unique in the y direction but do not provide information about the alignment in the x direction. For example, the horizontal edge of the alignment feature 40 makes this alignment feature unique in the y direction, close to the alignment feature with respect to other features on the die. A given alignment site includes a plurality of such features. Furthermore, a given alignment site includes more than two attributes that are unique in the x and / or y direction. In this way, the combination determines the absolute (x, y) offset between the “live” image or data (eg, the image or data captured by the inspection system during inspection) and the data for a given alignment site. The predetermined alignment site can be selected to include a set of alignment features, such as features 38, 40, that provide sufficient x and y alignment information.

所定のアライメント部位の選択は、手動で、自動的に、又は手動と自動の何らかの組合せ(つまり、半自動又はユーザー補助)により実行される。手動で実行されるか、自動的に実行されるか、又はその両方で実行されるかに関係なく、所定のアライメント部位選択は、設計データ、ウェハの光学又は電子ビーム・イメージ、又はその両方を使用して実行される。所定のアライメント部位のユーザー補助による選択では、ユーザーは、コンピュータ支援設計(CAD)レイアウト、ウェハのライブ若しくは格納されている光若しくは電子ビーム・イメージ、又はその両方を調べて、上述の一意性基準を満たす1つ又は複数の所定のアライメント部位を決定することができる。   The selection of the predetermined alignment site is performed manually, automatically, or some combination of manual and automatic (ie, semi-automatic or user assistance). Regardless of whether it is performed manually, automatically, or both, a given alignment site selection can include design data, wafer optical or electron beam images, or both. Executed using. For user-assisted selection of a given alignment site, the user examines the computer-aided design (CAD) layout, the live or stored light or electron beam image of the wafer, or both to determine the uniqueness criteria described above. One or more predetermined alignment sites to satisfy can be determined.

所定のアライメント部位の自動的又は半自動的な選択において、この方法は、検査システムを使用してウェハ上のダイの行をスキャンすることと、ダイのそれぞれのフレームを(例えば、アルゴリズムを実行することにより)処理し、ユニークなアライメント部位を識別することを含む。「フレーム」という用語は、一般的に、ウェハをスキャンするときに取り込まれる検査データ又はイメージのスワス内のダイの一部に対するデータ又はイメージとして本明細書では定義される。フレームを処理することは、フレーム内のフィーチャのxとyの勾配を決定することと、所定のアライメント部位において使用するx及び/又はy方向に比較的強い勾配を有する1つ又は複数のフィーチャを選択することとを含む。この方法は、さらに、フレームとそのようなフィーチャを含むパッチ・イメージの相互相関を実行し、(複数の)勾配の比較的強いピークがただ1つ所定の探索範囲内に置かれているかどうかを判定することを含む。このように、パターン探索ウィンドウ内でユニークなアライメント・フィーチャは、所定のアライメント部位について識別され、選択される。この方法は、さらに、設計データにアクセスすることと、設計データの1つ又は複数の比較的小さな領域を1つ又は複数のイメージとしてレンダリングすることと、好適なアライメント部位を識別するために上記ステップを実行することとを含む。この方法は、さらに、この方法により識別される1つ又は複数の潜在的アライメント部位(例えば、潜在的アライメント部位に対する光又は電子ビーム及びCADイメージの対)を表示することと、ユーザーが所定の最低距離間隔でダイ上に分散されている1つ又は複数の好適なアライメント部位を選択できるようにすることとを含む。   In automatic or semi-automatic selection of a predetermined alignment site, the method scans a row of dies on the wafer using an inspection system and performs each frame of the die (eg, executes an algorithm). Processing) and identifying unique alignment sites. The term “frame” is generally defined herein as inspection data or data or image for a portion of a die within a swath of an image that is captured when scanning a wafer. Processing the frame determines the x and y gradients of the features in the frame and determines one or more features that have a relatively strong gradient in the x and / or y direction to use at a given alignment site. Selecting. The method further performs a cross-correlation of the frame and the patch image containing such features to determine if only one relatively strong peak (s) of gradient is within a predetermined search range. Including determining. In this manner, unique alignment features within the pattern search window are identified and selected for a given alignment site. The method further includes accessing the design data, rendering one or more relatively small regions of the design data as one or more images, and identifying the preferred alignment site. Performing. The method further includes displaying one or more potential alignment sites identified by the method (eg, a light or electron beam and CAD image pair for the potential alignment sites) and allowing the user to Allowing selection of one or more suitable alignment sites distributed over the die at distance intervals.

他の実施形態では、所定のアライメント部位を選択するために使用される検査システム又は他のイメージ収集システムのイメージング・モードは、検査データを取り込むために使用される検査システムの1つ又は複数のイメージング・モードと異なる。このように、この方法は、アライメント部位選択及びウェハ検査に異なるイメージング・モードを使用することを含む。また、アライメント部位選択ステップは、ウェハを検査するために使用されるさまざまなイメージング・モードに基づいて実行される。例えば、検査システムは、明視野(BF)モード、暗視野(DF)モード、Edge Contrast(KLA−Tencorの商標である)モード、さまざまなアパーチャ・モード、及び/又は電子ビーム・イメージング・モードなどの検査用の複数の光学的イメージング・モードを使用するように構成される。エッジコントラスト(Edge Contrast:EC)検査は、一般に、相補的イメージング・アパーチャで円形対称照射アパーチャを使用して実行される。ウェハ上の特定の層の検査に最良のイメージング・モードは、欠陥S/N比を最大にするイメージング・モードであり、最良のイメージング・モードは、層のタイプにより異なる。それに加えて、検査システムは、複数のイメージング・モードを同時に使用するか、又は順次使用してウェハを検査するように構成される。ウェハ検査時に実行されるアライメント部位イメージ又はデータ取り込みでは、ウェハ検査に最良のイメージング・モードを使用するので、アライメント部位選択では、好ましくは、そのモードを使用して、適切なアライメント部位及びアライメント・フィーチャを選択する。   In other embodiments, the imaging mode of the inspection system or other image acquisition system used to select the predetermined alignment site is one or more imaging of the inspection system used to capture inspection data.・ Different from mode. Thus, the method includes using different imaging modes for alignment site selection and wafer inspection. The alignment site selection step is also performed based on the various imaging modes used to inspect the wafer. For example, the inspection system may include bright field (BF) mode, dark field (DF) mode, Edge Contrast (trademark of KLA-Tencor) mode, various aperture modes, and / or electron beam imaging modes, etc. Configured to use multiple optical imaging modes for inspection. Edge contrast (EC) inspection is typically performed using a circularly symmetric illumination aperture with a complementary imaging aperture. The best imaging mode for inspecting a particular layer on the wafer is the imaging mode that maximizes the defect S / N ratio, and the best imaging mode depends on the type of layer. In addition, the inspection system is configured to inspect the wafer using multiple imaging modes simultaneously or sequentially. Since alignment site image or data acquisition performed during wafer inspection uses the best imaging mode for wafer inspection, the alignment site selection preferably uses that mode to determine the proper alignment site and alignment features. Select.

しかし、設計データ空間において選択された所定のアライメント部位の位置を正確に決定するために、所定のアライメント部位(ウェハ上の)の光学的パッチ・イメージを上述のような設計データ又はGDSIIクリップから導き出されたシミュレートされたイメージにアラインさせることができる。シミュレートされたイメージと光学イメージをアラインさせるのに好適な品質を有するシミュレートされたイメージを取得することは、あらゆるイメージング・モードに対し困難であると思われる。しかし、特定のイメージング・モード(例えば、BFモード)に関しては、シミュレートされたイメージと光学イメージの最良一致を得ることができる。したがって、この方法は、検査に最良のイメージング・モードを使用してウェハをスキャンすることで好適な所定のアライメント部位を選択することを含む。この方法は、さらに、検査システムを使用してウェハ上の選択された所定のアライメント部位に再び訪れ、シミュレートされたイメージ又はGDSIIクリップに最もよく一致するイメージとなるモードを使用して光学パッチ・イメージを取り込むことを含む。   However, in order to accurately determine the position of the selected alignment site selected in the design data space, an optical patch image of the predetermined alignment site (on the wafer) is derived from the design data or GDSII clip as described above. Can be aligned to a simulated image. Acquiring a simulated image with a quality suitable for aligning the simulated image with the optical image may be difficult for any imaging mode. However, for a particular imaging mode (eg, BF mode), the best match between the simulated image and the optical image can be obtained. Thus, the method includes selecting a suitable predetermined alignment site by scanning the wafer using the best imaging mode for inspection. The method further revisits a selected predetermined alignment site on the wafer using an inspection system and uses an optical patch mode using a mode that results in the best match with the simulated image or GDSII clip. Including capturing images.

シミュレートされたイメージ又はGDSIIクリップと一致する最良のモードを使用して得られたイメージを、設計データにおいて対応するアライメント部位のシミュレートされたイメージ又はGDSIIクリップにアラインさせる。シミュレートされたイメージ又はGDSIIクリップに一致する最良のモードを使用して取り込まれたイメージをアラインさせることにより決定された設計データ空間における選択されたアライメント部位の(x,y)位置を使用することで、これらのx位置とy位置を、検査に最良のモードを使用して取り込まれたパッチ・イメージに関連付けることができる。異なるモード(検査モードとシミュレートされたイメージ又はGDSIIクリップに一致する最良のモード)で同じ部位について集められたイメージの間にある種の固定されたオフセットがある場合、好適な較正ターゲットを使用して検査の開始時(又はその前)にこのオフセットを測定し、及び/又は補正する。   The image obtained using the best mode that matches the simulated image or GDSII clip is aligned to the simulated image or GDSII clip of the corresponding alignment site in the design data. Use the (x, y) position of the selected alignment site in the design data space determined by aligning the captured image using the best mode that matches the simulated image or GDSII clip These x and y positions can then be associated with a patch image captured using the best mode for inspection. If there is some fixed offset between images collected for the same site in different modes (inspection mode and simulated image or best mode matching GDSII clip), use a suitable calibration target. This offset is measured and / or corrected at the start (or before) of the inspection.

このような一実施形態では、この方法は、所定のアライメント部位の光学又は電子ビーム・イメージに対しCADでシミュレートされたイメージ又はGDSIIクリップのオフラインアラインを行って、マッピングを決定する(つまり、設計データ空間における光学又は電子ビーム・イメージの個別ピクセルの位置を決定する)ことを含む。例えば、所定のアライメント部位を選択し、シミュレートされたイメージと一致する最良のイメージを提供することができるイメージング・モードを使用してウェハ上のそれらの部位のイメージを取り込んだ後、所定のアライメント部位に対応する設計データを取り込み(ポリゴン表現などの形式で)、次いで、適切な変換関数を使用して適切なピクセル・サイズでシミュレートされたイメージとしてレンダリングする。次いで、適切な方法及び/又は当業界で知られているアルゴリズムを使用して光学(又は電子ビーム)イメージとシミュレートされたイメージを互いにアラインさせる。光学(又は電子ビーム)イメージとシミュレートされたイメージを互いにアラインさせることは、十分に正確なアラインを行うために前の層ジオメトリが光学イメージから排除されるか、又は他の何らかの形でわかるように光学イメージ内のノイズ源となりうる前の層ジオメトリなどの設計データ(例えば、設計データベースにおける)に関する他の情報を使用して実行される。   In one such embodiment, the method performs off-line alignment of CAD-simulated images or GDSII clips to optical or electron beam images of a given alignment site to determine the mapping (ie, design Determining the position of individual pixels of the optical or electron beam image in data space). For example, after selecting predetermined alignment sites and capturing images of those sites on the wafer using an imaging mode that can provide the best image that matches the simulated image, the predetermined alignment The design data corresponding to the site is captured (in a form such as a polygon representation) and then rendered as a simulated image with the appropriate pixel size using an appropriate transformation function. The optical (or electron beam) image and the simulated image are then aligned with each other using appropriate methods and / or algorithms known in the art. Aligning the optical (or electron beam) image and the simulated image with each other may cause the previous layer geometry to be excluded from the optical image or otherwise known in order to make a sufficiently accurate alignment. This is performed using other information about design data (eg, in a design database) such as previous layer geometry that can be a source of noise in the optical image.

検査用のレシピーをセットアップするプロセスの結果は、所定のアライメント部位、設計データ空間における所定のアライメント部位のそれぞれの位置(例えば、x及びy座標)、その後のウェハ検査時に実質的に正確なアラインを実行するために検査システムにより使用される追加の情報を表す1つ又は複数の光学又は電子ビーム・パッチ・イメージを含む。   The result of the process of setting up the inspection recipe is that the alignment position, the respective position of the alignment area in the design data space (eg, x and y coordinates), and a substantially accurate alignment during subsequent wafer inspections. It includes one or more optical or electron beam patch images representing additional information used by the inspection system to perform.

図1のステップ12に示されているように、この方法は、ウェハ上のアライメント部位に対する検査システムにより取り込まれたデータを所定のアライメント部位に対するデータにアラインさせることを含む。所定のアライメント部位に対するデータは、上述のデータのどれかを含む。例えば、所定のアライメント部位に対するデータは、GDSIIファイル又は他の標準的な機械可読ファイル・フォーマットなどのデータ構造体に格納されている設計データを含む。他の実施形態では、所定のアライメント部位に対するデータは、所定のアライメント部位がウェハ上にどのように印刷されるかを示す1つ又は複数のシミュレートされたイメージを含む。1つ又は複数のシミュレートされたイメージは、設計データ空間においてウェハ上のアライメント部位の位置を、設計データ空間における所定のアライメント部位の位置に基づいて本明細書のさらなる説明に従って決定できるように本明細書でさらに説明されているとおりに設計データ空間にマッピングされる。   As shown in step 12 of FIG. 1, the method includes aligning data captured by an inspection system for an alignment site on the wafer with data for a predetermined alignment site. The data for the predetermined alignment site includes any of the data described above. For example, data for a given alignment site includes design data stored in a data structure such as a GDSII file or other standard machine readable file format. In other embodiments, the data for a predetermined alignment site includes one or more simulated images showing how the predetermined alignment site is printed on the wafer. The one or more simulated images can be used to determine the position of the alignment site on the wafer in the design data space based on the position of the predetermined alignment site in the design data space according to the further description herein. Maps to the design data space as further described in the specification.

追加の実施形態では、所定のアライメント部位に対するデータは、所定のアライメント部位の1つ又は複数の属性を含み、ウェハ上のアライメント部位に対するデータは、アライメント部位の1つ又は複数の属性を含み、アラインさせるステップは、所定のアライメント部位の1つ又は複数の属性をアライメント部位の1つ又は複数の属性にアラインさせることを含む。所定のアライメント部位及びこの実施形態で使用されるウェハ上のアライメント部位の1つ又は複数の属性は、本明細書で説明されている(複数の)属性を含む。例えば、一実施形態では、所定のアライメント部位の1つ又は複数の属性は、所定のアライメント部位の重心を含み、ウェハ上のアライメント部位の1つ又は複数の属性は、アライメント部位の重心を含む。所定のアライメント部位とウェハ上のアライメント部位の重心は、これらの部位における1つ又は複数のアライメント・フィーチャに対する重心である。このように、この方法は、所定のアライメント部位とウェハ上のアライメント部位の重心を一致させて、ウェハ上のアライメント部位を所定のアライメント部位にアラインさせることを含む。その際、所定のアライメント部位に対するデータは、ウェハ上のアライメント部位に対するデータの対応する1つの特性(又は複数の特性)に合わせてアラインさせられる重心などの所定のアライメント部位のある種の1つの特性(又は複数の特性)を含む。所定のアライメント部位とウェハ上のアライメント部位の重心などの1つ又は複数の属性は、本明細書で説明されているように、又は当業界で知られている好適な方法により決定される。   In additional embodiments, the data for the predetermined alignment site includes one or more attributes of the predetermined alignment site, the data for the alignment site on the wafer includes one or more attributes of the alignment site, and is aligned. The step of causing includes aligning one or more attributes of the predetermined alignment site to one or more attributes of the alignment site. The predetermined alignment site and one or more attributes of the alignment site on the wafer used in this embodiment include the attribute (s) described herein. For example, in one embodiment, the one or more attributes of the predetermined alignment site include a centroid of the predetermined alignment site, and the one or more attributes of the alignment site on the wafer include the centroid of the alignment site. The center of gravity of a given alignment site and the alignment site on the wafer is the center of gravity for one or more alignment features at those sites. Thus, the method includes aligning the alignment site on the wafer to the predetermined alignment site by matching the center of gravity of the predetermined alignment site and the alignment site on the wafer. At that time, the data for the predetermined alignment part is a certain one characteristic of the predetermined alignment part such as the center of gravity aligned with the corresponding characteristic (or characteristics) of the data for the alignment part on the wafer. (Or multiple characteristics). One or more attributes, such as a predetermined alignment site and the center of gravity of the alignment site on the wafer, are determined as described herein or by any suitable method known in the art.

追加の実施形態では、所定のアライメント部位に対するデータは、検査システムにより取り込まれ、GDSIIファイルなどのデータ構造体に格納されている設計データにアラインされたデータを含む。所定のアライメント部位について検査システムにより取り込まれたデータは、本明細書で説明されているように設計データにアラインされる。いくつかの実施形態では、所定のアライメント部位に対するデータは、設計データ空間における設計座標にアラインされた標準参照ダイ・イメージの少なくとも一部を含む。標準参照ダイ・イメージは、本明細書で説明されている標準参照ダイ・イメージのどれかを含むことができ、標準参照ダイ・イメージは、本明細書で説明されているように設計座標に合わせてアラインされる。例えば、標準参照ダイ・イメージを設計空間にマッピングし、次いで、これを使用してアラインすることができる。   In additional embodiments, data for a given alignment site includes data captured by an inspection system and aligned to design data stored in a data structure such as a GDSII file. Data captured by the inspection system for a given alignment site is aligned with design data as described herein. In some embodiments, the data for a given alignment site includes at least a portion of a standard reference die image aligned with design coordinates in the design data space. The standard reference die image can include any of the standard reference die images described herein, and the standard reference die image is aligned with the design coordinates as described herein. Aligned. For example, a standard reference die image can be mapped to the design space and then used to align.

アライメント部位に対するデータを所定のアライメント部位に対するデータにアラインさせることは、当業界で知られている(複数の)好適なアライン方法及び/又は(複数の)アラインアルゴリズムを使用して実行される。   Aligning the data for the alignment site to the data for the predetermined alignment site is performed using any suitable alignment method (s) and / or alignment algorithm (s) known in the art.

一実施形態では、ステップ12は、ウェハ検査中に実行される。それに加えて、このステップは、検査プロセス・レシピーを使用してウェハが検査される毎に実行される。例えば、検査プロセスは、1ロットのウェハの検査の開始時、及びそのロットのそれぞれのウェハの検査の開始時に、実行される初期化フェーズを含む。初期化フェーズにおいて、所定のアライメント部位と、設計データ空間における所定のアライメント部位の(x、y、又は2次元)マッピングをレシピー・セットアップ結果からアクセスし、格納されているアライメント・パッチ・イメージと検査されているウェハに対する検査システムにより取り込まれたライブ・パッチ・イメージとのアラインを実行するために使用されるイメージ・コンピュータ処理ノード内にダウンロードすることができる。イメージ・コンピュータと処理ノードは、当業界で知られている好適な構成を有することができる。   In one embodiment, step 12 is performed during wafer inspection. In addition, this step is performed each time a wafer is inspected using an inspection process recipe. For example, the inspection process includes an initialization phase that is performed at the start of inspection of a lot of wafers and at the start of inspection of each wafer in the lot. During the initialization phase, the (x, y, or two-dimensional) mapping of a given alignment site and the given alignment site in the design data space is accessed from the recipe setup results and stored alignment patch images and inspections Can be downloaded into an image computer processing node that is used to perform alignment with live patch images captured by the inspection system for the wafer being processed. The image computer and processing node may have any suitable configuration known in the art.

検査プロセスにおいて、この方法は、検査システムを使用してウェハをスキャンし、検査データのスワスを取り込むことを含む。それぞれのスワスは、検査システムがウェハ上の行又は列においてダイを横切って(x方向に)スキャンするときに何らかの高さH(y方向)のピクセルのストリームとして取り込まれる。イメージ・コンピュータにおけるそれぞれの処理ノードは、スワスのある種の部分を処理する。例えば、スワスを複数の部分、つまり「ページ」に分割し、スワスの部分のそれぞれを異なる処理ノードに向ける。処理ノードは、処理のノードにより受け取られたスワスの部分の中のピクセルを使用して欠陥検出を実行するように構成される。この方法及びイメージ・コンピュータは、ウェハ上のアライメント部位の配置(例えば、それぞれダイの中の配置)、及びイメージ・コンピュータの記憶媒体から取り込まれた(例えば、初期化フェーズの際にダウンロードされた)所定のアライメント部位のパッチ・イメージに関する情報を利用して、所定のアライメント部位をウェハ上のアライメント部位のライブ・ストリーム・データにアラインさせることができる。   In the inspection process, the method includes scanning the wafer using an inspection system and capturing a swath of inspection data. Each swath is captured as a stream of pixels of some height H (y direction) as the inspection system scans across the die (in the x direction) in rows or columns on the wafer. Each processing node in the image computer processes some part of the swath. For example, the swath is divided into a plurality of portions, that is, “pages”, and each swath portion is directed to a different processing node. The processing node is configured to perform defect detection using pixels in the swath portion received by the processing node. The method and image computer were captured from the alignment of the alignment sites on the wafer (e.g., each in a die) and from the image computer storage media (e.g., downloaded during the initialization phase). Information about the patch image of a predetermined alignment site can be used to align the predetermined alignment site with live stream data of the alignment site on the wafer.

いくつかの実施形態では、コンテキスト・マップ(例えば、データベースなどのデータ構造体に格納されている)をアクセスし、処理ノードにダウンロードする。このコンテキスト・データは、当業界で知られている好適なフォーマットで格納される。このコンテキスト・データを、イメージ・フォーマットではなくコンパクトなポリゴン表現で格納し、及び/又は使用することができる。しかし、コンテキスト・マップは、欠陥検出のために使用されるようにイメージにレンダリングされる場合がある。このレンダリングは、初期化時に1回、又は検査の際にコンテキスト・マップが使用される毎に実行される。前者のアプローチの利点は、初期化時にコンテキスト・マップをレンダリングすることで、検査プロセスにおいて実行されるデータ処理サイクルが減らされるという点である。しかし、このアプローチの不利な点は、コンテキスト・マップ全体のレンダリングされたイメージを格納するので、比較的大量のメモリが必要になる場合があるという点である。   In some embodiments, a context map (eg, stored in a data structure such as a database) is accessed and downloaded to the processing node. This context data is stored in a suitable format known in the art. This contextual data can be stored and / or used in a compact polygon representation rather than an image format. However, the context map may be rendered into an image to be used for defect detection. This rendering is performed once at initialization or each time the context map is used during inspection. The advantage of the former approach is that rendering the context map at initialization reduces the data processing cycles performed in the inspection process. However, a disadvantage of this approach is that it stores a rendered image of the entire context map and may require a relatively large amount of memory.

図1のステップ14に示されているように、この方法は、設計データ空間における所定のアライメント部位の位置に基づいて設計データ空間におけるウェハ上のアライメント部位の位置を決定することを含む。例えば、設計データ座標(つまり、設計データ空間内の)に関する所定のアライメント部位の(x,y)位置が決定されており、このアライメント部位に対するデータに対し、所定のアライメント部位に対するデータがアラインされているため、ウェハ上のアライメント部位のライブ・ピクセル座標の絶対配置を設計データ空間において決定することができる。他の実施形態では、設計データ空間におけるウェハ上のアライメント部位の位置を決定することは、生データ・ストリーム(例えば、ライブ・イメージ)を所定のアライメント部位に対するデータ(例えば、参照イメージ)にアラインさせることを含む。設計データ空間におけるウェハ上のアライメント部位の位置を決定することは、ウェハを検査する前に、又はウェハの検査データを取り込んだ後に、実行される。   As shown in step 14 of FIG. 1, the method includes determining the position of the alignment site on the wafer in the design data space based on the position of the predetermined alignment site in the design data space. For example, the (x, y) position of a predetermined alignment part with respect to design data coordinates (that is, in the design data space) is determined, and the data for the predetermined alignment part is aligned with the data for this alignment part. Therefore, the absolute arrangement of the live pixel coordinates of the alignment site on the wafer can be determined in the design data space. In other embodiments, determining the position of the alignment site on the wafer in the design data space aligns the raw data stream (eg, live image) with data (eg, a reference image) for a given alignment site. Including that. Determining the position of the alignment site on the wafer in the design data space is performed before inspecting the wafer or after acquiring inspection data for the wafer.

図1のステップ16に示されているように、この方法は、設計データ空間におけるウェハ上のアライメント部位の位置に基づいて設計データ空間における検査システムによりそのウェハについて取り込まれた検査データの位置を決定することを含む。設計データ空間における位置が決定される検査データは、検査時に検査システムによりウェハについて取り込まれたデータ(例えば、イメージ・データ)を含む。それに加えて、検査データの位置を、ウェハの検査時に検査システムにより取り込まれたデータの一部又は全部について決定することができる。例えば、ウェハ上の検査対象領域について取り込まれた検査データのみについて、検査データの位置を決定することができる。   As shown in step 16 of FIG. 1, the method determines the position of inspection data captured for the wafer by the inspection system in the design data space based on the position of the alignment site on the wafer in the design data space. Including doing. Inspection data whose position in the design data space is determined includes data (eg, image data) captured for the wafer by the inspection system during inspection. In addition, the location of the inspection data can be determined for some or all of the data captured by the inspection system when inspecting the wafer. For example, the position of the inspection data can be determined only for the inspection data acquired for the inspection target area on the wafer.

一実施形態では、この方法は、ウェハ上のアライメント部位に対応する生データ・ストリームの位置を上述のように所定のアライメント部位の参照イメージにアラインさせた後に、検査データ・ストリームと設計データとの間の座標オフセットをサブピクセル精度の範囲内まで測定することを含む。それに加えて、ライブ検査データと設計データとの間の座標誤差は、ウェハ上のアライメント部位がダイ上のすべての点について所定のアライメント部位に実質的に正確にアラインされるように所定のアライメント部位に対する参照イメージに関して生検査データ・イメージをシフトすることにより補正される。本明細書で説明されている方法及びシステムの1つの著しい利点は、設計データ空間における検査データの位置をサブピクセル精度で決定できるという点である。このように、ウェハ上の対象領域と対象外領域を、100nm以下の精度の比較的高い精度で本明細書においてさらに説明されるように決定することができる。   In one embodiment, the method includes aligning the position of the raw data stream corresponding to the alignment site on the wafer with the reference image of the predetermined alignment site as described above, and then combining the inspection data stream and the design data. Measuring the coordinate offset between them to within the sub-pixel accuracy. In addition, the coordinate error between the live inspection data and the design data allows the alignment site on the wafer to be aligned with the predetermined alignment site substantially accurately for all points on the die. Is corrected by shifting the raw test data image with respect to the reference image. One significant advantage of the methods and systems described herein is that the location of inspection data in the design data space can be determined with sub-pixel accuracy. Thus, target and non-target regions on the wafer can be determined as described further herein with a relatively high accuracy of 100 nm or less accuracy.

異なる実施形態では、所定のアライメント部位に対するデータを使用することで、ライブ・イメージ・ピクセル空間を設計データ空間にマッピングするために使用される2次元マッピング変換を決定することができる。例えば、上述のように、この方法は、所定の探索範囲についてダウンロードされた所定のアライメント部位パッチ・イメージ(検査プロセスのセットアップ時に取り込まれる)をライブ・イメージ・データと相関させることと、ダウンロードされたイメージとライブ・イメージとの間のオフセットを決定することとを含む。設計データ空間における所定のアライメント部位の(x,y)位置はセットアップ時に決定されているため、この方法は、さらに、ライブ・イメージ・ピクセル位置と設計データ座標との間の対応関係を決定することを含むこともできる。次いで、この方法は、ライブ・イメージ・ピクセル位置と設計データ座標との間の対応関係を使用してライブ・ピクセル座標を設計データ空間にマッピングする2次元関数を決定することを含む。   In different embodiments, the data for a given alignment site can be used to determine the two-dimensional mapping transform used to map the live image pixel space to the design data space. For example, as described above, this method can be performed by correlating a predetermined alignment site patch image (captured during setup of the inspection process) downloaded for a predetermined search range with live image data. Determining an offset between the image and the live image. Since the (x, y) position of a given alignment site in the design data space is determined at setup time, this method further determines the correspondence between the live image pixel position and the design data coordinates. Can also be included. The method then includes determining a two-dimensional function that maps the live pixel coordinates to the design data space using the correspondence between the live image pixel locations and the design data coordinates.

そのような一例では、設計データ空間における絶対座標に対しアライメント部位のグリッドの好適な多項式フィットを使用することで、検査データにおけるピクセル(例えば、ライブ・ピクセル・ストリーム)を設計データ空間における対応する位置にマッピングするために使用可能なマッピング関数を決定する。同様にして、後述のように検査データにおけるピクセルをコンテキスト空間内の対応する位置にマッピングする。複数の他の補正を用いて、実質的に正確なマッピングを行わせることができる。例えば、検査システムのランタイム・アライメント(RTA)サブシステムによる取り込みが可能なx方向のピクセル・サイズなどの検査システムにより与えられるデータに基づいて補正を実行する。このマッピングは、ダイ−ダイ検査モードに使用される。上述のようなライブ・ピクセル・ストリームのマッピングは、ウェハの検査時にリアルタイムで、又はウェハに対する検査データの取り込みの後に実行される。この方法により、設計データ空間における検査データの位置を決定することは、ウェハの検査時に実行される。それとは別に、設計データ空間における検査データの位置を決定することは、ウェハの検査の後に実行される。   In one such example, using a suitable polynomial fit of the grid of alignment sites to absolute coordinates in the design data space, the pixels in the inspection data (eg, live pixel stream) are matched to the corresponding positions in the design data space. Determine the mapping functions that can be used to map to. Similarly, the pixels in the inspection data are mapped to corresponding positions in the context space as will be described later. A plurality of other corrections can be used to provide a substantially accurate mapping. For example, correction is performed based on data provided by the inspection system, such as the pixel size in the x-direction that can be captured by the runtime alignment (RTA) subsystem of the inspection system. This mapping is used for the die-to-die inspection mode. The live pixel stream mapping as described above is performed in real time during wafer inspection or after acquisition of inspection data for the wafer. By this method, determining the position of inspection data in the design data space is performed at the time of inspection of a wafer. Alternatively, determining the position of inspection data in the design data space is performed after inspection of the wafer.

設計データ空間における検査データの位置は、本明細書で説明されているような形で格納され、使用される。   The location of the inspection data in the design data space is stored and used in the manner described herein.

一実施形態では、この方法は、検査データと標準参照ダイ・ベースの検査用の標準参照ダイとを使用してウェハ上の欠陥を検出することを含む。このように、本明細書で説明されている方法の実施形態は、標準参照ダイ・ベースの検査を実行することを含む。このようないくつかの実施形態では、この方法は、設計データ空間における標準参照ダイ・イメージのマッピングを標準参照ダイ−ダイ検査モードに対するウェハについて検査システムにより取り込まれたライブ・イメージに適用することを含む。「標準参照ダイ」という用語は、一般的に、検査されているが、ダイ−ダイ検査に必要な「試験」ダイに対する通常の隣接性制約条件を満たさないウェハ上の参照ダイを指す。いくつかの市販の検査システムは、標準参照ダイ−ダイ検査モードと同様のモードを使用するように構成されている。標準参照ダイ−ダイ検査モードの一実装は、ダイとダイ行内の任意のダイとを比較することを含む。他の実装では、標準参照ダイ・イメージは、格納されているイメージである。したがって、格納されている標準参照ダイ−ダイ検査モードは、標準参照ダイ−ダイ検査モードによく似ているが、ただし、ウェハ上で参照ダイを使用するという制約条件が取り除かれる。この検査モードの利点の1つは、格納されている標準参照ダイ・イメージを修正し、標準参照ダイ・イメージを「実質的無欠陥」にすることができることにある。それに加えて、この検査モードでは、異なるウェハからの標準参照ダイ・イメージを使用し、これによりiPWQアプリケーションの最も単純な実装を行うことことができるが、これについては本明細書でさらに説明される。   In one embodiment, the method includes detecting defects on the wafer using inspection data and a standard reference die for standard reference die based inspection. Thus, the method embodiments described herein include performing a standard reference die-based inspection. In some such embodiments, the method applies the mapping of the standard reference die image in the design data space to the live image captured by the inspection system for the wafer for standard reference die-die inspection mode. Including. The term “standard reference die” generally refers to a reference die on the wafer that has been inspected but does not meet the normal adjacency constraints for the “test” die required for die-to-die inspection. Some commercial inspection systems are configured to use a mode similar to the standard reference die-to-die inspection mode. One implementation of the standard reference die-to-die inspection mode includes comparing the die to any die in the die row. In other implementations, the standard reference die image is a stored image. Thus, the stored standard reference die-die inspection mode is very similar to the standard reference die-die inspection mode, except that the constraint of using a reference die on the wafer is removed. One advantage of this inspection mode is that the stored standard reference die image can be modified to make the standard reference die image “substantially defect free”. In addition, this inspection mode uses standard reference die images from different wafers, which allows for the simplest implementation of iPWQ applications, which is further described herein. .

標準参照ダイ−ダイ検査モードに使用される、一実施形態では、検査されているダイについて取り込まれたライブ・イメージは、他のウェハ上の知られている良好なダイ(標準参照ダイ)から得られた格納されているダイ・イメージにアラインされ、比較される。このようなアラインと比較は、本明細書で説明されているように実行される。この場合、標準参照ダイ・ピクセルを設計データ座標空間にマッピングすることは、完全にオフラインで実行される。例えば、標準参照ダイにおけるアライメント部位は、上述のように設計データ空間においてマッピングされ、マッピングされた標準参照ダイ・ピクセルは、検査時にオフラインで格納され、検査システムに供給される。このように、標準参照ダイ−ダイ検査モードについては、設計データ座標空間におけるライブ検査データの位置を決定することは、ライブ・データを、それ自体設計空間にマッピングされている格納された標準参照ダイ・イメージ又はデータにアラインさせることにより実行される。   In one embodiment, used in the standard reference die-to-die inspection mode, the captured live image for the die being inspected is obtained from a known good die on another wafer (standard reference die). Aligned and compared to stored stored die images. Such alignment and comparison is performed as described herein. In this case, mapping the standard reference die pixel to the design data coordinate space is performed completely offline. For example, alignment sites in a standard reference die are mapped in the design data space as described above, and the mapped standard reference die pixels are stored off-line during inspection and supplied to the inspection system. Thus, for the standard reference die-to-die inspection mode, determining the position of live inspection data in the design data coordinate space is the stored standard reference die that is itself mapped to the design space. • Performed by aligning images or data.

他の実施形態では、標準参照ダイ−ダイ検査について、参照ウェハ上の知られている良好なダイが、選択されたピクセル・サイズとイメージング・モードでスキャンされ、知られている良好なダイ・イメージ全体が、適切な記憶媒体(例えば、ディスク)に格納される。ウェハの検査時に、適切な標準参照ダイ・イメージのスワスが、検査システム・イメージ・コンピュータ内にダウンロードされ、ダイがスキャンされる毎に、ターゲット・ダイ(つまり、検査されているダイ)のフレームは、対応する標準参照ダイ・フレームとアラインさせられる。フレーム間のミスアライメントは、サブピクセル補間を使用して補正される。次いで、標準参照ダイ・イメージは、ウェハのイメージと比較され、これにより、ウェハ上の欠陥を検出することができる(例えば、欠陥ピクセルを検出する)。このように、検査データを設計データ空間座標にアラインさせるために、又は欠陥検出のために、同じイメージを使用することができる。   In other embodiments, for standard reference die-to-die inspection, a known good die on the reference wafer is scanned with a selected pixel size and imaging mode, and a known good die image. The whole is stored in a suitable storage medium (eg, a disk). During wafer inspection, the appropriate standard reference die image swath is downloaded into the inspection system image computer and each time the die is scanned, the frame of the target die (ie, the die being inspected) , Aligned with the corresponding standard reference die frame. Misalignment between frames is corrected using subpixel interpolation. The standard reference die image is then compared to the image of the wafer, thereby detecting defects on the wafer (eg, detecting defective pixels). In this way, the same image can be used to align inspection data to design data space coordinates or for defect detection.

異なる実施形態では、この方法は、検査データ・ストリームにおけるウェハ上のアライメント部位に対するデータを所定のアライメント部位に対するレンダリングされたGDSクリップにアラインさせて、リアルタイムで誤差を補正することを含む。例えば、この方法は、設計データ空間におけるレンダリングされたGDSIIクリップのマッピングをダイ−ダイ検査モードに対するウェハ上のアライメント部位に対するデータに適用することを含む。この方法は、所定の探索範囲についてダウンロードされたアライメント部位パッチ・イメージ(検査プロセスのセットアップ時に選択される)をライブ・イメージ・データと相関させることと、2つのイメージの間のオフセットを決定することとを含む。他の例では、検査データ・ストリームにおけるウェハ上のアライメント部位に対するデータを所定のアライメント部位に対するデータにアラインさせることは、アライメント部位における1つ又は複数のフィーチャの重心又は他の属性をアラインさせることにより実行されるが、これは、本明細書でさらに説明されているように実行される。   In different embodiments, the method includes aligning data for alignment sites on the wafer in the inspection data stream to rendered GDS clips for a given alignment site to correct errors in real time. For example, the method includes applying a rendered GDSII clip mapping in the design data space to data for alignment sites on the wafer for die-to-die inspection mode. This method correlates a downloaded alignment site patch image (selected during the setup of the inspection process) for a given search range with live image data and determines an offset between the two images. Including. In another example, aligning data for an alignment site on the wafer in the inspection data stream to data for a given alignment site is by aligning the centroid or other attributes of one or more features in the alignment site. This is done as described further herein.

一実施形態では、ダイ−ダイ検査モードにおける欠陥検出のために、それぞれのスキャンされたダイ・フレームに対するデータをスワス内の後続のダイ・フレームに対するデータにアラインさせる。この場合、所定のアライメント部位とウェハ上のアライメント部位とのマッピングは、オンラインでは実行されないが、それは、検査データ・ストリームにおけるそれぞれのダイに対するデータの位置が、検査システムの機械的誤差や他の誤差発生源に左右されるからである。したがって、この場合、この方法は、検査データの取り込み時にそれぞれのダイのアライメント部位を(例えば、イメージ・コンピュータを使用して)識別することを含む。   In one embodiment, data for each scanned die frame is aligned with data for subsequent die frames in the swath for defect detection in die-to-die inspection mode. In this case, the mapping between a given alignment site and the alignment site on the wafer is not performed online, because the position of the data for each die in the inspection data stream depends on the mechanical errors and other errors of the inspection system. This is because it depends on the source. Thus, in this case, the method includes identifying the alignment site of each die (eg, using an image computer) upon acquisition of inspection data.

他の実施形態では、欠陥検出は、ウェハ−ウェハ検査モードで実行される。このような一実施形態では、1つのウェハ上のアライメント部位に対するデータは、所定のアライメント部位に対するデータにアラインされ、このウェハ上のアライメント部位に対するデータは、他のウェハ上のアライメント部位に対するデータにアラインされる。それとは別に、両方のウェハ上のアライメント部位に対するデータは、本明細書で説明されているデータのどれかを含む所定のアライメント部位に対するデータにアラインされる。このように、ウェハ上のアライメント部位に対するデータが所定のアライメント部位に対するデータにアラインされた後、これらのウェハの検査データ同士が、実際に、アラインされ、欠陥検出のためオーバーレイ又は比較される。いくつかの実施形態では、ウェハ−ウェハ検査モードは、検査されているウェハの外に存在する参照ダイを使用することを含む(つまり、オフ・ウェハ参照)。この方法の実装は、直接的とは言い難いが、それは、適切な感度結果を得るために検査システムがダイ−ダイ・レベルのオーバーレイ公差(例えば、0.1ピクセル)を達成できるように現在使用されているランタイム・フィードバックという概念を分離することを含むからである。   In other embodiments, defect detection is performed in a wafer-to-wafer inspection mode. In one such embodiment, data for an alignment site on one wafer is aligned with data for a given alignment site, and data for an alignment site on this wafer is aligned with data for an alignment site on another wafer. Is done. Alternatively, the data for the alignment sites on both wafers is aligned with the data for a given alignment site that includes any of the data described herein. In this way, after the data for the alignment site on the wafer is aligned with the data for the predetermined alignment site, the inspection data of these wafers are actually aligned and overlaid or compared for defect detection. In some embodiments, the wafer-to-wafer inspection mode includes using a reference die that exists outside the wafer being inspected (ie, off-wafer reference). The implementation of this method is not straightforward, but it is currently used so that inspection systems can achieve die-to-die level overlay tolerances (eg, 0.1 pixel) to obtain adequate sensitivity results. Because it includes the separation of the concept of run-time feedback.

このような一実施形態では、この方法は、検査されているウェハとオフ・ウェハ参照イメージとのRTAを含む。オフ・ウェハ・イメージとのRTAは、ダイ−ダイ比較及びセル−セル比較などのウェハ「自己参照」アプローチからパターニングされたウェハ上の欠陥を検出するためのウェハ−ウェハ検査に至るスキャン検査技術の拡張を可能にするために使用されるイメージ・アライメント・アプローチである。例えば、RTAは、検査システムの1つ又は複数の検出器により生成される信号を2値化するのに先立って、取り込まれたライブ・イメージとすでに取り込まれているイメージとの電気機械的アラインを行ってサブピクセル精度の位置決めを行うことを含む。本明細書で説明されている実施形態においてRTAがどのように実行されるかを示す例は、本明細書に全体が説明されているかのように参照により組み込まれる、Hwangらの米国特許第7,061,625号において例示されている。   In one such embodiment, the method includes an RTA of the wafer being inspected and an off-wafer reference image. RTA with off-wafer images is a scan inspection technology that goes from wafer “self-referencing” approaches such as die-to-die comparison and cell-cell comparison to wafer-to-wafer inspection to detect defects on patterned wafers. An image alignment approach used to allow expansion. For example, RTA performs electromechanical alignment of a captured live image with an already captured image prior to binarizing the signal generated by one or more detectors of the inspection system. Performing sub-pixel accurate positioning. An example showing how RTA is performed in the embodiments described herein is described in US Pat. No. 7 of Hwang et al., Which is incorporated by reference as if fully set forth herein. No. 061,625.

ウェハのイメージとオフ・ウェハ・イメージとの比較を含む現在利用可能な検査アプローチの1つは、日本のナノジオメトリ研究所(NGR)により使用されているダイ−データベース検査モードである。このダイ−データベース検査アプローチでは、「ステップ・アンド・リピート方式」のイメージ収集及び縫合と、その後に続く、エッジ・ベースのイメージ処理、プロセス・シミュレーション、検出アルゴリズムの複数のステップからなる複雑な一連の処理を含む。しかし、異なるウェハのイメージを直接比較するのに、この方法を使用することはできない。特に、ダイ−データベース検査モードでは、ウェハ・イメージと設計レイアウト・データベースから導き出されたシミュレートされた参照とを比較する。このアプローチのシミュレーションステップは、試験用のウェハを生産するために使用される特定の製造プロセスに合わせて慎重に較正されなければならない。較正は、費用も時間もかかるプロセスである。較正は、複数のステップを有する統合プロセス・フローについては特に複雑な作業である。それに加えて、「ステップ・アンド・リピート方式」のイメージ収集検査プロセスは、ステージの慣性、ステージの振動、静止イメージ収集、イメージの縫合などについて実用上の限界があるため、スキャン・ベースの検査プロセスと比べて、典型的に低速である。   One currently available inspection approach, including a comparison of wafer images and off-wafer images, is the die-database inspection mode used by the Nanogeometry Laboratory (NGR) in Japan. This die-database inspection approach involves a complex series of “step and repeat” image acquisition and stitching, followed by multiple steps of edge-based image processing, process simulation, and detection algorithms. Includes processing. However, this method cannot be used to directly compare images from different wafers. In particular, the die-database inspection mode compares the wafer image with a simulated reference derived from the design layout database. The simulation step of this approach must be carefully calibrated to the specific manufacturing process used to produce the test wafer. Calibration is an expensive and time consuming process. Calibration is a particularly complex task for an integrated process flow with multiple steps. In addition, the “step-and-repeat” image acquisition inspection process has practical limitations on stage inertia, stage vibration, stationary image acquisition, image stitching, etc., so a scan-based inspection process Is typically slower.

代替のダイ−データベース検査モードは、上述の「オフ・ウェハ」参照ダイを使用する検査モードの論理的拡張である。この場合、「データベース」は、上述のように設計データとプロセス・シミュレーションから生成されたレンダリングされたイメージである。したがって、データベースに基づく検査は、取り込まれたイメージから(場合によっては、本明細書で説明されているように実行される、統計に基づく増強により)生成された「標準参照ダイ」及び設計データとプロセス・モデリングから厳密に生成された「標準参照ダイ」を使用してウェハ−ウェハ検査が実行可能であるため、「オフ・ウェハ」参照検査モードの論理的拡張であるとみなされる。設計データとプロセス・モデリングから厳密に生成された標準参照ダイを使用するのは、実装するのに最も複雑なウェハ検査モードである。この検査モードを実装する多くの試みがなされてきたが、試みられた実装の現在の性能は、このアプリケーションの計算量の多さ(モデリング及び検出)、イメージ収集速度、イメージ画質の問題のせいで十分とはいえない。しかし、本明細書で説明されている方法は、試験対象のウェハとオフ・ウェハ参照とに対する検査データをアラインするために共通の絶対参照(例えば、設計データ)を使用できるため、実装するうえで実用性が高い。   An alternative die-database inspection mode is a logical extension of the inspection mode using the “off-wafer” reference die described above. In this case, the “database” is a rendered image generated from design data and process simulation as described above. Thus, database-based inspections can include “standard reference dies” and design data generated from captured images (possibly with statistical-based enhancements performed as described herein) and Since wafer-to-wafer inspection can be performed using “standard reference dies” strictly generated from process modeling, it is considered a logical extension of the “off-wafer” reference inspection mode. Using a standard reference die that is strictly generated from design data and process modeling is the most complex wafer inspection mode to implement. Many attempts have been made to implement this inspection mode, but the current performance of the attempted implementation is due to the computational complexity (modeling and detection), image collection speed, and image quality issues of this application. Not enough. However, the method described herein can be used in implementation because it can use a common absolute reference (eg, design data) to align inspection data for the wafer under test and the off-wafer reference. High practicality.

したがって、本明細書で説明されている方法は、ウェハ同士の比較を可能にするために使用されるが、これは潜在的に極端に有用なアプリケーションといえる。ウェハ−ウェハ比較を使用する欠陥検査の動機の1つは、特定の回路レイアウトの相互作用とウェハ製造プロセスの積み重ね公差から結果として生じうる「系統的欠陥機構」を発見することである。この発見プロセスは、同じデバイス設計が印刷されるが、異なる形で処理されたウェハを比較することを含む。最も決定論的なアプローチは、単一変量又は多変量実験においてプロセス・パラメータを変形することである(例えば、系統的DOEアプローチを使用して)。一実施形態では、上述のように、又は他の好適な方法で実行される、ウェハ・レベルのプロセス・パラメータ変調を用いて、ウェハと追加のウェハ(例えば、2つ又はそれ以上のウェハ)が処理される。これらのプロセス・パラメータを変形して、結果として得られるウェハの測定可能な物理的及び/又は電気的属性を許容限界に近づけることができる。それに加えて、この方法は、ウェハと追加のウェハ上のダイに対する検査データを共通の標準参照ダイと比較することによりウェハと追加のウェハ上の欠陥を検出することを含む。このように、ウェハ上の欠陥を検出することは、本明細書でさらに説明されているように実行される。このような一実施形態では、この方法は、「欠陥」の検出により測定されたとおりウェハ間の構造的な違いが生じるかどうかを判定することを含む。このようなアプローチは、統合PWQ(iPWQ)と呼ばれる。このように、本明細書で説明されている方法を使用することで、iPWQの実装を可能になる(例えば、iPWQに対する標準参照ダイ・アプローチを使用して)。そのとき、PWQ法は、ウェハ・レベルのプロセス・パラメータの変形を含み、かつiPWQ法を実装することを目的とする異なるウェハ上のダイと共通の標準参照ダイとの比較を含むように拡張される。   Thus, the methods described herein are used to enable wafer-to-wafer comparisons, which can be a potentially extremely useful application. One motivation for defect inspection using wafer-to-wafer comparison is to discover "systematic defect mechanisms" that can result from specific circuit layout interactions and wafer manufacturing process stacking tolerances. This discovery process involves comparing wafers that have been printed with the same device design but processed differently. The most deterministic approach is to transform process parameters in univariate or multivariate experiments (eg, using a systematic DOE approach). In one embodiment, a wafer and additional wafers (eg, two or more wafers) are used using wafer level process parameter modulation, as described above, or performed in other suitable manners. It is processed. These process parameters can be modified to bring the measurable physical and / or electrical attributes of the resulting wafer closer to acceptable limits. In addition, the method includes detecting defects on the wafer and the additional wafer by comparing inspection data for the wafer and the die on the additional wafer with a common standard reference die. Thus, detecting defects on the wafer is performed as described further herein. In one such embodiment, the method includes determining whether a structural difference between the wafers occurs as measured by “defect” detection. Such an approach is called integrated PWQ (iPWQ). Thus, using the methods described herein enables implementation of iPWQ (eg, using a standard reference die approach to iPWQ). At that time, the PWQ method is expanded to include wafer level process parameter variations and to include a comparison of dies on different wafers with a common standard reference die intended to implement the iPWQ method. The

対照的に、リソグラフィに起因する「系統的欠陥機構」の発見は、本明細書で全体が述べられているかのように参照により組み込まれている、Petersonらの米国特許第6,902,855号において説明されている方法、及びKLA−Tencorから市販されているPWQ製品を使用して実行される。PWQは、設計−リソグラフィ相互作用を決定するために焦点と露光を変数として使用し、リソグラフィ・ツールがレチクル・ショット・レベルでリソグラフィ露光プロセス・パラメータを変形する独自の機能を利用する。このアプリケーションは、OPC検証に使用されることが多い。しかし、PWQは、変調された焦点及び/又は露光パラメータにより印刷されたウェハ上のダイの直接的比較に限られる。エッチング、堆積、熱処理、化学機械研磨(CMP)などのプロセスステップに関連付けられた他のプロセス変数の影響は、これらの変数がウェハ・レベルでしか変形されないため、PWQにより直接的に評価されない。しかし、これらのプロセス変数に関連付けられている、又はこれらのプロセス変数により引き起こされる系統的欠陥機構は、本明細書で説明されている方法を使用することで発見される。特に、本明細書で説明されている方法は、ウェハ−ウェハ間比較によりPWQ型アプリケーションにおいて非リソグラフィ・プロセス変調を調べるために使用される。   In contrast, the discovery of “systematic defect mechanisms” due to lithography is incorporated by reference as if set forth herein in its entirety, US Pat. No. 6,902,855 to Peterson et al. And the PWQ product commercially available from KLA-Tencor. PWQ uses focus and exposure as variables to determine design-lithography interactions, and utilizes a unique ability for lithography tools to transform lithographic exposure process parameters at the reticle shot level. This application is often used for OPC verification. However, PWQ is limited to direct comparison of dies on wafers printed with modulated focus and / or exposure parameters. The effects of other process variables associated with process steps such as etching, deposition, heat treatment, chemical mechanical polishing (CMP), etc. are not directly evaluated by PWQ because these variables are only deformed at the wafer level. However, systematic defect mechanisms associated with or caused by these process variables are discovered using the methods described herein. In particular, the method described herein is used to examine non-lithographic process modulation in PWQ type applications by wafer-to-wafer comparison.

スキャン・ベースの欠陥検出システムでは、「サブピクセル」イメージ・アライメントによりダイ−ダイ・イメージ減算を実行し、差分イメージ・レジストレーション・ノイズを低減し、これにより、欠陥に対する感度を高めることが可能になる。欠陥は、1つ又は複数のしきい値を超える差分イメージ内のピクセルを検出することにより識別される。スキャン・ベースのイメージ収集プロセスは、RTAと呼ばれることが多いフィードバック機構を含む。この機構は、取り込まれたイメージを現在のイメージよりも少し前に同じウェハから取り込まれた(複数の)イメージに正確にアラインさせる。検査システムの構成に応じて、フィードバック機構は、光学機械的アプローチ、電気機械的アプローチ、電子/アルゴリズム的アプローチの組合せを含む。   Scan-based defect detection systems can perform die-to-die image subtraction with “sub-pixel” image alignment to reduce differential image registration noise and thereby increase sensitivity to defects Become. Defects are identified by detecting pixels in the difference image that exceed one or more thresholds. The scan-based image acquisition process includes a feedback mechanism often referred to as RTA. This mechanism accurately aligns the captured image with the image (s) captured from the same wafer slightly before the current image. Depending on the configuration of the inspection system, the feedback mechanism includes a combination of an optomechanical approach, an electromechanical approach, and an electronic / algorithmic approach.

一実施形態では、本明細書で説明されている方法は、格納されているイメージを試験対象のウェハについて取り込まれたイメージではなく参照として使用するRTAを含む。格納されているイメージは、「標準参照ウェハ」又は参照ウェハのイメージあってよい。試験対象のウェハ上のそれぞれのダイを標準参照ウェハ上の対応するダイと比較することができる。本明細書では実施形態は2つのウェハ又はウェハのイメージの比較結果を含むものとして説明されているが、それらの実施形態は、2つ又はそれ以上のウェハの検査により取り込まれたデータを比較することを含むことは理解されるであろう。   In one embodiment, the method described herein includes an RTA that uses the stored image as a reference rather than the captured image for the wafer under test. The stored image may be a “standard reference wafer” or an image of a reference wafer. Each die on the wafer under test can be compared to a corresponding die on a standard reference wafer. Although embodiments are described herein as including results of comparing two wafers or images of wafers, those embodiments compare data captured by inspection of two or more wafers. It will be understood that this includes.

図4は、ウェハ−ウェハ間比較を実行するためのコンピュータ実施方法のさまざまな実施形態を例示している。図4に示されているステップは、方法の実施に本質的ではないことに留意されたい。1つ又は複数のステップを図4に例示されている方法から省いたり、又は追加したりすることができ、又はこの方法は、そのまま、この実施形態の範囲内で実施される。   FIG. 4 illustrates various embodiments of computer-implemented methods for performing wafer-to-wafer comparisons. Note that the steps shown in FIG. 4 are not essential to the implementation of the method. One or more steps may be omitted from or added to the method illustrated in FIG. 4, or the method may be implemented as such within the scope of this embodiment.

ステップ220に示されているように、この方法は、ウェハ−ウェハ間比較を含む。一実施形態では、ウェハ−ウェハ間比較は、ステップ222に示されているように、参照ウェハ・イメージと試験ウェハ・イメージとを比較することを含む。例えば、本明細書で説明されている方法において使用される参照ウェハ・イメージは、参照ウェハ全体の格納されているイメージとすることができる。参照ウェハ・イメージと試験ウェハ・イメージとの比較は、本明細書で説明されている通りに実行される。それとは別に、ステップ224に示されているように、ウェハ−ウェハ間比較は、標準参照ダイ・イメージをウェハ(例えば、参照又は試験ウェハ)上のすべてのダイのイメージと比較することを含む。   As shown in step 220, the method includes a wafer-to-wafer comparison. In one embodiment, the wafer-to-wafer comparison includes comparing a reference wafer image and a test wafer image, as shown in step 222. For example, the reference wafer image used in the methods described herein can be a stored image of the entire reference wafer. The comparison of the reference wafer image and the test wafer image is performed as described herein. Alternatively, as shown in step 224, the wafer-to-wafer comparison includes comparing a standard reference die image with the image of all dies on the wafer (eg, a reference or test wafer).

一実施形態では、この方法は、検査データ、標準参照ダイ、標準参照ダイ・ベースの検査に対する摂動行列における標準参照ダイに関連するウェハ・ノイズの表現を使用してウェハ上の欠陥を検出することを含む。このように、この方法は、摂動行列の形で標準参照ダイに関連するウェハ・ノイズの比較的コンパクトな表現を使用することを含む。例えば、参照ウェハ上の参照ダイのイメージは、参照ウェハ上でダイ毎にダイ・ピクセルがどのように変化するかを示す摂動行列又は他の好適なデータ構造体に加えて格納される。参照ウェハ・イメージ全体の代わりに摂動行列に加えて参照ダイのイメージを格納することにより、参照ウェハのよりコンパクトな表現を格納することができる。このように、摂動行列を参照ウェハの表現に含めて、参照ウェハ・イメージ・サイズを実用的で手頃な範囲において実装されるレベルまで縮小することができる。この方法は、ノイズ・シグネチャの摂動行列圧縮を使用することを含む標準参照ダイ・ベースの検査を含む。   In one embodiment, the method detects defects on the wafer using a representation of the wafer noise associated with the standard reference die in a perturbation matrix for inspection data, standard reference die, standard reference die based inspection. including. Thus, the method includes using a relatively compact representation of wafer noise associated with a standard reference die in the form of a perturbation matrix. For example, the image of the reference die on the reference wafer is stored in addition to a perturbation matrix or other suitable data structure that indicates how the die pixels change from die to die on the reference wafer. By storing the image of the reference die in addition to the perturbation matrix instead of the entire reference wafer image, a more compact representation of the reference wafer can be stored. In this way, the perturbation matrix can be included in the representation of the reference wafer to reduce the reference wafer image size to a level that is implemented in a practical and affordable range. The method includes standard reference die-based inspection that includes using perturbation matrix compression of noise signatures.

参照ウェハ・イメージと対応する摂動行列を生成するには、参照ウェハから得られる標準参照ダイを使用して標準参照ダイ・ベースの検査が必要になる(つまり、ある種の自己参照)。参照ウェハ上の単一の標準参照ダイ・イメージは、実行時にRTA参照として使用され、これにより、RTA性能が感度、さらには、参照ウェハ上のそれぞれのダイについて格納されている圧縮された差分データにより摂動される基準イメージに及ぼすと思われる影響を低減することができる。格納される差分データのサイズは、圧縮アルゴリズムを通じて、それだけでなく、ダイ・スワス当たりの全対象領域サイズに制限を課すことにより低減される。実行時に、ロードされた対応する標準参照ダイ・スワス毎にスワスにより参照ウェハ全体について差分イメージ・データの摂動行列がロードされる。ウェハ全体に対する摂動行列のデータ量は、約1Gbから約3Gb程度でよく、標準参照ダイに対するデータ量は、1Gb程度としてよい。標準参照ダイの比較を含む本明細書で説明されている他のすべての方法では、上述のように摂動行列を使用することができる。   Generating a perturbation matrix corresponding to a reference wafer image requires standard reference die-based inspection using a standard reference die obtained from the reference wafer (ie, some kind of self-reference). A single standard reference die image on the reference wafer is used as the RTA reference at run time, which makes the RTA performance sensitive and even the compressed differential data stored for each die on the reference wafer. It is possible to reduce the influence that is considered to be exerted on the reference image that is perturbed. The size of the stored differential data is reduced through the compression algorithm, but also by imposing a limit on the total target area size per die swath. At run time, a perturbation matrix of difference image data is loaded for the entire reference wafer by swath for each corresponding standard reference die swath loaded. The data amount of the perturbation matrix for the entire wafer may be about 1 Gb to about 3 Gb, and the data amount for the standard reference die may be about 1 Gb. In all other methods described herein, including comparison of standard reference dies, a perturbation matrix can be used as described above.

摂動行列は、1つの行内にm個のダイがある場合にP1(x,y),Dx(1,2),Dy(1,2),Diff1,2(x,y);P2(x,y),Dx(2,3),Dy(2,3),Diff2,3(x,y);...Pm-1(x,y),Dx(m−1,m),Dy(m−1,m),Diffm-1,m(x,y)であるが、ただし、Pi(x,y)は、位置(x,y)のi番目のダイにおけるピクセル値であり、Dx(i,i+1)とDy(i,i+1)は、ダイ(i+1)に関するダイ(i)のそれぞれx及びyにおけるオフセットであり、Diffi,i+1(x,y)は、ダイ(i)のフレームにアラインさせるためにダイ(i+1)がx及びyオフセットだけシフトされた後の位置x,yにおけるダイ(i)に関するダイ(i+1)の差分グレー・レベルである。しかし、補間誤差限界内で、P2(x,y)は、P1(x,y)、Dx(1,2)、Dy(1,2)、Diff1,2(x,y)から再構成される。それに加えて、Pi(x,y)は、これらのステップをそれぞれのダイに次々に適用することにより他のダイについて再構成される。もちろん、このことで、補間誤差が大きくなる可能性があり、またダイからダイへ進むにつれイメージがぼける可能性もある。 The perturbation matrix is P 1 (x, y), D x (1, 2), D y (1, 2), Diff 1 , 2 (x, y) when there are m dice in one row; P 2 (x, y), D x (2, 3), D y (2, 3), Diff 2 , 3 (x, y); . . P m−1 (x, y), D x (m−1, m), D y (m−1, m), Diff m−1, m (x, y), where P i ( x, y) is the pixel value at the i-th die at position (x, y), and D x (i, i + 1) and D y (i, i + 1) are the values of die (i) for die (i + 1). Are the offsets in x and y, respectively, and Diff i, i + 1 (x, y) is the position x after die (i + 1) is shifted by x and y offset to align with the frame of die (i). , Y is the differential gray level of die (i + 1) with respect to die (i). However, within the interpolation error limit, P 2 (x, y) is P 1 (x, y), D x (1, 2), D y (1, 2), Diff 1 , 2 (x, y). Reconstructed from In addition, P i (x, y) is reconstructed for the other dies by applying these steps to each die in turn. Of course, this can lead to large interpolation errors, and the image can become blurred as it goes from die to die.

しかし、標準参照ダイが、格納され、すべての補間が、このダイに関して実行される場合、上述の推移的誤差累積は生じない。むしろ、誤差は、オフセットと差分イメージが与えられた場合に、単純に、標準参照ダイからウェハ上のダイを再構成することに関連する補間誤差である。したがって、ステップ226に示されているように、この方法は、標準参照ダイに関してそれぞれのダイの差分イメージを保存することを含む。   However, if a standard reference die is stored and all interpolation is performed on this die, the above transitive error accumulation does not occur. Rather, the error is simply an interpolation error associated with reconstructing the die on the wafer from the standard reference die given the offset and difference images. Thus, as shown in step 226, the method includes storing a differential image of each die with respect to the standard reference die.

図5は、比較の参照としてそのような差分イメージを使用してウェハ−ウェハ間比較を実行する方法の一実施形態を例示している。例えば、参照ウェハ250は、多数のダイ[(0,0),(0,1)...(4,2)]を含むが、そのうちの1つ(例えば、ダイ(2,2))は、標準参照ダイとして指定される。試験ウェハとの比較のため使用される参照ウェハ252は、標準参照ダイ・イメージ254に関してダイのそれぞれについて差分イメージ[Diff(0,0),Diff(0,1)...Diff(4,2)]を格納することにより生成される。次いで、試験ウェハ256を参照ウェハ252と比較する。例えば、図5に示されているように、欠陥検出は、標準参照ダイ・イメージ254と対応する差分イメージ(Diff(1,3))を加算し、次いで、試験ダイ(1,3)を減算して、試験ダイ(1,3)と参照ダイ(1,3)との差分258を生成することにより、試験ダイ(1,3)について実行される。   FIG. 5 illustrates one embodiment of a method for performing a wafer-to-wafer comparison using such a difference image as a comparison reference. For example, the reference wafer 250 may include a number of dies [(0,0), (0,1). . . (4,2)], one of which (eg, die (2,2)) is designated as the standard reference die. The reference wafer 252 used for comparison with the test wafer is a differential image [Diff (0,0), Diff (0,1). . . Diff (4,2)] is generated. Test wafer 256 is then compared to reference wafer 252. For example, as shown in FIG. 5, defect detection adds the standard reference die image 254 and the corresponding difference image (Diff (1,3)) and then subtracts the test die (1,3). The test die (1, 3) is then executed by generating a difference 258 between the test die (1, 3) and the reference die (1, 3).

したがって、ダイ(試験対象の)と標準参照ダイとの差分イメージは、コンパクトに表現される。高度のコンパクト化を行うために、不可逆圧縮アルゴリズムを使用することができる。このような圧縮方式で失われる可能性のある情報は、その方式自体に依存する。例えば、図4のステップ228に示されているように、この方法は、差分イメージの非クリティカル領域に対し不可逆圧縮を実行し、差分イメージのクリティカル領域に対し可逆圧縮を実行することを含む。このように、あまりクリティカルでないデバイス領域については、クリティカルな領域に比べて高い情報喪失を被ることを許すような「インテリジェント」な圧縮方式を使用することができる。参照ウェハ・イメージについても、同様の圧縮方式を使用することができる。例えば、ステップ230に示されているように、この方法は、ウェハ・イメージの非クリティカル領域に対しては不可逆圧縮を実行し、ウェハ・イメージのクリティカル領域に対しては可逆圧縮を実行することを含む。   Therefore, the difference image between the die (test object) and the standard reference die is expressed compactly. A lossy compression algorithm can be used to achieve a high degree of compaction. Information that can be lost in such a compression scheme depends on the scheme itself. For example, as shown in step 228 of FIG. 4, the method includes performing irreversible compression on the non-critical region of the difference image and performing lossless compression on the critical region of the difference image. In this way, an “intelligent” compression scheme can be used for a less critical device region that allows a higher loss of information than a critical region. A similar compression scheme can be used for the reference wafer image. For example, as shown in step 230, the method performs irreversible compression on non-critical areas of the wafer image and reversible compression on critical areas of the wafer image. Including.

それとは別に、この方法は、ステップ232に示されているように、標準参照ダイに関してピクセル毎の差分統計量を保存することを含む。例えば、ステップ234に示されているように、この方法は、コンテキスト・タイプ毎にダイ毎の統計量を格納することを含む。それぞれのダイは、1つ又は複数のコンテキスト・タイプに分けられ、これは本明細書でさらに説明されているように実行される。このような一例では、この方法は、ダイの異なるグループに関して標準参照ダイにおけるそれぞれの(x,y)位置の差分に関して統計量を記録することを含む。ステップ236に示されているように、コンテキストは、ダイ領域であってよい。それとは別に、ステップ238に示されているように、コンテキストは、バックグラウンド・タイプであってよい。ピクセル毎の差分統計量を適当な方法で決定することができる。   Alternatively, the method includes storing per-pixel difference statistics for the standard reference die, as shown in step 232. For example, as shown in step 234, the method includes storing per die statistics for each context type. Each die is divided into one or more context types, which are performed as described further herein. In one such example, the method includes recording statistics for the difference of each (x, y) position in the standard reference die for different groups of dies. As shown in step 236, the context may be a die area. Alternatively, as shown in step 238, the context may be a background type. Difference statistics for each pixel can be determined in a suitable manner.

他の例では、ウェハは、N個の放射状セクタ及び/又はM個のアニュラ・リングに分割される。例えば、図6に示されているように、ウェハ260は、アニュラ・リング1、2、3に分割される。ウェハ260は、3つのアニュラ・リングに分割されているように示されているが、ウェハは任意の適当な数のアニュラ・リングに分割できることは理解されるであろう。それに加えて、又はそれとは別に、図7に示されているように、ウェハ260は、ウェハ・セクタA、E、C、D、E、F、G、Hに分割される。ウェハ260は、8つのセクタに分割されているように示されているが、ウェハは任意の適当な数のセクタに分割されることは理解されるであろう。この方法は、図4のステップ240に示されているように、ピクセル毎ウェハ・セクタ及び/又はアニュラ・リング毎の統計量を保存することを含む。そのような一例では、(N+M)個のパーティションのそれぞれについて、(x,y)位置の標準参照ダイ・イメージに関する差分の平均と標準偏差を記録することができる。8ビットの平均及び8ビットの標準偏差を使用するには、ウェハ上のダイ毎に差分の1バイトを格納することに対して、それぞれの(x,y)位置で2*(N+M)バイトを格納する必要がある。このように、ウェハ上に100個のダイがある場合、8個のセクタと8個のアニュラ・リングを使用するには、(x,y)位置毎に100バイトに対し(x,y)位置毎に32バイトを必要とする。他の例では、この方法は、ステップ242に示されているように、コンテキスト・タイプ毎に上述のようにウェハ・セクタ及び/又はアニュラ・リング毎に統計量を格納することを含む。コンテキスト・タイプは、ステップ244に示されているように、ダイ領域に基づいていてよい。それとは別に、コンテキスト・タイプは、ステップ246に示されているように、バックグラウンド・タイプに基づいていてよい。コンテキスト・タイプ毎の統計量、及びコンテキスト・タイプは、本明細書で説明されているように決定される。   In another example, the wafer is divided into N radial sectors and / or M annular rings. For example, as shown in FIG. 6, the wafer 260 is divided into annular rings 1, 2, and 3. Although wafer 260 is shown as being divided into three annular rings, it will be understood that the wafer can be divided into any suitable number of annular rings. In addition or alternatively, as shown in FIG. 7, wafer 260 is divided into wafer sectors A, E, C, D, E, F, G, and H. Although wafer 260 is shown as being divided into eight sectors, it will be understood that the wafer is divided into any suitable number of sectors. The method includes storing per-pixel wafer sectors and / or per-annular statistics as shown in step 240 of FIG. In such an example, for each of (N + M) partitions, the average and standard deviation of the differences for the standard reference die image at (x, y) position can be recorded. To use an 8-bit average and 8-bit standard deviation, store 1 byte of difference for each die on the wafer, versus 2 * (N + M) bytes at each (x, y) location. Must be stored. Thus, if there are 100 dies on the wafer, to use 8 sectors and 8 annular rings, (x, y) positions for 100 bytes per (x, y) position Each requires 32 bytes. In other examples, the method includes storing statistics for each wafer sector and / or annular ring as described above for each context type, as shown in step 242. The context type may be based on die area, as shown in step 244. Alternatively, the context type may be based on the background type, as shown in step 246. The statistics for each context type, and the context type are determined as described herein.

図8は、アニュラ・リング・ベース毎に標準参照ダイ上のそれぞれの(x,y)位置について統計量が格納される場合にそのような方式をどのように実行できるかを示している。特に、図8は、参照としてアニュラ・リングによる差分統計量を使用してウェハ−ウェハ間比較を実行する方法の一実施形態を例示している。例えば、図8に示されているように、参照ウェハ262は、多数のダイ[(0,0),(0,1)...(4,2)]を含むが、そのうちの1つ(例えば、ダイ(2,2))は、標準参照ダイとして指定される。試験ウェハとの比較に使用される参照ウェハ264は、ピクセル(x,y)における平均差分とアニュラ・リング毎の標準参照ダイ・イメージ266に関するピクセル(x,y)における差分の標準偏差を決定することにより生成される。試験ウェハ268(試験ウェハ上にオーバーレイされたアニュラ・リングとともに図8に示されている)を参照ウェハ264と比較することができる。例えば、試験ダイ(1,3)は、標準参照ダイ・イメージ266から減算され、これにより、試験ダイ(1,3)と標準参照ダイ・イメージ266との差分270を生成する。さらに図8に示されているように、試験ダイ(1,3)は、アニュラ・リング1とアニュラ・リング2との間に配置される。したがって、ステップ272において、差分イメージ270をアニュラ・リング・ベース毎に試験ダイのそれぞれの(x,y)位置において統計量274(例えば、平均差分±k*差分の標準偏差)と比較する。言い換えると、アニュラ・リング1内に配置されている試験ダイの部分に対する差分270は、アニュラ・リング1の統計量と比較され、アニュラ・リング2内に配置されている試験ダイの部分に対する差分270は、アニュラ・リング2の統計量と比較される。   FIG. 8 shows how such a scheme can be performed when statistics are stored for each (x, y) location on the standard reference die for each annular ring base. In particular, FIG. 8 illustrates one embodiment of a method for performing a wafer-to-wafer comparison using a differential statistic with an annular ring as a reference. For example, as shown in FIG. 8, the reference wafer 262 includes a number of dies [(0,0), (0,1). . . (4,2)], one of which (eg, die (2,2)) is designated as the standard reference die. The reference wafer 264 used for comparison with the test wafer determines the average difference at pixel (x, y) and the standard deviation of the difference at pixel (x, y) with respect to the standard reference die image 266 for each annular ring. Is generated. Test wafer 268 (shown in FIG. 8 with an annular ring overlaid on the test wafer) can be compared to reference wafer 264. For example, test die (1,3) is subtracted from standard reference die image 266, thereby producing a difference 270 between test die (1,3) and standard reference die image 266. As further shown in FIG. 8, the test die (1, 3) is disposed between the annular ring 1 and the annular ring 2. Accordingly, in step 272, the difference image 270 is compared to a statistic 274 (eg, average difference ± k * standard deviation of difference) at each (x, y) position of the test die for each annular ring base. In other words, the difference 270 for the portion of the test die located in the annular ring 1 is compared with the statistics of the annular ring 1 and the difference 270 for the portion of the test die located in the annular ring 2. Is compared to the statistics of the annular ring 2.

標準参照ダイの格納のコンパクト化は、標準参照ダイ・データを統計量に基づいて格納することにより行える(例えば、ダイを複数のフレームに分割し、フレームを異なるジオメトリ(ビン範囲に従って分けられたコンテキスト)に分け、フレーム/コンテキスト毎に、ダイ−ダイ差分の平均/標準偏差を保存する)。例えば、図4のステップ248に示されているように、この方法は、標準参照ダイに関してダイ毎の、フレーム毎の、コンテキスト毎の差分統計量を保存することを含む。例えば、図9に示されているように、ダイ[(0,0),(0,1),...(M,N)]276の配列がウェハ278上に形成される。それに加えて、図10に示されているように、ダイ276を複数のフレーム280に分割する。ダイは、複数のフレーム280に分割され、それぞれのフレームのピクセルは、コンテキストに基づいて分割される(図10には示されていない)。それぞれのダイにおけるそれぞれのフレームのそれぞれの異なるコンテキストに対する差分統計量は、本明細書で説明されているように決定される。   Standard reference die storage can be compacted by storing standard reference die data based on statistics (eg, dividing a die into multiple frames and dividing the frames into different geometries (contexts separated according to bin ranges). ) And save the average / standard deviation of die-to-die differences for each frame / context). For example, as shown in step 248 of FIG. 4, the method includes storing per-die, per-frame, per-context difference statistics for a standard reference die. For example, as shown in FIG. 9, the die [(0,0), (0,1),. . . (M, N)] 276 is formed on the wafer 278. In addition, the die 276 is divided into a plurality of frames 280 as shown in FIG. The die is divided into a plurality of frames 280, and the pixels of each frame are divided based on context (not shown in FIG. 10). Difference statistics for each different context of each frame in each die are determined as described herein.

図11は、コンテキストでソートされた差分フレーム統計量を使用してウェハ−ウェハ間比較を実行する方法の一実施形態を例示している。図11に示されているように、参照ウェハ282は、多数のダイ[(0,0),(0,1)...(4,2)]を含むが、そのうちの1つ(例えば、ダイ(2,2))は、標準参照ダイとして指定される。試験ウェハ286と比較するために使用される参照284は、複数のフレーム280に分割されたダイ276と標準参照ダイ・イメージ288を含む。フレーム280は、上述のように構成される。参照284は、それぞれのフレーム及びそれぞれのダイに対するそれぞれのフレーム内のそれぞれのコンテキストに対する差分の平均と標準偏差などの統計量290を決定することにより生成される。試験ウェハ286上の欠陥を検出するために、試験ウェハを参照284と比較する。例えば、試験ダイ(1,3)における欠陥を検出するために、試験ダイ(1,3)を標準参照ダイ・イメージ288から減算して、試験ダイと標準参照ダイ・イメージとの差分292を生成する。ステップ294では、差分292を、フレーム毎に、またコンテキスト・ベース毎に参照ウェハ282のダイ(1,3)に対する統計量290(例えば、それぞれのフレーム及びコンテキストに対する差分の平均と標準偏差)と比較する。   FIG. 11 illustrates one embodiment of a method for performing a wafer-to-wafer comparison using context-sorted difference frame statistics. As shown in FIG. 11, the reference wafer 282 includes a number of dies [(0,0), (0,1). . . (4,2)], one of which (eg, die (2,2)) is designated as the standard reference die. The reference 284 used to compare with the test wafer 286 includes a die 276 and a standard reference die image 288 divided into a plurality of frames 280. The frame 280 is configured as described above. Reference 284 is generated by determining statistics 290 such as the average and standard deviation of the differences for each context within each frame and each frame for each die. In order to detect defects on the test wafer 286, the test wafer is compared to the reference 284. For example, to detect defects in the test die (1, 3), the test die (1, 3) is subtracted from the standard reference die image 288 to produce a difference 292 between the test die and the standard reference die image. To do. In step 294, the difference 292 is compared with a statistic 290 (e.g., mean and standard deviation of the difference for each frame and context) for each frame and context, on a per die basis (1, 3) of the reference wafer 282. To do.

「標準参照ダイ」に欠陥がないということが知られていない場合、「研磨」方式を使用してアービトレーション(まったく欠陥がない参照ダイと1回比較することで欠陥検出を実行できる)を1回だけ実行できる。それに加えて、「研磨」は、「固有の」又は予想されるプロセス変動によりウェハ上で予想されるイメージ変動を標準参照ウェハが反映するように実行される。したがって、「欠陥のない」参照ウェハを生成するために、参照ウェハ上のすべてのダイについて標準参照ダイ「研磨」を実行することができる。   If it is not known that the “standard reference die” is free of defects, the “polishing” method is used to arbitrate once (defect detection can be performed by making a single comparison with a reference die that is completely free of defects). Can only run. In addition, “polishing” is performed such that the standard reference wafer reflects image variations expected on the wafer due to “inherent” or expected process variations. Thus, a standard reference die “polishing” can be performed on all dies on the reference wafer to produce a “defect-free” reference wafer.

以下の表1は、最大ダイ・サイズが40mm×40mm、最小検査ピクセル・サイズが90nm、ウェハ上の最大サイズ・ダイの数が44、最大サイズ・ダイの中のピクセルの数が1.975E÷11、フレーム・サイズが512×512ピクセル、最大サイズ・ダイ1つ当たりのフレーム数が7.535E+05、平均差分及び差分の標準偏差を格納するバイト数が2、最大サイズ・ダイのスワス1つ当たりのピクセル数が0.91Gpixel、最大サイズ・ダイ1つ当たりのスワス数が217、スワスの高さが2048ピクセルであると仮定して、上述のさまざまなウェハ−ウェハ間比較に対する参照データの近似的サイズを示している。標準参照ダイは、高さ2Kのセンサであることを仮定して、スワス1つ当たり197Gpixel又は0.91Gpixelを含む。それに加えて、参照ウェハ上のそれぞれのダイに対する差分イメージ又はその何らかの圧縮形式のイメージが格納されなければならない。   Table 1 below shows a maximum die size of 40 mm × 40 mm, a minimum inspection pixel size of 90 nm, a maximum size die number on the wafer of 44, and a number of pixels in the maximum size die of 1.975E ÷ 11. Frame size of 512 x 512 pixels, maximum size die per frame of 7.535E + 05, average difference and standard deviation difference of 2 bytes, per maximum size die swath Approximate reference data for the various wafer-to-wafer comparisons described above, assuming 0.91 Gpixel, 217 swaths per maximum size die, and 2048 swath height. Shows the size. The standard reference die includes 197 Gpixel or 0.91 Gpixel per swath, assuming a 2K height sensor. In addition, a differential image for each die on the reference wafer or some compressed image thereof must be stored.

Figure 0005465880
Figure 0005465880

表1は、差分イメージを格納するためのデータ・サイズが、ダイ毎のフレームとコンテキスト・ベースの統計量とを格納するためのデータ・サイズよりもかなり大きいことを明確に示している。しかし、最大の差分を有する差分ピクセルの一部(例えば、0.1%)とクリティカル領域内のピクセルを保存することで、差分イメージに必要なデータ・サイズは8727.8Gbyteから8.7Gbyteに減る。   Table 1 clearly shows that the data size for storing the difference image is significantly larger than the data size for storing the frames per die and context-based statistics. However, by saving some of the difference pixels with the largest difference (eg, 0.1%) and the pixels in the critical region, the data size required for the difference image is reduced from 877.8 Gbytes to 8.7 Gbytes. .

蛇行スキャン経路を使用して試験ウェハ上のダイを何回かスキャンし、検査データの多数のスワスを生成することができる。このような蛇行スキャンの一実施形態は、図12に示されている。図12に示されているように、試験ウェハ296は、ダイの配列[(0,0),(0,1)...(4,2)]を含む。試験ウェハ296は、蛇行スキャン298と蛇行スキャン300によりスキャンされる。2つの蛇行スキャンが図12に示されているが、試験ウェハは、任意の好適な回数を用いてスキャンされることは理解されるであろう。ダイ1つ当たり217個のスワスがあると仮定し、すべてのダイ行で同じ蛇行スキャンを実行することで、スワス1、次いでスワス2というように、すべてのダイについて標準参照ダイ・スワスと圧縮された差分とをロードすることができる。この場合、試験ウェハ・スキャンの参照データを格納するのに必要なメモリのサイズは、スワス1つ当たり(197+8.7)/217=0.95Gpixelである。   A serpentine scan path can be used to scan the die on the test wafer several times to generate multiple swaths of inspection data. One embodiment of such a serpentine scan is shown in FIG. As shown in FIG. 12, the test wafer 296 includes a die array [(0,0), (0,1). . . (4, 2)]. The test wafer 296 is scanned by a meander scan 298 and a meander scan 300. Although two serpentine scans are shown in FIG. 12, it will be appreciated that the test wafer is scanned using any suitable number of times. Assuming there are 217 swaths per die and performing the same serpentine scan on all die rows, all die are compressed with the standard reference die swath, such as swath 1, then swath 2. You can load the difference. In this case, the size of the memory required to store the test wafer scan reference data is (197 + 8.7) /217=0.95 Gpixel per swath.

標準参照ダイ−ダイ検査の実装で考えなければならないのは、ディスク入力/出力(I/O)速度、及び速度がスループットに影響を及ぼす場合である。「標準参照ダイ」のそれぞれのスワスを1回ロードすることにより、ディスクI/Oトラヒックを減らすことができる。ウェハをスキャンしてから次のスキャンまでの間のダイ・レベルのステップ動作によるウェハ全体にわたる蛇行スキャンとともに、このようなロードを使用できる(隣接ウェハ・スキャンの蛇行パターンに対して)。   A standard reference die-to-die inspection implementation must be considered when disk input / output (I / O) speed and speed affects throughput. By loading each swath of the “standard reference die” once, disk I / O traffic can be reduced. Such a load can be used (as opposed to the serpentine pattern of adjacent wafer scans) along with a serpentine scan across the wafer with die level stepping from one wafer scan to the next.

もちろん、本明細書で説明されている検査モードすべてについて、ディスク上に格納されている他方のイメージに対しディスク上に格納されている一方のイメージを使用して、又はリアルタイムでウェハから取り込んだばかりのメモリ内のイメージを使用して、検査を実行することができる。上述のデータはすべて、本明細書でさらに説明されるように格納又は保存され、本明細書で説明されている格納又は保存のステップはすべて、本明細書で説明されている任意の方法で実行される。   Of course, for all of the inspection modes described herein, one image stored on the disk was used relative to the other image stored on the disk or was just acquired from the wafer in real time. The image can be used to perform the inspection. All of the above data is stored or stored as further described herein, and all the storage or storage steps described herein are performed in any manner described herein. Is done.

上述のように、設計データ空間において検査データの位置を決定することは、ウェハの検査の後に実行される。このような一実施形態では、設計データ空間における検査データの位置を決定することは、ウェハ上で検出された欠陥に対応する検査データの部分について実行され、ウェハ上で検出された欠陥に対応していない検査データの部分については実行されない。この方法で、ピクセル又はウェハ空間から設計データ空間へのマッピング変換は、欠陥が見つかった配置にのみ適用される。言い換えると、この方法は、ウェハ上で検出された欠陥から設計データ空間への後処理マッピングを含むということである。それに加えて、それぞれのダイにおけるアライメント部位は、検査時に識別されるが、このアライン(例えば、アライン誤差測定)は、欠陥検出が後処理フェーズで完了した後に実行される。次いで、このマッピングを適用して、設計データ空間における欠陥の位置を見つける。   As described above, determining the position of inspection data in the design data space is performed after inspection of the wafer. In one such embodiment, determining the position of the inspection data in the design data space is performed on the portion of the inspection data corresponding to the defect detected on the wafer and corresponds to the defect detected on the wafer. The portion of the inspection data that has not been executed is not executed. In this way, the mapping transformation from pixel or wafer space to design data space is applied only to the arrangement in which the defect is found. In other words, the method includes post-processing mapping from defects detected on the wafer to the design data space. In addition, alignment sites on each die are identified during inspection, but this alignment (eg, alignment error measurement) is performed after defect detection is completed in the post-processing phase. This mapping is then applied to find the location of the defect in the design data space.

設計データ空間における検査データの位置を決定することを、いつ実行するか、又はどのように実行するかに関係なく、ウェハ上に1つ又は複数の欠陥が存在する場合に、検査データは、ウェハ上の1つ又は複数の欠陥に対するデータを含む。したがって、設計データ空間における1つ又は複数の欠陥の位置は、設計データ空間における検査データの位置から決定される。それに加えて、設計データ空間における1つ又は複数の欠陥の位置は、設計データ空間における検査データの位置と同じ、実質的に高い(例えば、サブピクセル)精度で有利に決定される。   Regardless of when or how to determine the location of inspection data in the design data space, if one or more defects are present on the wafer, the inspection data is Contains data for one or more defects above. Accordingly, the position of one or more defects in the design data space is determined from the position of the inspection data in the design data space. In addition, the location of the one or more defects in the design data space is advantageously determined with substantially the same (eg, subpixel) accuracy as the location of the inspection data in the design data space.

本明細書でさらに説明されているように、いくつかの実施形態では、ウェハをスキャンすることによりスワスにおける検査データを取り込むことができる。このような一実施形態では、それぞれのスワスにおけるアライメント部位に対するデータを所定のアライメント部位に対するデータにアラインさせることにより検査データのそれぞれのスワスを個別に設計データ空間にアラインさせることができるが、これは、上述のように実行される。   As described further herein, in some embodiments, inspection data in a swath can be captured by scanning a wafer. In such an embodiment, each swath of test data can be individually aligned in the design data space by aligning the data for the alignment site in each swath with the data for the predetermined alignment site, , Executed as described above.

異なる実施形態では、検査データの位置を決定することは、設計データ空間におけるアライメント部位の位置に基づいて設計データ空間内の検査データのスワスの位置を決定することと、設計データ空間におけるスワスの位置に基づいて設計データ空間における検査データの追加のスワスの位置を決定することとを含む。この方法で、上述のように検査データの1つのスワスを設計データ空間にアラインさせることができ(例えば、検査データのスワスにおけるウェハ上のアライメント部位に対するデータを所定のアライメント部位に対するデータにアラインさせることにより)、検査データの追加のスワスを検査データのこのスワスにアラインさせることができる。   In different embodiments, determining the position of the inspection data includes determining the position of the swath of the inspection data in the design data space based on the position of the alignment site in the design data space and the position of the swath in the design data space. Determining the location of the additional swaths of the inspection data in the design data space. In this way, one swath of inspection data can be aligned to the design data space as described above (eg, aligning data for an alignment site on a wafer in a swath of inspection data to data for a predetermined alignment site. The additional swath of inspection data can be aligned with this swath of inspection data.

例えば、図13に示されているように、スワス(例えば、スワス#N+1)を、スワス間イメージ・アライメントを使用して前のスワス(例えば、スワス#N)にアラインさせることができる。特に、図13に示されているように、スワス#N+1と#Nは、ウェハ空間内の領域41において部分的に互いに重なり合う。したがって、スワスは両方とも、領域41内に形成されたフィーチャに対する検査データを含むことになる。その際、これらのフィーチャに対する検査データは、一方のスワスを他方のスワスにアラインさせるために使用される。そのような一例において、図14は、2つの連続するスキャンに対する検査データが重なるウェハ空間においてスワス間オーバーラップ領域41内に形成されたフィーチャ41aと41bを例示している。フィーチャ41a、41bは、スワス−スワス間レジストレーションを実行するために使用される。フィーチャ41a、41bは、さらに、他のアライメント・フィーチャに関して本明細書で説明されているように構成される。   For example, as shown in FIG. 13, a swath (eg, swath # N + 1) can be aligned to a previous swath (eg, swath #N) using inter-swath image alignment. In particular, as shown in FIG. 13, swaths # N + 1 and #N partially overlap each other in a region 41 in the wafer space. Thus, both swaths will contain inspection data for features formed in region 41. In doing so, the inspection data for these features is used to align one swath with the other swath. In one such example, FIG. 14 illustrates features 41a and 41b formed in the inter-swath overlap region 41 in a wafer space where inspection data for two successive scans overlap. Features 41a, 41b are used to perform swath-to-swath registration. Features 41a, 41b are further configured as described herein with respect to other alignment features.

このように、ダイ行に対する第1のスワスが、そのダイ行内のアライメント部位(又は複数の部位)に対するデータを設計データベース又は本明細書で説明されている他の所定のアライメント部位データからレンダリングされたイメージにアラインさせることにより設計データ空間にアラインさせられている場合、そのダイ行の後のスワスは、本明細書で説明されている技術を使用してアラインされる。特に、設計データ空間に関するスワス#Nの位置とスワス内のアライメント・フィーチャの位置とを使用することで、設計データ空間に関するスワス#N+1の位置を決定することができる。例えば、スワス#N+1の位置を決定することは、スワス#Nの取り込みスキャン実行時に取り込まれたアライメント・フィーチャ・イメージを格納し、次いで、アライメント・フィーチャ・イメージをスワス#N+1の取り込み時に取り込まれた同じフィーチャのイメージにアラインさせることにより、実行される。2つのアライメント・フィーチャ・イメージの間のミスアライメント・オフセットを決定することにより、設計データ空間に関するスワス#N+1の絶対位置が決定される。   Thus, the first swath for a die row has been rendered from the design database or other predetermined alignment site data described herein for the alignment site (or sites) in that die row. If aligned to the design data space by aligning to the image, the swath after the die row is aligned using the techniques described herein. In particular, by using the position of swath #N with respect to the design data space and the position of the alignment feature within the swath, the position of swath # N + 1 with respect to the design data space can be determined. For example, determining the location of swath # N + 1 stores the alignment feature image captured when performing a swath #N capture scan, and then the alignment feature image is captured when swath # N + 1 is captured. This is done by aligning images of the same feature. By determining the misalignment offset between the two alignment feature images, the absolute position of swath # N + 1 with respect to the design data space is determined.

検査レシピーのセットアップ時に、連続するスワス間の比較的大きなオーバーラップ(例えば、50%のオーバーラップ)でウェハをスキャンし、スワス間オーバーラップ領域内の好適なアライメント部位を決定することができる。これらの部位位置を使用することで、対応する前のスワスに関するそれぞれのスワスの位置を決定することができる。所定のアライメント部位をウェハ上のアライメント部位にアラインさせる上述の方法を用いて設計データ空間に関する第1のスワスの位置を使用し、また第1のスワスと第2のスワスの間のオーバーラップ領域内のアライメント部位を使用して第1の決定された部位に関して第2のスワスのシフトを使用することで、設計データ空間に関する第2のスワスの絶対位置を決定することができる。それぞれの後続のスワスに対しこの手順を繰り返すことにより、ダイ全体のピクセルを設計データ空間にマッピングすることができる。   During inspection recipe setup, the wafer can be scanned with a relatively large overlap (eg, 50% overlap) between successive swaths to determine suitable alignment sites within the inter-swath overlap region. By using these site positions, the position of each swath with respect to the corresponding previous swath can be determined. Using the position of the first swath with respect to the design data space using the above-described method for aligning a predetermined alignment site with the alignment site on the wafer, and in the overlap region between the first swath and the second swath Using the second swath shift with respect to the first determined portion using the first alignment portion, the absolute position of the second swath with respect to the design data space can be determined. By repeating this procedure for each subsequent swath, the pixels of the entire die can be mapped to the design data space.

こうして、好適なアライメント部位は(上述の方法を使用して)、それぞれの検査スワス(つまり、スワス間のオーバーラップがダイを完全にスキャンできるように最小のオーバーラップである検査時に使用されるスワス)内に少なくとも1つのそのような部位があるように選択される。設計データ空間におけるこれらのアライメント部位の位置は、それぞれのアライメント部位のパッチ・イメージとともに検査レシピーに保存される。検査時に、それぞれのスワスについて、対応するアライメント部位が、レシピーから取り出され、その位置が、検査システムにより取り込まれたピクセル・ストリームにおいて決定される。アライメント部位がピクセル・ストリーム内に配置された後、相互相関又は他のイメージ・マッチング技術を使用して、検査スワス内のピクセルの位置を設計データ座標空間においてサブピクセル精度で決定する。この方法の一利点は、ダイ全体に対するピクセルを設計データ座標空間にマッピングするために使用されるスワス「縫合」を実行し、それぞれの検査スワス内に出現するこの空間内の好適なアライメント部位を見つけるためにセットアップ・スワス(レシピー・セットアップにのみ使用される)を比較的大きなオーバーラップで取り込む一方で、比較的小さなオーバーラップで検査スワスを取り込める(したがって、速度が向上する)ことである。スワスを縫合する技術は、例えば、領域センサを使用してフィールド毎に取り込むために、異なるスキャン・パターンに適用されることに留意されたい。フィールドは、上述の方法と似た方法でともに縫合される。   Thus, a preferred alignment site (using the method described above) can be used for each inspection swath (ie, the swath used during inspection where the overlap between swaths is the smallest overlap so that the die can be scanned completely). ) So that there is at least one such site within. The positions of these alignment parts in the design data space are stored in the inspection recipe together with the patch images of the respective alignment parts. At the time of inspection, for each swath, the corresponding alignment site is removed from the recipe and its position is determined in the pixel stream captured by the inspection system. After the alignment site is placed in the pixel stream, the position of the pixel in the inspection swath is determined with sub-pixel accuracy in the design data coordinate space using cross-correlation or other image matching techniques. One advantage of this method is that it performs swath “stitching” that is used to map the pixels for the entire die to the design data coordinate space and finds suitable alignment sites in this space that appear in each inspection swath. Therefore, the setup swath (used only for recipe setup) can be captured with a relatively large overlap, while the inspection swath can be captured with a relatively small overlap (and thus speed is increased). It should be noted that the swath stitching technique is applied to different scan patterns, for example, to capture field by field using an area sensor. The fields are stitched together in a manner similar to that described above.

設計データ空間に関してそれぞれのスワスをアラインさせることに対し上述の実施形態が勝る他の利点は、アライメント部位に対するデータが設計データからレンダリングされることであるが、この方式だと、アライメント部位が少なくて済むことにある。それに加えて、特にウェハに複数の層が形成されている場合に、ウェハ上に所与のフィーチャがどのように印刷されるかを予測するために使用されるモデルが複雑になるため、設計データから忠実にアライメント部位に対するデータをレンダリングすることは、難題をもたらす可能性がある。しかし、上記のように、所定のアライメント部位に対するデータを、検査されている層に基づいて選択されるさまざまな異なる方法で取り込むことができ、これにより、検査されている層に関係なく、所定のアライメント部位に対する好適なデータを供給することができる。   Another advantage of the above embodiment over aligning each swath with respect to the design data space is that the data for the alignment site is rendered from the design data, but this approach reduces the number of alignment sites. There is to be finished. In addition, the design data is complicated by the complexity of the model used to predict how a given feature will be printed on the wafer, especially when multiple layers are formed on the wafer. Rendering the data for the alignment site faithfully from can be challenging. However, as described above, data for a given alignment site can be captured in a variety of different ways selected based on the layer being inspected, so that, regardless of the layer being inspected, Suitable data for the alignment site can be provided.

上述のように、検査データを設計データにアラインさせるために、カバレッジ・モードで「短いスワス」を使用するスワス縫合を使用することができる。しかし、いくつかの実施形態では、図14aに示されているように、アライメント部位302は、第1の検査スワス304aに対応するウェハ上の領域から相隔てられる(例えば、遠く離れている)ウェハ上に配置される。このような状況は、好適なアライメント部位のみが第1の検査スワスについてスキャンされたウェハの領域から隔てられている場合に発生する。第1の検査スワスの配置は、検査対象領域定義から決定される(例えば、自動的に定義されるか、又はユーザーにより定義された検査対象領域)。このような状況では、本明細書で説明されている方法又はシステムは、図14aに示されているように、ウェハ上で一連の「ミニスキャン」306をそれぞれダイ1つ分の幅だけ実行することができる。ミニスキャンにより取り込まれたスワスは、上述のスワス間アライメント方法を使用し第1の検査スワス304aとともにアライメント部位を含むスワスを「縫合」するために使用される。次いで、後続の検査スワス304b、304cは、さらに上で説明されているように第1の検査スワス304aにアラインされる。   As described above, swath stitching using “short swaths” in coverage mode can be used to align inspection data with design data. However, in some embodiments, as shown in FIG. 14a, the alignment site 302 is spaced (eg, far away) from the area on the wafer corresponding to the first inspection swath 304a. Placed on top. This situation occurs when only the preferred alignment site is separated from the area of the wafer scanned for the first inspection swath. The placement of the first inspection swath is determined from the inspection area definition (eg, an inspection area that is automatically defined or defined by the user). In such a situation, the method or system described herein performs a series of “miniscans” 306 on the wafer, each as wide as one die, as shown in FIG. 14a. be able to. The swath captured by the mini-scan is used to “stitch” the swath including the alignment site together with the first inspection swath 304a using the inter-swath alignment method described above. Subsequent inspection swaths 304b, 304c are then aligned to the first inspection swath 304a as described further above.

本明細書で説明されている方法及びシステムは、多数の異なる方法でウェハに対する検査スワスを取り込むことができる。例えば、図14bに示されているように、システムは、100%検査モードでウェハに対する検査スワス308を取り込むことができる。特に、システムは、ウェハを前後にスキャンして、ダイ領域の100%を検査するために使用されるオーバーラップするスワスを取り込む。他の例では、図14cに示されているように、システムは、標準カバレッジ・モードでウェハに対する検査スワス310を取り込むことができる。このカバレッジ・モードでは、スワスが取り込まれたウェハ上の領域は、ダイ領域の約25%から約50%としてよい。図14cに示されているスワスは、検査に交互に並ぶスワスが使用される50%カバレッジ・モードに対応する。異なる例では、図14dに示されているように、システムは、「スマート・スキャニング」モードでウェハに対する検査スワス312を取り込むことができる。このモードでは、ダイ領域の約50%をスキャンし、設計又は設計とプロセスとの間の予想される相互作用に関する情報に基づいてスキャンされる領域を選択することができる。それに加えて、本明細書で説明されているシステムは、上述のさまざまなスキャン方法のどれかを実行するように構成される(例えば、異なるウェハには異なるスキャン方法を使用する)。さらに、本明細書で説明されている方法(又は設計分析ツール)は、検査システムに関する知識(例えば、スキャン能力)を使用してウェハに対する最適な「カバレッジ」方式を決定することを含む。   The methods and systems described herein can capture an inspection swath for a wafer in a number of different ways. For example, as shown in FIG. 14b, the system can capture an inspection swath 308 for the wafer in 100% inspection mode. In particular, the system scans the wafer back and forth to capture overlapping swaths used to inspect 100% of the die area. In another example, as shown in FIG. 14c, the system can capture an inspection swath 310 for the wafer in standard coverage mode. In this coverage mode, the area on the wafer where the swath has been captured may be from about 25% to about 50% of the die area. The swath shown in FIG. 14c corresponds to a 50% coverage mode where alternating swaths are used for inspection. In a different example, as shown in FIG. 14d, the system can capture an inspection swath 312 for the wafer in a “smart scanning” mode. In this mode, about 50% of the die area can be scanned, and the area to be scanned can be selected based on information about the design or expected interaction between the design and the process. In addition, the systems described herein are configured to perform any of the various scanning methods described above (eg, use different scanning methods for different wafers). Further, the methods (or design analysis tools) described herein include using knowledge about the inspection system (eg, scanning capabilities) to determine the optimal “coverage” scheme for the wafer.

他の実施形態では、この方法は、検査データを設計データにアラインさせ、次いで、このアラインするステップにより決定されるダイ相対設計データ空間座標を使用して追加の検査データの座標を設計データ空間座標に変換することを含む。この変換は、ユーザー入力に基づいて、又は適切な設計ファイル及び/又はプロセス・レシピー(ステッパー・レシピー)から関連する情報を抽出することにより、実行される。ユーザーからの情報なしで変換を決定する代替アプローチは、アライメント部位を手動で選択するか、又はアルゴリズム・オーバーレイ最適化アプローチを使用することにより、検査データを設計データにアラインさせる(例えば、オーバーレイする)ことを含む。これは、ダイ・アライメント技術であることに留意されたい。ウェハ・アライメント技術は、ダイ相対座標が使用される場合には実行されなくてもよい(つまり、アライメント部位がそれぞれのダイについてどこにあるかを検査システムがすでに正確に知っている場合)。   In another embodiment, the method aligns the inspection data to the design data, and then uses the die-relative design data space coordinates determined by the aligning step to coordinate the additional inspection data coordinates to the design data space coordinates. Including conversion. This conversion is performed based on user input or by extracting relevant information from the appropriate design file and / or process recipe (stepper recipe). An alternative approach to determine transformations without information from the user is to align (e.g., overlay) inspection data to design data by manually selecting alignment sites or using an algorithm overlay optimization approach. Including that. Note that this is a die alignment technique. Wafer alignment techniques may not be performed if die relative coordinates are used (ie, if the inspection system already knows exactly where the alignment sites are for each die).

本明細書で説明されている方法は、ウェハの検査を実行することにより検査データを取り込むことを含む場合もあれば、含まない場合もある。言い換えると、本明細書で説明されている方法を、光学又は電子ビーム検査サブシステムを備えていないシステム(本明細書でさらに説明されるシステムなど)により実行できるということである。その代わりに、システムは、検査システムから検査データを受け取るように構成された「スタンドアロン型」システムとして構成される。こうして、スタンドアロン型システムは、検査システムから検査データを取り込むことができる。スタンドアロン型システムは、当業界で知られているどのような方法でも検査データを取り込むことができる(例えば、「有線」及び/又は「無線」部分を備えることができる伝送媒体を介して)。それとは別に、この方法は、検査システムを備えるシステムにより実行される。この方法では、検査システムは、システムの一部をなし、検査データは、ウェハの検査を実行することによりシステムによって取り込まれる。それに加えて、検査データがどのような方法で取り込まれようと、本明細書で説明されている方法は、当業界で知られているフォーマットの当業界で知られているタイプの検査データを使用して実行される。検査データは、ウェハ上で検出された1つ又は複数の欠陥に対するデータを含む。他の例では、一実施形態において、検査データはPWQについて取り込まれるが、これは、本明細書でさらに説明される。   The methods described herein may or may not include capturing inspection data by performing an inspection of the wafer. In other words, the methods described herein can be performed by a system that does not include an optical or electron beam inspection subsystem (such as the system described further herein). Instead, the system is configured as a “stand-alone” system configured to receive inspection data from the inspection system. Thus, the stand-alone system can capture inspection data from the inspection system. A stand-alone system can capture test data in any manner known in the art (eg, via a transmission medium that can comprise “wired” and / or “wireless” portions). Alternatively, the method is performed by a system that includes an inspection system. In this method, the inspection system forms part of the system and inspection data is captured by the system by performing an inspection of the wafer. In addition, no matter how inspection data is captured, the method described herein uses inspection data of a type known in the industry in a format known in the art. And executed. Inspection data includes data for one or more defects detected on the wafer. In another example, in one embodiment, test data is captured for PWQ, which is further described herein.

本明細書で説明されている方法は、比較的高い精度で検査空間を設計データ空間座標に相関させるためにうまく使用され、このような相関は、本明細書でさらに説明されるように多数のステップにおいて使用される。例えば、設計データ空間における検査データの位置は、検査データがウェハ上の検査対象領域又は検査対象外領域に対応しているかどうかを判定するために有利に使用され、検査プロセスは、検査データ又は検査データの異なる部分に対応する領域のタイプに基づいて実行される。例えば、本明細書で説明されている方法及びシステムは、検査対象領域がダイ上のすべての点について設計又はCADデータベース内の所定のフィーチャに対し実質的に正確にアラインされるように検査対象領域に関して生イメージ・データをシフトすることにより、CMPパターン・フィル領域などの非クリティカル領域を無視できる間、ビア配置などのダイ上のクリティカル配置においてのみ検査を実行できるように実質的に正確な検査対象領域を生成することができる。これらのクリティカル配置、又は「検査する場所」領域は、レシピー・セットアップ時に入力され、設計スキャン及び/又はPWQ分析、電気的試験、FA、又はこれらの何らかの組合せなどのCAD DRC、DFM分析の結果を使用して実行される「ホット・スポット」分析により決定される。   The methods described herein have been successfully used to correlate the examination space to the design data space coordinates with relatively high accuracy, and such correlation can be associated with a large number of as described further herein. Used in steps. For example, the position of inspection data in the design data space is advantageously used to determine whether the inspection data corresponds to an inspection area or non-inspection area on the wafer, and the inspection process It is performed based on the type of area corresponding to different parts of the data. For example, the methods and systems described herein can be used to ensure that the area under inspection is substantially accurately aligned to a given feature in the design or CAD database for all points on the die. By shifting the raw image data with respect to non-critical areas such as CMP pattern fill areas can be ignored, while the inspection is substantially accurate so that inspection can only be performed in critical placement on the die, such as via placement Regions can be generated. These critical locations, or “locations to inspect” areas, are entered at the time of recipe setup, and the results of CAD DRC, DFM analysis such as design scans and / or PWQ analysis, electrical testing, FA, or some combination thereof are used. Determined by “hot spot” analysis performed using.

例えば、いくつかの実施形態では、本明細書で説明されている方法は、レイアウト分析ソフトウェア・ツールから生成された標準EDAレイアウト・フォーマット(例えば、GDSII、OASISなど)で格納されている検査対象領域などの設計データやその設計データに関する情報を検査システムにおいて使用できるフォーマットに変換することを含む。このように、この方法は、設計ツールから検査対象領域情報を検査システムに移送することを含む。例えば、トランスレータ・モジュール(図に示されていない)は、GDS又はOASISなどの標準設計フォーマットから検査対象領域を生成するように構成される。したがって、このような設計フォーマットが入っているファイルは、設計を含まないが、EDAツールにより実行された設計分析から結果として得られるポリゴンを含む。したがって、トランスレータ・モジュールを使用することで、2つの空間(つまり、設計と検査)の間の変換を効率よく行うことができる。   For example, in some embodiments, the methods described herein may include an inspection area stored in a standard EDA layout format (eg, GDSII, OASIS, etc.) generated from a layout analysis software tool. And the like, and converting information related to the design data into a format that can be used in the inspection system. Thus, the method includes transferring inspection area information from the design tool to the inspection system. For example, a translator module (not shown in the figure) is configured to generate a region to be inspected from a standard design format such as GDS or OASIS. Thus, a file containing such a design format does not include a design, but includes polygons resulting from the design analysis performed by the EDA tool. Therefore, by using the translator module, conversion between two spaces (ie, design and inspection) can be performed efficiently.

他の実施形態では、この方法は、明細書で説明されているように実行される、設計データ空間における検査データの位置に基づいて設計データ空間におけるウェハ上で検出された欠陥の位置を決定することと、設計データの1つ又は複数の属性に対する所定の値が、設計データ空間における位置の関数として格納されているデータ構造体を使用して欠陥の位置に対応する設計データの1つ又は複数の属性に対する値を決定することとを含む。このように、欠陥の位置に対応する設計データの1つ又は複数の属性に対する値は、永続的なすでに抽出されている設計レイアウト属性データから決定される。言い換えると、欠陥位置に対応する(複数の)設計データ属性に対する値は、設計のジオメトリに基づいてすでに計算されている属性から、例えば、ジオメトリ内のポリゴンから1つ又は複数の属性に対する値を決定することにより(例えば、ポリゴンに対する幾何学的演算の関数として)、決定される。このように、設計をポリゴン・レベルで処理することができ、また決定されるポリゴン・レベルの属性の値をデータ構造体に格納することができる。その際、データ構造体は、データ構造体に格納されている設計データの1つ又は複数の属性の値に対するデータの「上位集合」を含む。EDAレイアウト分析ツール又は他の方法又は当業界で知られているシステムを使用して、設計データ空間における位置の関数として設計データの1つ又は複数の属性に対する所定の値を生成することができる。このように、設計は、設計データ空間全体にわたる位置の関数として設計データの1つ又は複数の属性の値を決定するように前処理され、1つ又は複数の属性に対する値は、設計データ空間における欠陥位置を使用して「オンザフライ」でデータ構造体の中の1つ又は複数の属性の値を検索することにより欠陥毎に決定される。所定の値が設計データ空間位置の関数として格納されるデータ構造体は、当業界で知られている好適なデータ構造体を含む。同様にして、設計データ構造体は、設計データ空間における位置の関数として設計の設計レイアウトの1つ又は複数の属性、設計のフロア・プランの1つ又は複数の属性、設計におけるセルの1つ又は複数の属性、設計に関する他の情報、又はそれらの何らかの組合せに対する所定の値を含む。   In other embodiments, the method is performed as described herein to determine the position of defects detected on the wafer in the design data space based on the position of the inspection data in the design data space. And one or more of the design data corresponding to the position of the defect using a data structure in which predetermined values for one or more attributes of the design data are stored as a function of the position in the design data space Determining a value for the attribute. In this way, values for one or more attributes of the design data corresponding to the position of the defect are determined from permanent already extracted design layout attribute data. In other words, the value for the design data attribute (s) corresponding to the defect location is determined from the attributes already calculated based on the design geometry, eg, one or more attributes from polygons in the geometry. (E.g., as a function of geometric operations on the polygons). In this way, the design can be processed at the polygon level, and the determined polygon level attribute values can be stored in the data structure. In that case, the data structure includes a “superset” of data for one or more attribute values of the design data stored in the data structure. An EDA layout analysis tool or other method or system known in the art can be used to generate predetermined values for one or more attributes of the design data as a function of position in the design data space. In this way, the design is preprocessed to determine the value of one or more attributes of the design data as a function of position throughout the design data space, and the values for the one or more attributes are determined in the design data space. Determined for each defect by retrieving the value of one or more attributes in the data structure "on the fly" using the defect location. Data structures in which the predetermined value is stored as a function of design data space location include any suitable data structure known in the art. Similarly, the design data structure may be one or more attributes of the design layout of the design, one or more attributes of the floor plan of the design, one of the cells in the design, or as a function of position in the design data space. Contains predetermined values for multiple attributes, other information about the design, or some combination thereof.

一実施形態では、この方法は、図1のステップ18に示されているように、ウェハの異なる位置で欠陥を検出する感度を決定することを含む。そのような一実施形態では、この方法は、設計データ空間における検査データの位置と設計データ空間における設計データの1つ又は複数の属性に基づいてウェハの異なる部分で欠陥を検出する感度を決定することを含む。このような一実施形態では、この方法は、設計ツールから検査対象領域情報を検査システムに移送することにより設計ベースの検査を実行することを含む。例えば、検査対象領域情報を使用して、ウェハ上の異なる部分、及び異なる部分における欠陥を検出するために使用される感度を識別する。その際、設計データの1つ又は複数の属性は、検査対象領域情報を含む。しかし、設計データの1つ又は複数の属性は、さらに、又はそれとは別に、本明細書で説明されている設計データの(複数の)属性のどれかを含むこともできる。   In one embodiment, the method includes determining the sensitivity of detecting defects at different locations on the wafer, as shown in step 18 of FIG. In one such embodiment, the method determines the sensitivity of detecting defects in different portions of the wafer based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. Including that. In one such embodiment, the method includes performing design-based inspection by transferring inspection area information from the design tool to the inspection system. For example, the inspection area information is used to identify different parts on the wafer and the sensitivity used to detect defects in the different parts. At that time, one or more attributes of the design data include inspection target area information. However, the one or more attributes of the design data can also include any or more of the attribute (s) of the design data described herein.

データ準備フェーズは、設計データの1つ又は複数の属性に対するデータを生成するか、又は取り込むことを含む。ウェハの異なる部分で欠陥を検出する感度を決定するために使用される設計データの1つ又は複数の属性は、設計データに関連するプロセス又は歩留まり情報を含む。例えば、一実施形態では、設計データの1つ又は複数の属性は、ウェハの検査データが取り込まれたプロセス層、異なるプロセス層、又はそれらの何らかの組合せに対する設計データ、異なる設計データ、又はそれらの何らかの組合せに対するウェハ、他のウェハ、又はそれらの何らかの組合せに対するすでに取り込まれている検査データの1つ又は複数の属性に基づいて選択される。このように、ウェハの異なる部分で欠陥を検出する感度を決定するために使用される設計データ空間における設計データの1つ又は複数の属性は、同じ又は異なるプロセス層で同じ又は異なる設計における同じウェハ又は異なるウェハからすでに集められている検査データの属性との相関に基づいて選択される。すでに集められている検査データは、ファブ・データベース又は他の好適なデータベース、ファイルなどのデータ構造体に格納されるか、又は本明細書で説明されているように構成される、知識ベースに格納される。このように、設計データの1つ又は複数の属性は、累積学習、履歴データ、又はデータの訓練集合に基づいてこの実施形態において選択される。   The data preparation phase includes generating or capturing data for one or more attributes of the design data. One or more attributes of the design data used to determine the sensitivity of detecting defects in different portions of the wafer include process or yield information associated with the design data. For example, in one embodiment, the one or more attributes of the design data include the design data for the process layer from which wafer inspection data was captured, a different process layer, or some combination thereof, different design data, or some of them Selected based on one or more attributes of inspection data already captured for the wafer for the combination, other wafers, or some combination thereof. In this way, one or more attributes of the design data in the design data space used to determine the sensitivity of detecting defects in different parts of the wafer are the same wafer in the same or different design in the same or different process layers. Alternatively, the selection is made based on the correlation with the attribute of inspection data already collected from different wafers. Test data that has already been collected is stored in a data structure such as a fab database or other suitable database, file, or stored in a knowledge base that is configured as described herein. Is done. Thus, one or more attributes of the design data are selected in this embodiment based on cumulative learning, historical data, or a training set of data.

他の実施形態では、設計データの1つ又は複数の属性は、異なる部分においてすでに検出されている欠陥の歩留まりクリティカル度、それらの部分においてすでに検出されている欠陥の故障確率、又はそれらの何らかの組合せに基づいて選択される。このように、欠陥を検出する感度は、異なる部分において検出された欠陥の歩留まりクリティカル度及び/又は故障確率に基づいて選択された設計データの1つ又は複数の属性に少なくとも一部は基づく。プロセス又は歩留まりクリティカル度情報は、例えば、PWQにより決定されるクリティカル欠陥、ホット・スポットに基づく注目する欠陥(DOI)の配置(例えば、検査から決定される)、論理ビットマップから決定されるホット・スポット情報、ホット・スポットで検出された欠陥に対する試験結果から決定されるKP値、本明細書で説明されている他のプロセス又は歩留まり情報、又はそれらの何らかの組合せを含む。KP値は、さらに本明細書で説明されているように決定される。それに加えて、故障確率は、欠陥に対するKP値を決定するために本明細書で説明されている方法と似た方法で決定される。歩留まりクリティカル度は、欠陥に対する歩留まり関連性を決定するために本明細書でさらに説明されている方法と似た方法で決定される。   In other embodiments, the one or more attributes of the design data include the yield criticality of defects already detected in different parts, the failure probability of defects already detected in those parts, or some combination thereof Selected based on Thus, the sensitivity of detecting defects is based at least in part on one or more attributes of design data selected based on yield criticality and / or failure probability of defects detected in different portions. Process or yield criticality information may include, for example, critical defects determined by PWQ, placement of defects of interest (DOI) based on hot spots (eg, determined from inspection), hot bitmaps determined from logical bitmaps. Including spot information, KP values determined from test results for defects detected in hot spots, other process or yield information described herein, or some combination thereof. The KP value is further determined as described herein. In addition, the failure probability is determined in a manner similar to that described herein for determining a KP value for a defect. Yield criticality is determined in a manner similar to that described further herein to determine yield relevance for defects.

設計データの1つ又は複数の属性に対するデータは、1つ又は複数の属性の異なる値を有するデバイス設計における幾何学的領域を定める「コンテキスト」データとも称される(例えば、接触領域又はダミー・フィル領域、「検査する場所」情報又は「検査対象領域」、プロセス障害が発生する可能性がある「クリティカル」領域、又はそれらの何らかの組合せなどの領域内の(複数の)タイプのフィーチャ)。コンテキスト・データという用語は、本明細書では「コンテキスト情報」や「コンテキスト・マップ」という用語と入れ替えて使用される。コンテキスト情報は、シミュレーション、モデル化、及び/又はLKA−Tencorから市販されている分析ソフトウェア製品、DRCソフトウェアなどの他のソフトウェア、又はそれらの何らかの組合せを含むさまざまな情報源から取り込まれる。さらに、追加のコンテキスト・データは、設計データの(複数の)属性に対するデータにより決定され、それらのデータと組み合わせられる。設計データ及び/又はコンテキスト・データを含むデータベース又はファイルなどのデータ構造体は、当業界で知られている好適なフォーマットを使用できる。   Data for one or more attributes of the design data is also referred to as “context” data that defines a geometric region in the device design that has different values for the one or more attributes (eg, contact area or dummy fill). Type (s) of features in the region, such as region, “where to inspect” information or “region to be inspected”, “critical” region where process failure may occur, or some combination thereof. The term context data is used herein interchangeably with the terms “context information” and “context map”. Context information is captured from a variety of sources including simulation, modeling and / or analysis software products commercially available from LKA-Tencor, other software such as DRC software, or some combination thereof. Further, the additional context data is determined by data for the attribute (s) of the design data and combined with those data. Data structures such as databases or files containing design data and / or context data can use any suitable format known in the art.

上述のように感度を決定することは、設計データの1つ又は複数の属性の異なる値を有する設計データに対応するウェハの異なる部分で検出される欠陥が、異なる感度で検出されるように実行される。このように、この方法は、さらに、設計データの空間位置の関数として1つ又は複数の設計データ属性の値に基づいて異なる部分を決定し、識別し、及び/又は選択することを含むこともできる。異なる部分の寸法が異なること、また設計データの(複数の)属性の値が利用可能であるか、又は取り込まれる分解能に応じて変化することは、それらの異なる部分の全部について、又は一部について生じるか、又はまったく生じない。例えば、コンテキスト・マップが本明細書でさらに説明されているように異なる部分に対する感度を決定するために使用される場合、異なる部分の寸法は、コンテキスト・マップの分解能に応じて変化する。   Determining sensitivity as described above is performed such that defects detected at different parts of the wafer corresponding to design data having different values of one or more attributes of the design data are detected with different sensitivities. Is done. Thus, the method may further include determining, identifying, and / or selecting different portions based on the value of the one or more design data attributes as a function of the spatial position of the design data. it can. The fact that the dimensions of the different parts are different and that the value of the attribute (s) in the design data is available or changes depending on the resolution that is captured can be for all or part of those different parts. Occurs or does not occur at all. For example, if the context map is used to determine sensitivity to different parts as further described herein, the dimensions of the different parts will vary depending on the resolution of the context map.

そのような一実施形態では、感度は、設計データ空間における検査データの位置、及び本明細書でさらに説明されているように設計データ空間にわたる設計データの1つ又は複数の属性に対する値を含むコンテキスト・マップに基づいて決定される。例えば、この方法は、コンテキスト・マップを使用して、クリティカル領域に対するウェハ上のダイの比較的高い感度領域及びコンテキストのクリティカル度に基づく可変感度領域を定めることを含む。一例では、設計データのセグメントは、密アレイと論理、開放領域、粒状金属を絶縁するように定められる。イメージ・グレー・レベルとコンテキストの組合せも、設計データにおける1つ又は複数のセグメントを定めるために使用される。例えば、中間グレー・レベルを有するピクセルは、1つのセグメントにまとめられる。イメージ・グレー・レベルは、シミュレートされたイメージ又は検査システム又は他のイメージ収集システムにより取り込まれたイメージを使用して決定される。   In one such embodiment, sensitivity is a context that includes a value for one or more attributes of design data across the design data space, as described further herein, and the location of the inspection data in the design data space. -Determined based on the map. For example, the method includes using a context map to define a relatively high sensitivity area of the die on the wafer relative to the critical area and a variable sensitivity area based on the criticality of the context. In one example, the design data segment is defined to insulate the dense array from the logic, open area, and granular metal. A combination of image gray level and context is also used to define one or more segments in the design data. For example, pixels with intermediate gray levels are grouped into one segment. The image gray level is determined using a simulated image or an image captured by an inspection system or other image acquisition system.

いくつかの実施形態では、設計データ空間における検査データの位置とコンテキスト・マップとに基づいてウェハの異なる部分で欠陥を検出する感度を決定することは、ウェハの検査時に検査システムにより実行される。例えば、コンテキスト・マップは、ウェハを検査するときに本明細書で説明されているように検査システムにより使用される。他の実施形態では、設計データ空間における検査データの位置とコンテキスト・マップとに基づいてウェハの異なる部分で欠陥を検出する感度を決定することは、ウェハの検査データの取り込みが完了した後に検査システムにより実行される。例えば、コンテキスト・マップは、検査データがオフラインで利用可能になった後、上述のように検査システムにより使用される。これらの実施形態の両方において、この方法は、コンテキスト・マップを使用して、ウェハ上のダイのダミー領域(領域を検査しない)を自動的に定め、異なる感度しきい値が使用されるダイの粗領域を定めることができる。例えば、コンテキスト・マップ(例えば、ダミー・フィル領域を定めるコンテキスト・マップ)を使用して、検査を必要としない検査対象外領域を自動的に定めることができ、したがって欠陥検出の目的のために除外される。このような領域は、典型的にはあまりうまく制御をされず、したがって、比較的大量のノイズを発生する(ダイ同士の比較の場合)。したがって、そのような領域を除外することで、検査の全体的S/N比を高めることができる。   In some embodiments, determining the sensitivity to detect defects in different portions of the wafer based on the location of the inspection data in the design data space and the context map is performed by the inspection system during inspection of the wafer. For example, the context map is used by the inspection system as described herein when inspecting a wafer. In other embodiments, determining the sensitivity to detect defects in different portions of the wafer based on the location of the inspection data in the design data space and the context map is the inspection system after the wafer inspection data has been captured. It is executed by. For example, the context map is used by the inspection system as described above after inspection data is available offline. In both of these embodiments, the method uses a context map to automatically define a die dummy area (do not inspect the area) on the wafer, and for die dies where different sensitivity thresholds are used. A coarse area can be defined. For example, a context map (eg, a context map that defines a dummy fill area) can be used to automatically define non-inspected areas that do not require inspection, and are therefore excluded for defect detection purposes. Is done. Such regions are typically not well controlled and therefore generate a relatively large amount of noise (in the case of die to die comparisons). Therefore, by excluding such areas, the overall S / N ratio of the inspection can be increased.

一実施形態では、設計データ空間における検査データの位置とコンテキスト・マップとに基づいてウェハの異なる部分で欠陥を検出する感度を決定することは、ウェハの異なる部分の欠陥を検出するために検査データとともに使用される感度しきい値を決定することを含む。このように、欠陥検出に使用される1つ又は複数のしきい値を変更することにより領域間で感度を変更することができ、これは、セグメント化自動しきい値(SAT)法に類似している。例えば、低しきい値(高感度)検出は、クリティカル領域に使用され、高しきい値(低感度)検出は、非クリティカル領域に使用される。設計データをセグメント分割し、設計データの1つ又は複数の属性に基づいて欠陥検出に使用される(複数の)しきい値を変化させることにより、検査プロセスの全体的な感度を高めることができる。したがって、本明細書で説明されているこれらの方法及びシステムは、改善された欠陥検出を実現している。   In one embodiment, determining the sensitivity of detecting defects in different parts of the wafer based on the position of the inspection data in the design data space and the context map is to detect the inspection data to detect defects in different parts of the wafer. Determining a sensitivity threshold value to be used with. In this way, sensitivity can be changed between regions by changing one or more thresholds used for defect detection, which is similar to the segmented automatic threshold (SAT) method. ing. For example, low threshold (high sensitivity) detection is used for critical regions and high threshold (low sensitivity) detection is used for non-critical regions. By segmenting the design data and changing the threshold (s) used for defect detection based on one or more attributes of the design data, the overall sensitivity of the inspection process can be increased . Accordingly, these methods and systems described herein provide improved defect detection.

この方法は、さらに、上述のコンテキスト・マップを使用して、多数の異なるステップを実行することをも含む。例えば、コンテキスト・マップ(ダイ−ダイ検査モード、標準参照ダイ−ダイ検査モードなどを欠陥検査に使用するかどうかに関係なく)を使用して、限定はしないが、感度を決定するステップ、ニュイサンス欠陥をフィルタリングするステップ、欠陥を分類するステップ、オンライン又はオフライン・レビューに対するレビュー・サンプルを生成するステップなどのさまざまなステップを実行することができる。本明細書でさらに説明されているように設計又はコンテキスト情報を使用するために、検査プロセス時に(例えば、ウェハをスキャンすることにより)取り込まれたイメージ・ピクセル又は他の検査データの絶対位置は、設計データ空間(例えば、設計データベース座標)で決定される。検査データを検査ピクセル・サイズの半分の範囲内で設計データ空間にマッピングすることで、検出しきい値を実質的に正確に設定すること(クリティカル領域と非クリティカル領域とを実質的に正確に分離することによる)、実際の欠陥からニュイサンス欠陥をフィルタリングすることや、他のステップを実行することができるが、これは、本明細書でさらに説明されているように実行される。   The method further includes performing a number of different steps using the context map described above. For example, using but not limited to context maps (regardless of whether die-die inspection mode, standard reference die-die inspection mode, etc. are used for defect inspection), determining sensitivity, nuisance defects Various steps can be performed, such as filtering the data, classifying the defects, generating a review sample for online or offline review. In order to use design or context information as further described herein, the absolute position of image pixels or other inspection data captured during the inspection process (e.g., by scanning a wafer) is: It is determined in the design data space (for example, design database coordinates). Mapping inspection data to the design data space within half the inspection pixel size to set detection thresholds substantially accurately (separate critical and non-critical areas substantially accurately) Filtering the nuisance defect from the actual defect and other steps can be performed, but this is performed as described further herein.

それに加えて、比較的高い帯域幅のピクセル・レベル・コンテキスト情報を、さまざまなアプリケーションにおける検査空間から設計空間座標への実質的に高い精度のマッピングとともに使用することができる。例えば、比較的高い分解能のコンテキスト・マップを使用して、異なる感度で検査されるピクセル・レベル領域を自動的に定めることができる。本明細書で説明されているような比較的高い分解能のコンテキストは、一般に、比較的粗い(例えば、約50μm×約50μm)ユーザー定義領域に基づく複数しきい値(RBMT)に比べて正確であり、検査対象領域境界では曖昧であるため不正確である(例えば、約5μm以上の広がりを持つ境界不確定性)。   In addition, relatively high bandwidth pixel level context information can be used with a substantially high accuracy mapping from inspection space to design space coordinates in various applications. For example, a relatively high resolution context map can be used to automatically define pixel level regions to be examined with different sensitivities. A relatively high resolution context as described herein is generally more accurate than a multiple threshold (RBMT) based on a relatively coarse (eg, about 50 μm × about 50 μm) user defined region. This is inaccurate because it is ambiguous at the boundary of the inspection target region (for example, boundary uncertainty with a spread of about 5 μm or more).

一実施形態では、コンテキスト・マップは、それぞれのピクセルで検出感度を制御するためにピクセル・レベルで使用される。しかし、より単純なアプローチ(システムの複雑度の観点から)では、コンテキスト・マップを、コンテキスト情報を使用して欠陥を検出しない検出方法を使用して検出された欠陥の後処理にのみ使用する。この方法で、検査又はウェハ空間から設計データ空間へのマッピングは、検出された欠陥に対応する検査データにのみ適用される。ダイ−ダイ及び標準参照ダイ−ダイ検査について上で説明されているように、欠陥の位置は、設計データ空間において決定される。その後、設計データ空間における欠陥の位置の設計データのパッチ・イメージが取り込まれ、このパッチ・イメージは、欠陥に対応する設計コンテキストを決定するために使用される。それとは別に、設計データに対しアラインされたコンテキスト・マップは、設計データ空間における欠陥の位置に基づいて欠陥に対応する設計データ・コンテキストを決定するために使用される。   In one embodiment, the context map is used at the pixel level to control detection sensitivity at each pixel. However, in a simpler approach (in terms of system complexity), the context map is only used for post-processing defects detected using a detection method that does not detect defects using context information. In this way, inspection or mapping from wafer space to design data space is applied only to inspection data corresponding to detected defects. As described above for die-to-die and standard reference die-to-die inspection, the location of the defect is determined in the design data space. Thereafter, a patch image of the design data of the location of the defect in the design data space is captured and this patch image is used to determine the design context corresponding to the defect. Alternatively, the context map aligned to the design data is used to determine the design data context corresponding to the defect based on the position of the defect in the design data space.

標準参照ダイ−ダイ検査では、検査データにおけるそれぞれのピクセルのコンテキストを決定することは、それぞれの標準参照ダイ・ピクセルのコンテキストを決定することを含む。標準参照ダイ・イメージは、レシピー・セットアップ・フェーズにおいて取り込まれるため、この方法は、標準参照ダイ・イメージにおけるアライメント部位(上述のように選択された)に対するデータを所定のアライメント部位に対するデータにアラインさせることと、マッピング変換を実行して、設計データ空間におけるそれぞれの標準参照ダイ・ピクセルの配置を決定することとを含む。これらのステップは、さらに、レシピー・セットアップ・フェーズにおいて実行される。それに加えて、標準参照ダイは、設計データ空間への標準参照ダイのマッピングに基づいてコンテキスト・データにマッピングされ、標準参照ダイ・ピクセルはそれぞれのピクセルに対応するコンテキストとともに、オフラインで格納され、検査時に検査システムに供給されるか、又は検査システムにより取り込まれる。この処理は、オフラインで実行され、レシピー・セットアップ・フェーズにおいて一度だけ実行される。   In standard reference die-to-die inspection, determining the context of each pixel in the inspection data includes determining the context of each standard reference die pixel. Since the standard reference die image is captured in the recipe setup phase, this method aligns the data for the alignment site (selected as described above) in the standard reference die image with the data for the predetermined alignment site. And performing a mapping transformation to determine the placement of each standard reference die pixel in the design data space. These steps are further performed in the recipe setup phase. In addition, the standard reference die is mapped to context data based on the mapping of the standard reference die to the design data space, and the standard reference die pixel is stored offline and inspected with the context corresponding to each pixel. Sometimes supplied to the inspection system or captured by the inspection system. This process is performed offline and is performed only once in the recipe setup phase.

そのような一実施形態では、それぞれの標準参照ダイ・ピクセルをコンテキスト情報に関連付ける(「タグ付けする」)ことができる。この方法で、コンテキスト情報を、標準参照ダイ・ピクセルに「付着させる」ことができる。一例では、16個の異なる可能なコンテキストがある場合、4ビットのタグをそれぞれのピクセルに付着させることができる。それとは別に、好適な圧縮アルゴリズム又は方法を使用してコンテキスト・データを圧縮するか、又はコンテキスト・データをポリゴン形式で表現することができる。この方法により、検査時に、標準参照ダイ・ピクセル・データと標準参照ダイ・ピクセル・データに関連付けられているマッピングされた(変換された)コンテキスト・データは、検査システムのイメージ・コンピュータ又は他のプロセスに供給されるか、又は検査システムのイメージ・コンピュータ又は他のプロセスにより取り込まれる。したがって、検査データ・ピクセルに対応するコンテキストは、標準参照ダイ・イメージにおける対応するピクセルのコンテキスト情報に基づいて決定される。その際、検査データ・ピクセルに対応するコンテキスト情報は、欠陥検出アプリケーションや欠陥分類(及び/又はビン範囲によるグループ分け)アプリケーションに利用することができ、これは、本明細書でさらに説明されているように実行される。   In one such embodiment, each standard reference die pixel can be associated (“tagged”) with context information. In this way, context information can be “attached” to a standard reference die pixel. In one example, if there are 16 different possible contexts, a 4-bit tag can be attached to each pixel. Alternatively, the context data can be compressed using a suitable compression algorithm or method, or the context data can be represented in polygonal form. In this manner, at inspection time, the reference reference die pixel data and the mapped (transformed) context data associated with the standard reference die pixel data are transferred to the inspection system image computer or other process. Or captured by an image computer or other process of the inspection system. Accordingly, the context corresponding to the inspection data pixel is determined based on the context information of the corresponding pixel in the standard reference die image. In doing so, contextual information corresponding to inspection data pixels can be utilized in defect detection applications and defect classification (and / or grouping by bin ranges) applications, as further described herein. To be executed.

他の実施形態では、この方法は、任意の分解能でコンテキスト・マップを使用してウェハ検査を補助することができる。例えば、可変分解能コンテキスト・マップは、ウェハ検査及び欠陥のビン範囲によるグループ分けを補助するために使用される。コンテキスト・マップの分解能は、例えば、ライブ・ピクセル・ストリームを設計データにアラインさせる精度、及びアプリケーションの必要な精度に応じて異なることがある。異なる分解能のコンテキスト・マップを、多くの異なる方法で表すことができる。例えば、ポリゴン形式のコンテキスト・マップの絶対(つまり、ミクロン範囲の小数位以下の桁数)表現を適切なピクセル・サイズの検査システムの内部表現に変換し、ピクセル・レベルのコンテキスト・マップを生成することができる。それに加えて、又はそれとは別に、粗コンテキスト・マップは、例えば約1μm×約1μmの横方向寸法を有する比較的粗い領域に対するコンテキストを含む。粗領域は、設計データを分離する「タイル」を形成する。フィーチャ・タイプ(例えば、ダミー・フィーチャ、接点、線端部)などのコンテキスト・データ、フィーチャ属性(例えば、ジオメトリ間の最小線幅/間隔など)、又はその何らかの組合せがそれぞれのタイルに関連付けられる。   In other embodiments, the method can assist in wafer inspection using a context map at any resolution. For example, variable resolution context maps are used to assist in wafer inspection and grouping by defect bin range. The resolution of the context map may vary depending on, for example, the accuracy with which the live pixel stream is aligned with the design data and the required accuracy of the application. Different resolution context maps can be represented in many different ways. For example, convert the absolute representation of a polygonal context map (ie, a fractional number of digits in the micron range) to the internal representation of the appropriate pixel size inspection system to generate a pixel level context map be able to. In addition or alternatively, the coarse context map includes context for a relatively coarse area having a lateral dimension of, for example, about 1 μm × about 1 μm. The coarse areas form “tiles” that separate design data. Associated with each tile is contextual data such as feature types (eg, dummy features, contacts, line ends), feature attributes (eg, minimum line width / spacing between geometries, etc.), or some combination thereof.

一実施形態では、この方法は、クリティカルな領域及び可能な設計ルール違反について設計を分析するために使用可能なソフトウェア・プログラムから取り込まれる設計に対する配置情報と属性情報を使用して比較的高い分解能のコンテキスト・マップを生成することを含む。このようなコンテキスト・マップは、KLA−Tencorから市販されている分析ソフトウェア(Design Scanなど)又は検査、計量、又はレビュー・システムにより使用するフォーマットに変換される配置及びそれぞれの配置のいくつかの属性(又はラベル)のリストを生成するDRCソフトウェアなどの他のソフトウェアを使用して生成される。   In one embodiment, the method uses relatively high resolution layout information and attribute information for a design that is captured from a software program that can be used to analyze the design for critical areas and possible design rule violations. Generating a context map. Such a context map is an analysis software (such as Design Scan) commercially available from KLA-Tencor or an arrangement that is converted into a format for use by an inspection, metrology, or review system and some attributes of each arrangement It is generated using other software, such as DRC software that generates a list of (or labels).

他の実施形態では、この方法は、CADレイアウトからフィーチャ・ベクトルを抽出し、教師なしクラスタリングを使用して同等のコンテキスト・グループを定義することにより比較的低い分解能の粗コンテキスト・マップを生成することを含む。例えば、比較的粗いコンテキスト・マップ(例えば、約1μm×約1μmの領域又はタイルを含むマップ)を生成する方法は、CADレイアウト・ファイルを処理することと、それらのファイルをレンダリング又は分析することと、それぞれのタイルに対するいくつかの属性又はフィーチャ・ベクトルを抽出することを含む。それぞれの領域について、複数のフィーチャを所定のフィーチャ集合から抽出することができる。それぞれのフィーチャの値は、そのフィーチャ・ベクトルである。それぞれの領域に対するフィーチャ・ベクトルを、フィーチャ空間内のクラスタリングを評価することにより領域の類似性を判定するために使用される一連のフィーチャ・ベクトルにまとめることができる。これらのフィーチャ・ベクトル(タイル毎に1つ又は複数のベクトル)は、ベクトルのクラスタ(つまり、類似の属性を有するタイル)を見つけるために使用される当業界で知られている教師なしのクラスタリング・アルゴリズム及び/又は方法を使用してフィーチャ空間内にクラスタ化される。本明細書で説明されている方法で使用されるそのようなアルゴリズム及び方法の例は、本明細書に全体が説明されているかのように参照により組み込まれる、Hanの米国特許第6,104,835号において例示されている。それぞれのそのようなクラスタは、次いで、ユニークなコンテキスト・コード又は識別を割り当てられる。次いで、それぞれのファイルがこのコード又は識別により表されるダイのマップは、本明細書でさらに説明されているように検査システムにより使用される。   In other embodiments, the method extracts a feature vector from a CAD layout and generates a relatively low resolution coarse context map by defining an equivalent context group using unsupervised clustering. including. For example, a method for generating a relatively coarse context map (eg, a map that includes areas or tiles of about 1 μm × about 1 μm) includes processing CAD layout files and rendering or analyzing those files. , Extracting several attributes or feature vectors for each tile. For each region, multiple features can be extracted from a predetermined feature set. The value of each feature is its feature vector. The feature vectors for each region can be combined into a series of feature vectors that are used to determine region similarity by evaluating clustering in the feature space. These feature vectors (one or more vectors per tile) are unsupervised clustering known in the art used to find clusters of vectors (ie, tiles with similar attributes). Clustered in feature space using algorithms and / or methods. Examples of such algorithms and methods used in the methods described herein are described in Han US Pat. No. 6,104, which is incorporated by reference as if set forth in its entirety herein. Exemplified in No. 835. Each such cluster is then assigned a unique context code or identification. The die map in which each file is represented by this code or identification is then used by the inspection system as described further herein.

異なる実施形態では、この方法は、CADレイアウト・パッチ・イメージをレンダリングし、CADレイアウト・パッチ・イメージを相互相関させて、(本明細書でさらに説明されているようにビン範囲に従って分けるために使用される)同等のコンテキスト・グループを識別することにより比較的低い分解の粗いコンテキスト・マップを生成することを含む。コンテキスト・マップ(例えば、比較的粗いコンテキスト・マップ)を生成する他の方法は、CADレイアウト・ファイルを複数のパッチ・イメージにレンダリングすることと、設計データを複数のパッチ・イメージに分割することと、比較的高い相互相関性を有するパッチ・イメージが、ビン範囲に従って同じコンテキスト・タイプに対応するパッチ・イメージの複数のグループに分けられるようにパッチ・イメージ間のイメージ相互相関を識別することとを含む。   In different embodiments, this method is used to render a CAD layout patch image, cross-correlate the CAD layout patch image, and separate it according to bin ranges as further described herein. Generating a relatively low resolution coarse context map by identifying equivalent context groups. Other methods of generating a context map (eg, a relatively coarse context map) include rendering a CAD layout file into multiple patch images and dividing design data into multiple patch images. Identifying image cross-correlation between patch images so that patch images having a relatively high cross-correlation are divided into groups of patch images corresponding to the same context type according to bin ranges; Including.

いくつかの実施形態では、本明細書で説明されている方法で使用されるコンテキスト・データは、ウェハ上にある、又はウェハに形成される複数の層に対するコンテキスト・データを含む。例えば、いくつかの欠陥は、欠陥が検出された層内のクリティカル領域では位置を特定されない。しかし、上に載る層のクリティカル領域がウェハ上に形成されるウェハ上の一領域に欠陥が配置されている場合には、これらの非クリティカル欠陥がクリティカルにされることがある。本明細書で説明されているステップのどれかで使用されるコンテキスト・マップは、ウェハ上の複数の層に対するコンテキスト・マップとすることができる。   In some embodiments, the context data used in the methods described herein includes context data for multiple layers that are on or formed on the wafer. For example, some defects are not located in critical areas within the layer where the defect was detected. However, these non-critical defects may be made critical if the critical region of the overlying layer is located in a region on the wafer where it is formed on the wafer. The context map used in any of the steps described herein can be a context map for multiple layers on the wafer.

他の実施形態では、この方法は、設計データ空間における検査データの位置と、設計データ空間における設計データの1つ又は複数の属性と、検査データの1つ又は複数の属性に基づいてウェハの異なる部分で欠陥を検出する感度とを決定することを含む。このステップで使用される設計データの複数の属性は、本明細書で説明されている(複数の)属性を含む。このような一実施形態では、検査データの1つ又は複数の属性は、異なる部分で欠陥が検出された場合に、1つ又は複数のイメージ・ノイズ属性、又はそれらの何らかの組合せを含む。このように、この実施形態で使用される検査データの1つ又は複数の属性は、イメージ・ノイズ属性、及び/又は検査データの異なる領域における欠陥の検出又は無検出を含む。このステップで使用される検査データの複数の属性は、本明細書で説明されている他の属性を含む。この実施形態において感度を決定することは、設計属性に相関するイメージ・ノイズに基づいて検査プロセスに対するRBMTセットアップに関して実行される。この実施形態で感度を決定することは、さらに、本明細書で説明されているように実行される。   In other embodiments, the method may vary the wafer based on the location of the inspection data in the design data space, one or more attributes of the design data in the design data space, and one or more attributes of the inspection data. Determining the sensitivity of detecting defects in the portion. The multiple attributes of the design data used in this step include the attribute (s) described herein. In one such embodiment, the one or more attributes of the inspection data include one or more image noise attributes, or some combination thereof, when defects are detected in different portions. Thus, one or more attributes of inspection data used in this embodiment include image noise attributes and / or detection or no detection of defects in different regions of the inspection data. The plurality of attributes of the inspection data used in this step includes other attributes described herein. Determining sensitivity in this embodiment is performed with respect to the RBMT setup for the inspection process based on image noise correlated to design attributes. Determining sensitivity in this embodiment is further performed as described herein.

他の実施形態では、この方法は、ウェハ上で加工されるデバイスの設計に対するスキーマ・データの1つ又は複数の属性、デバイスに対する物理的レイアウトの予想される電気的挙動の1つ又は複数の属性、又はそれらの何らかの組合せに基づいてウェハ上の欠陥を検出する1つ又は複数のパラメータをアラインさせることを含む。このように、(複数の)設計スキーマ・データ属性や、物理設計(レイアウト)の予想される挙動の他の電気的記述を使用して、欠陥を検出するための1つ又は複数のパラメータ又は検査プロセスの任意の他のパラメータを変更することができる。例えば、クリティカル及び非クリティカル・パス、アクティブ及び非アクティブ・ジオメトリに関する情報、物理設計(レイアウト)のスキーマ・データ又は予想される電気的挙動に関する他のそのような情報を使用して、欠陥を検出する感度を変更し、欠陥を検出すべきウェハの部分を決定し(例えば、検査対象領域と検査対象外領域)、検査データのどの部分を欠陥を検出するために使用すべきかを決定し(例えば、ウェハ空間と設計データ空間との相関に基づいて)、検査プロセスの他の1つ又は複数のパラメータを変更する。   In other embodiments, the method may include one or more attributes of schema data for a design of a device being processed on a wafer, one or more attributes of an expected electrical behavior of a physical layout for the device. Or aligning one or more parameters that detect defects on the wafer based on some combination thereof. Thus, one or more parameters or inspections to detect defects using the design schema data attribute (s) and other electrical descriptions of the expected behavior of the physical design (layout) Any other parameters of the process can be changed. Detect defects using, for example, critical and non-critical paths, information about active and inactive geometry, physical design (layout) schema data, or other such information about expected electrical behavior Change the sensitivity, determine the part of the wafer where defects should be detected (eg, inspection area and non-inspection area), and determine what part of inspection data should be used to detect defects (eg, One or more other parameters of the inspection process are changed (based on the correlation between the wafer space and the design data space).

他の例では、設計/イメージ・コンテキストに基づいて欠陥捕捉率及び電気的挙動監視を実行する。例えば、電気的挙動は、電気的試験、FA、又は当業界で知られている他の試験若しくは分析を実行することにより、又はそのような試験若しくは分析の結果を使用することにより、監視される。電気的試験、FA、又は他の試験若しくは分析の結果を、デバイスのスキーマ・データと物理的レイアウトに関するコンテキスト情報に相関させることができる。監視されている欠陥捕捉率と電気的挙動を、ウェハ上で検出された欠陥に関する情報、欠陥を検出するために使用される検査プロセスに関する情報、設計に関する情報を決定するために設計/イメージ・コンテキストに相関させることができる。例えば、欠陥捕捉率と電気的挙動を監視した結果を使用して、どのようなタイプの欠陥がウェハ上で検出されるか、どの欠陥を検出すべきか(例えば、オンライン検査プロセスで)、どの欠陥が検出されていないかを決定し、かつ設計における弱点を決定することができる。このような情報を使用して、本明細書でさらに説明されているように検査プロセスを変更することができる。   In another example, defect capture rate and electrical behavior monitoring is performed based on the design / image context. For example, electrical behavior is monitored by performing electrical tests, FA, or other tests or analyzes known in the art or by using the results of such tests or analyses. . The results of electrical testing, FA, or other testing or analysis can be correlated to device schema data and contextual information about the physical layout. Design / image context to determine the defect capture rate and electrical behavior being monitored, information about defects detected on the wafer, information about the inspection process used to detect defects, information about the design Can be correlated. For example, using the results of monitoring defect capture rate and electrical behavior, what types of defects are detected on the wafer, which defects should be detected (eg, in an online inspection process), and which defects Can be determined, and weaknesses in the design can be determined. Such information can be used to modify the inspection process as described further herein.

追加の実施形態では、この方法は、ウェハ上で実行されるべき電気的試験プロセスの1つ又は複数のパラメータに基づいて検査データを使用してウェハ上で欠陥を検出するために1つ又は複数のパラメータを変更することを含む。例えば、ウェハ上の欠陥を検出するための1つ又は複数のパラメータ又は検査プロセスの他のパラメータは、関連する(物理的)設計データ空間に関連付けられている電気的試験定義に基づいて変更される。このように、電気的試験をどのように実行するかに基づいて検査プロセスを変更することができる。このような一例では、電気的試験プロセスにより分析されるウェハ上の領域は、電気的試験プロセスの1つ又は複数のパラメータに基づいて決定され、欠陥を検出するための1つ又は複数のパラメータ又は検査プロセスの他のパラメータは、電気的試験プロセスにおいて分析されないウェハ上の領域内の欠陥が適切な感度により検査されるように変更される。   In an additional embodiment, the method includes one or more to detect defects on the wafer using inspection data based on one or more parameters of an electrical test process to be performed on the wafer. Including changing the parameters. For example, one or more parameters for detecting defects on the wafer or other parameters of the inspection process are changed based on electrical test definitions associated with the associated (physical) design data space. . In this way, the inspection process can be modified based on how the electrical test is performed. In one such example, the area on the wafer that is analyzed by the electrical test process is determined based on one or more parameters of the electrical test process, and one or more parameters or Other parameters of the inspection process are changed so that defects in areas on the wafer that are not analyzed in the electrical test process are inspected with appropriate sensitivity.

それに加えて、電気的試験プロセスの1つ又は複数のパラメータ及び設計データ空間又はウェハ空間における欠陥の位置を使用して、電気的試験プロセスにより試験されない(又は「電気的試験を逃れる」)欠陥を識別することができる。このような一例では、電気的試験プロセスで試験されるウェハ上の領域及びウェハ上の欠陥の位置を使用して、電気的試験プロセスにより試験されない欠陥を決定することができる。他の例では、電気的試験プロセスで試験される設計における領域及び設計データ空間における欠陥の位置を使用して、電気的試験プロセスにより試験されない欠陥を決定することができる。同様にして、電気的試験プロセスの1つ又は複数のパラメータ及び設計データ空間又はウェハ空間における欠陥の位置を使用し、欠陥が電気的試験プロセスにより試験されるか、されないかに応じて欠陥を異なるグループに分離するか、又はビン範囲に従って異なるグループに分けることができる。   In addition, using one or more parameters of the electrical test process and the location of the defect in the design data space or wafer space, defects that are not tested by the electrical test process (or “escape the electrical test”) Can be identified. In one such example, the area on the wafer to be tested in the electrical test process and the location of the defect on the wafer can be used to determine defects that are not tested by the electrical test process. In another example, the area in the design tested in the electrical test process and the location of the defect in the design data space can be used to determine defects that are not tested by the electrical test process. Similarly, using one or more parameters of the electrical test process and the location of the defect in the design data space or wafer space, the defect varies depending on whether the defect is tested by the electrical test process or not. They can be separated into groups or divided into different groups according to bin ranges.

ウェハ空間において、設計データの属性及びホット・スポットに関する情報(例えば、ホット・スポット・データベースからの情報)を使用して、監視フェーズにおいて検査レシピーをセットアップすることができる。例えば、検査対象領域は、ウェハ空間において監視フェーズで自動的に定義される。自動的に定められた検査対象領域は、マクロとミクロの検査対象領域を含む。自動的に定められた検査対象領域は、さらに、検査対象外領域を含むこともできる。それに加えて、検査レシピーは、感度を自動的に変更すること、ニュイサンス欠陥をフィルタリングすること、知られている系統的欠陥の捕捉率を高めること(例えば、ホット・スポット又はホット・スポット領域に対する感度を増強すること)、コールド・スポット領域に対応する検出信号又はデータを抑制することに対してセットアップされる。さらに、設計データの属性及びホット・スポットに関する情報を使用して、欠陥をうまくグループ化し、分類し、又はビン範囲に従って欠陥を分け、欠陥をサンプリングすることができ、これは、GDS(つまり、GDSパターン・グループ化)及び/又はGDSパターン・グループ化パレートを使用して設計データに基づいてビン範囲に従って欠陥を分けることを含み、それぞれ本明細書で説明されているよう実行される。   In wafer space, design recipe attributes and information about hot spots (eg, information from a hot spot database) can be used to set up an inspection recipe in the monitoring phase. For example, the inspection target area is automatically defined in the monitoring phase in the wafer space. The automatically determined inspection target areas include macro and micro inspection target areas. The automatically determined inspection target area can further include a non-inspection target area. In addition, inspection recipes can automatically change sensitivity, filter nuisance defects, increase the capture rate of known systematic defects (eg, sensitivity to hot spots or hot spot areas) Set up for suppressing detection signals or data corresponding to cold spot areas. In addition, design data attributes and information about hot spots can be used to successfully group and classify defects, or classify defects according to bin ranges, and sample defects, which can be referred to as GDS (ie, GDS Pattern grouping) and / or using GDS pattern grouping pareto to separate defects according to bin ranges based on design data, each performed as described herein.

他の実施形態では、この方法は、フィードバック制御技術を使用して方法の1つ又は複数のステップの結果に基づいて検査システムにより実行される検査プロセスの1つ又は複数のパラメータを定期的に変更することを含む。他の実施形態では、この方法は、フィードバック制御技術を使用して方法の1つ又は複数のステップの結果に基づいて検査システムにより実行される検査プロセスの1つ又は複数のパラメータを自動的に変更することを含む。例えば、監視フェーズは、恐らくはプロセス・ゾーンの差に関する事前の知識と組み合わせて前の計量結果に基づいて検査レシピー又はパラメータを変更することを含む検査プロセスの自動プロセス制御(APC)を含む。計量プロセスに対するAPCは、後続の計量において実行されるべき測定に加えて測定が実行されるべき配置を決定するために、本明細書で説明されている実施形態のどれかに従って識別される、系統的欠陥に基づいて実行される。試験プロセスに対するAPCは、試験が実施されるべき配置と、後続の電気的試験において試験されるべき電気的パラメータとを決定するために、本明細書で説明されている実施形態のどれかに従って識別される、系統的欠陥に基づいて実行される。   In other embodiments, the method periodically alters one or more parameters of an inspection process performed by the inspection system based on the results of one or more steps of the method using feedback control techniques. Including doing. In other embodiments, the method automatically alters one or more parameters of an inspection process performed by the inspection system based on the results of one or more steps of the method using feedback control techniques. Including doing. For example, the monitoring phase includes automatic process control (APC) of the inspection process, including changing the inspection recipe or parameters based on previous weighing results, possibly in combination with prior knowledge of process zone differences. The APC for the weighing process is identified according to any of the embodiments described herein to determine the arrangement in which the measurement is to be performed in addition to the measurement to be performed in subsequent weighing. It is executed on the basis of mechanical defects. The APC for the test process is identified according to any of the embodiments described herein to determine the arrangement in which the test is to be performed and the electrical parameters to be tested in the subsequent electrical test. Is performed based on systematic defects.

追加の実施形態では、この方法は、方法の1つ又は複数のステップの結果を使用して知識ベースを生成することと、知識ベースを使用して検査システムにより実行される検査プロセスを生成することとを含む。知識ベースは、好適なデータ構造体に1つ又は複数のイメージ属性及び/又は設計データの1つ又は複数の属性を格納することにより生成される。それに加えて、知識ベースは、検査プロセスを生成するために使用される検査システムにより取り込まれる累積学習を含む。例えば、検査プロセスについては、知識ベースを使用して、欠陥検出の頻度やニュイサンス欠陥である検出された欠陥の割合などの検査の累積的結果を決定し、そのような累積的結果を使用して、欠陥がニュイサンス欠陥である確率などの追加の情報を決定することができる。   In additional embodiments, the method uses the result of one or more steps of the method to generate a knowledge base and uses the knowledge base to generate an inspection process that is performed by the inspection system. Including. The knowledge base is generated by storing one or more image attributes and / or one or more attributes of design data in a suitable data structure. In addition, the knowledge base includes cumulative learning captured by the inspection system used to generate the inspection process. For example, for the inspection process, the knowledge base is used to determine the cumulative results of the inspection, such as the frequency of defect detection and the percentage of detected defects that are nuisance defects, and using such cumulative results Additional information, such as the probability that the defect is a nuisance defect, can be determined.

このような知識ベースを使用することで、本明細書でさらに説明されているように検査プロセスを生成することができる。この方法では、知識ベースは、新しい検査レシピーを生成するために使用される。それに加えて、知識ベースは、レシピー・セットアップ及び/又はウェハなしレシピー・セットアップの検査プロセスを生成するために使用される。検査プロセスを生成することは、検査プロセスの1つ又は複数のパラメータを選択することを含む。それに加えて、知識ベースは、レシピー最適化及び自動化レシピー最適化により検査プロセスを変更するために使用される。例えば、この方法は、既存の検査プロセスの1つ又は複数のパラメータの定期的又は自動最適化に知識ベースの訓練のフィードバック機構を使用することを含む。検査プロセスを変更することは、検査プロセスの1つ又は複数のパラメータを変更することを含む。   Using such a knowledge base, an inspection process can be generated as described further herein. In this method, the knowledge base is used to generate a new inspection recipe. In addition, the knowledge base is used to generate an inspection process for recipe setup and / or waferless recipe setup. Generating the inspection process includes selecting one or more parameters of the inspection process. In addition, the knowledge base is used to modify the inspection process by recipe optimization and automated recipe optimization. For example, the method includes using a knowledge-based training feedback mechanism for periodic or automatic optimization of one or more parameters of an existing inspection process. Changing the inspection process includes changing one or more parameters of the inspection process.

他の実施形態では、この方法は、設計データ空間における検査データの位置とコンテキスト・マップとを使用してウェハ上のレチクル欠陥の印刷可能性を判定するウェハ検査プロセスを最適化することを含む。このように、この方法は、コンテキスト・マップと組み合わせてCBIを使用してレチクル上で検出された欠陥の印刷可能性を判定することを目的とするウェハ検査プロセスの最適化を含む。ウェハ検査プロセスを最適化することは、本明細書で説明されている(複数の)ウェハ検査プロセスのパラメータを含んでいてもよい、ウェハ検査プロセスの1つ又は複数のパラメータを変更することを含む。一般に、ウェハ上のレチクル欠陥の印刷可能性を判定することは、ウェハを検査してレチクル上の欠陥に対応しうるウェハ上の欠陥を検出することを含む。このように、(複数の)レチクル欠陥の印刷可能性を判定するようにウェハ検査プロセスを最適化することは、レチクル上の欠陥に対応しうるウェハ上の欠陥を検出するようにウェハ検査プロセスを最適化することを含む。   In other embodiments, the method includes optimizing a wafer inspection process that uses the location of the inspection data in the design data space and the context map to determine the printability of reticle defects on the wafer. As such, the method includes optimization of a wafer inspection process aimed at determining printability of defects detected on the reticle using CBI in combination with a context map. Optimizing the wafer inspection process includes changing one or more parameters of the wafer inspection process that may include the parameters of the wafer inspection process (s) described herein. . In general, determining the printability of a reticle defect on the wafer includes inspecting the wafer to detect a defect on the wafer that may correspond to a defect on the reticle. In this way, optimizing the wafer inspection process to determine the printability of the reticle defect (s) causes the wafer inspection process to detect defects on the wafer that may correspond to defects on the reticle. Including optimizing.

一例では、この方法は、設計データ空間における、ウェハについて取り込まれた、検査データの位置と、本明細書で説明されているように決定される、設計データ空間における1つ又は複数のレチクル欠陥の位置とを使用して、(複数の)レチクル欠陥の印刷可能性を判定するために使用される検査データの部分を識別することを含む。このように、(複数の)レチクル欠陥の設計データ空間位置及びウェハについて取り込まれた検査データを使用することで、(複数の)レチクル欠陥に対応しうるウェハ上の欠陥を検出するために使用される検査データの部分を決定することができる。コンテキスト・マップを含む設計データの(複数の)属性を使用して、ウェハ検査プロセスの1つ又は複数のパラメータを選択し、レチクル欠陥の印刷可能性を判定することができる。例えば、コンテキスト・マップを使用することで、上述のように識別された検査データの部分に対応する設計データの1つ又は複数の属性を決定することができる。このように、異なる部分に対応する設計データの1つ又は複数の属性に基づいて、上述のように識別された検査データの異なる部分に対し使用されるウェハ検査プロセスの1つ又は複数のパラメータを選択する。その際、1つ又は複数の属性の異なる値を有する設計データに対応する、上述のように識別された検査データの異なる部分を1つ又は複数の異なるパラメータで処理し、(複数の)レチクル欠陥に対応するウェハ欠陥を検出することができる。このような一例では、コンテキスト・マップは、ウェハについて取り込まれた検査データの異なる部分に対応する設計データのクリティカル度を決定するために使用され、これは上述のように識別され、またクリティカル度は、検査データの異なる部分における欠陥を検出する場合の感度を決定するために使用される。このような特定の一例では、検査データの異なる部分についてウェハ検査プロセスの異なるパラメータを選択し、1つ又は複数のレチクル欠陥の印刷可能性を設計データにおける非クリティカル領域に比べて設計データのクリティカル領域ではより高い精度で判定することができる。   In one example, the method includes the location of inspection data captured for a wafer in the design data space and one or more reticle defects in the design data space that are determined as described herein. And using the position to identify the portion of the inspection data used to determine the printability of the reticle defect (s). Thus, by using the design data space location of the reticle defect (s) and the inspection data captured for the wafer, it is used to detect defects on the wafer that can correspond to the reticle defect (s). The portion of the inspection data to be determined can be determined. The attribute (s) of the design data including the context map can be used to select one or more parameters of the wafer inspection process to determine the printability of the reticle defect. For example, using a context map, one or more attributes of the design data corresponding to the portion of the inspection data identified as described above can be determined. Thus, based on one or more attributes of design data corresponding to different parts, one or more parameters of the wafer inspection process used for the different parts of the inspection data identified as described above. select. In doing so, different portions of the inspection data identified as described above, corresponding to design data having different values of one or more attributes, are processed with one or more different parameters, and (a) reticle defect (s) It is possible to detect wafer defects corresponding to. In one such example, the context map is used to determine the criticality of the design data corresponding to different portions of the inspection data captured for the wafer, which is identified as described above, and the criticality is Used to determine the sensitivity when detecting defects in different parts of the inspection data. In one such specific example, different parameters of the wafer inspection process are selected for different parts of the inspection data, and the printability of one or more reticle defects is determined in the critical area of the design data compared to the non-critical area in the design data. Then, it can be determined with higher accuracy.

ウェハ検査プロセスの1つ又は複数のパラメータは、さらに、設計データ空間における検査データの位置、コンテキスト・マップ、本明細書で説明されている他の情報に基づいて変更及び/又は最適化される。例えば、コンテキスト・マップを使用して、1つ又は複数のレチクル欠陥が検出された設計データの異なる部分の1つ又は複数の属性を決定することができ、異なる部分の1つ又は複数の設計データ属性をレチクル検査データの1つ又は複数の属性(1つ又は複数のレチクル欠陥の属性など)と組み合わせて使用して、(複数の)レチクル欠陥が検出された設計データの異なる部分に対応する検査データの異なる部分についてウェハ検査プロセス・パラメータを選択することができる。このような一例では、実質的に同じ(複数の)属性を有する設計データの部分に配置されている異なるタイプのレチクル欠陥の印刷可能性がウェハ検査プロセスの1つ又は複数の異なるパラメータで判定されるようにウェハ検査プロセスの1つ又は複数のパラメータを選択することができる。他の例では、(複数の)属性の異なる値を有する設計データの部分に配置されている同じタイプのレチクル欠陥の印刷可能性がウェハ検査プロセスの1つ又は複数の異なるパラメータで判定されるようにウェハ検査プロセスの1つ又は複数のパラメータを選択することができる。   One or more parameters of the wafer inspection process are further modified and / or optimized based on the location of the inspection data in the design data space, the context map, and other information described herein. For example, a context map can be used to determine one or more attributes of different parts of the design data where one or more reticle defects are detected, such that one or more design data of the different parts Inspections corresponding to different parts of the design data where the reticle defect (s) were detected using attributes in combination with one or more attributes of the reticle inspection data (such as one or more reticle defect attributes) Wafer inspection process parameters can be selected for different portions of the data. In one such example, the printability of different types of reticle defects placed in portions of design data having substantially the same attribute (s) is determined by one or more different parameters of the wafer inspection process. One or more parameters of the wafer inspection process can be selected. In another example, the printability of the same type of reticle defect located in the portion of the design data having different values of the attribute (s) is determined by one or more different parameters of the wafer inspection process. One or more parameters of the wafer inspection process can be selected.

レチクル欠陥の印刷可能性を判定するようにウェハ検査プロセスを最適化するため上で説明されている実施形態において使用されるコンテキスト・マップは、本明細書で説明されているよう構成され、本明細書で説明されているコンテキスト・マップのどれかを含む。それに加えて、コンテキスト・マップに含まれる情報はどれも、ウェハ検査プロセスの1つ又は複数のパラメータを変更するために上で説明されている実施形態において使用される。   The context map used in the embodiment described above to optimize the wafer inspection process to determine the printability of reticle defects is configured as described herein and is Contains any of the context maps described in the document. In addition, any information contained in the context map is used in the embodiments described above to modify one or more parameters of the wafer inspection process.

いくつかの実施形態では、この方法は、検査データを使用してウェハ上で検出された結果に基づいてウェハ上で実行されるべき電気的試験プロセスの1つ又は複数のパラメータを変更することを含む。例えば、試験空間において、監視フェーズは、本明細書で説明されている実施形態に従って識別された系統的欠陥を使用して試験パターン及び/又は他の試験パラメータを定義又は修正することを含む。それに加えて、検査データを使用してウェハ上で検出された欠陥を使用することで、欠陥の1つ又は複数が電気的試験プロセスにより試験されない(又は「電気的試験を逃れる」)かどうかを判定し、また1つ又は複数の欠陥が電気的試験プロセスにより試験されるように電気的試験プロセスが実行されるウェハ上の領域を定める1つ又は複数のパラメータを変更することができる。このように、検査プロセスの結果を電気的試験プロセスにフィードフォワードし、電気的試験プロセスで試験されない欠陥の数を減らすことができる。それに加えて、電気的試験プロセスの1つ又は複数のパラメータは、検査データを使用してウェハ上で検出された欠陥、本明細書で説明されているように決定される設計データ空間、又はウェハ空間における欠陥の位置、本明細書で説明されている任意の方法で決定された本明細書で説明されている欠陥の(複数の)属性を含む欠陥の1つ又は複数の属性、本明細書で説明されている任意の方法で決定された本明細書で説明されている設計データの(複数の)属性を含む設計データの1つ又は複数の属性、本明細書で説明されている他の情報、又はそれらの何らかの組合せに基づいて変更される。例えば、欠陥の位置、欠陥の(複数の)属性、設計データの(複数の)属性を使用して、本明細書で説明されているように欠陥の1つ又は複数に対する故障確率値を決定することができる。既存の電気的試験プロセスにより試験されない欠陥が、比較的低い故障確率値を有する場合、この方法により電気的試験プロセスの1つ又は複数のパラメータを変更することはできない。対照的に、既存の電気的試験プロセスにより試験されない欠陥が、比較的高い故障確率値を有する場合、比較的高い故障確率値を有する欠陥がこの電気的試験プロセスにより試験されるように電気的試験プロセスの1つ又は複数のパラメータを変更することができる。同様にして、計量プロセスのサンプリングなどの計量プロセスの1つ又は複数のパラメータは、上述のように選択、決定、又は変更される。   In some embodiments, the method includes modifying one or more parameters of an electrical test process to be performed on the wafer based on results detected on the wafer using inspection data. Including. For example, in the test space, the monitoring phase includes defining or modifying test patterns and / or other test parameters using systematic defects identified in accordance with the embodiments described herein. In addition, by using defects detected on the wafer using inspection data, whether one or more of the defects are not tested by the electrical test process (or “escape the electrical test”) One or more parameters can be determined to determine and define an area on the wafer where the electrical test process is performed such that one or more defects are tested by the electrical test process. In this way, the results of the inspection process can be fed forward to the electrical test process, reducing the number of defects that are not tested in the electrical test process. In addition, one or more parameters of the electrical test process may include a defect detected on the wafer using inspection data, a design data space determined as described herein, or a wafer One or more attributes of the defect, including the position of the defect in space, the attribute (s) of the defect described herein determined in any manner described herein, and One or more attributes of the design data, including the attribute (s) of the design data described herein, determined in any manner described in It is changed based on information or some combination thereof. For example, the defect location, the defect attribute (s), and the design data attribute (s) are used to determine a failure probability value for one or more of the defects as described herein. be able to. If a defect that is not tested by an existing electrical test process has a relatively low failure probability value, this method cannot change one or more parameters of the electrical test process. In contrast, if a defect that is not tested by an existing electrical test process has a relatively high failure probability value, the electrical test is performed so that a defect with a relatively high failure probability value is tested by this electrical test process. One or more parameters of the process can be changed. Similarly, one or more parameters of a metering process, such as sampling of the metering process, are selected, determined, or changed as described above.

検査データを設計データにアラインさせることで、ウェハ上の「ホット・スポット」を検査することが可能になる。「ホット・スポット」は、致命欠陥が存在しうるウェハ上で印刷される設計データの一配置として一般に定義される。対照的に、「コールド・スポット」は、ニュイサンス欠陥が存在しうるウェハ上で印刷される設計データの一配置として一般に定義される。ニュイサンス欠陥の一例は、ウェハ上に形成されるデバイスの歩留まりに実質的に影響を及ぼさないフィーチャの限界寸法(CD)の変動であるが、これにより検査システムは、その配置に欠陥があることを示す。いくつかの欠陥は、欠陥がウェハの他の層上に形成されたデバイスの構造と接触しているなどのいくつかの条件の下でのみ致命欠陥となる。したがって、このような欠陥がウェハ上に印刷される設計データにおいて存在しうる配置は、一般に、「条件付きホット・スポット」と呼ばれる。   By aligning the inspection data with the design data, it becomes possible to inspect “hot spots” on the wafer. A “hot spot” is generally defined as an arrangement of design data printed on a wafer where a fatal defect may exist. In contrast, a “cold spot” is generally defined as an arrangement of design data printed on a wafer where nuisance defects may be present. An example of a nuisance defect is a variation in the critical dimension (CD) of a feature that does not substantially affect the yield of devices formed on the wafer, which may cause the inspection system to be defective in its placement. Show. Some defects are fatal only under some conditions, such as when the defects are in contact with the structure of a device formed on another layer of the wafer. Therefore, an arrangement where such defects can exist in design data printed on a wafer is commonly referred to as a “conditional hot spot”.

追加の実施形態では、この方法は、図1のステップ20に示されているように、ウェハ上で検出された欠陥がニュイサンス欠陥であるかどうかを判定することを含む。欠陥がニュイサンス欠陥であるかどうかは、設計データ空間における検査データの位置及び設計データの1つ又は複数の属性に基づいて判定される。例えば、いくつかの実施形態では、この方法は、設計データ空間における検査データの位置に基づいて設計データ空間における欠陥の位置を決定することと、設計データ空間における欠陥の位置と設計データ空間における設計データの1つ又は複数の属性に基づいて欠陥がニュイサンス欠陥であるかどうかを判定することとを含む。このステップでニュイサンス欠陥を識別するために使用される設計データの1つ又は複数の属性は、本明細書で説明されている(複数の)属性を含む。例えば、設計データの1つ又は複数の属性は、コンテキスト・マップ内に定義される。このように、この方法は、コンテキスト・マップを欠陥データに適用し、限定はしないがPWQなどのアプリケーションにおいて重要と考えられない欠陥(例えば、ニュイサンス欠陥)をフィルタリング(例えば、破棄)することを含む。その際、加工プロセスの能力の限界に近づきつつある設計の部分を、コンテキストに基づいてクリティカルである部分とクリティカルでない部分とに分ける。他の例では、このステップでニュイサンス欠陥を識別するために使用される設計データの(複数の)属性は、設計データに対するホット・スポット情報を含む。このように、設計データ空間における欠陥の位置とホット・スポット情報を使用して、設計データにおいてコールド・スポットで検出された欠陥をニュイサンス欠陥として識別することができる。   In additional embodiments, the method includes determining whether the defect detected on the wafer is a nuisance defect, as shown in step 20 of FIG. Whether the defect is a nuisance defect is determined based on the position of the inspection data in the design data space and one or more attributes of the design data. For example, in some embodiments, the method determines the position of the defect in the design data space based on the position of the inspection data in the design data space, and the position of the defect in the design data space and the design in the design data space. Determining whether the defect is a nuisance defect based on one or more attributes of the data. The one or more attributes of the design data used to identify the nuisance defect at this step include the attribute (s) described herein. For example, one or more attributes of the design data are defined in the context map. Thus, the method includes applying a context map to defect data and filtering (eg, discarding) defects (eg, nuisance defects) that are not considered important in applications such as but not limited to PWQ. . At this time, the part of the design that is approaching the limit of the capability of the machining process is divided into a critical part and a non-critical part based on the context. In another example, the design data attribute (s) used to identify the nuisance defect at this step includes hot spot information for the design data. In this way, the defect location and hot spot information in the design data space can be used to identify defects detected in the cold spot in the design data as nuisance defects.

リソグラフィのPWQアプリケーションは、一般に、異なる露光量と焦点オフセットで(つまり、変調された線量と焦点で)ウェハ上のダイを露光することと、設計上の弱点のある領域を決定し、プロセス・ウィンドウを決定するために使用されるダイにおける系統的欠陥を識別することとを含む。リソグラフィに対するPWQアプリケーションの例は、本明細書に全体が説明されているかのように参照により組み込まれる、2004年12月7日に出願されたWuらの同一出願人による米国特許出願第11/005,658号において例示されている。焦点と露光変調の多くのアーチファクトは、欠陥として現れるが(ダイ−標準参照ダイ差分)、実際にはニュイサンス欠陥である。このようなアーチファクトの例は、CDのバラツキ、及びこれらのアーチファクトがデバイスの歩留まり又は性能に全く又はほとんど影響を及ぼさない領域内の線端プルバック又はショートを含む。しかし、欠陥の位置は、本明細書で説明されている方法を使用して設計レイアウトに関して実質的に正確に決定される。それに加えて、本明細書で説明されている方法を使用することで、上でさらに説明されているように、比較的高い精度で対象領域を決定することができる。これらの「微小」検査対象領域は、知られているホット・スポットを中心とし、比較的高い感度で検査されるか、又は検査対象外領域又は比較的低い感度で検査される領域として知られているコールド・スポット(系統的ニュイサンス)を中心とすることができる。   Lithographic PWQ applications typically determine exposure of dies on a wafer with different exposure and focus offsets (ie, modulated dose and focus), design weak areas, and process windows. Identifying systematic defects in the die used to determine. An example of a PWQ application for lithography is incorporated by reference as if fully set forth herein, U.S. Patent Application No. 11/005, filed December 7, 2004, by the same applicant as Wu et al. 658. Many artifacts of focus and exposure modulation appear as defects (die-standard reference die difference) but are actually nuisance defects. Examples of such artifacts include CD variability, and line end pullbacks or shorts in areas where these artifacts have no or little impact on device yield or performance. However, the location of the defect is determined substantially accurately with respect to the design layout using the methods described herein. In addition, by using the methods described herein, the region of interest can be determined with relatively high accuracy, as further described above. These “small” areas to be inspected are centered around known hot spots and are inspected with a relatively high sensitivity, or are known as non-inspection areas or areas with a relatively low sensitivity. Can be centered on the cold spot (systematic nuisance).

したがって、上述のように、この方法は、設計データ空間に関する欠陥の位置と、その位置が検査対象領域内にあるかどうかとに基づいて、欠陥がニュイサンス欠陥であるかどうかを判定することを含む。欠陥は、さらに、コンテキスト、サイズ、冗長性、PWQ「ルール」、又はその何らかの組合せに応じてフィルタリングされる。例えば、プロセス空間では、PWQ分析及びDOE分析は、監視フェーズにおいてホット・スポットを使用して実行される。それに加えて、本明細書で説明されている方法は、PWQアプリケーションを、現在使用されているノイズ・フィルタが分解能の制限のため機能しない65nm設計ルールよりも下に拡張するために使用される。したがって、本明細書で説明されている方法の利点の1つは、BF検査を拡張し系統的及びDFM欠陥を検出するためにこの方法を使用できることにある。特に、本明細書で説明されているようなCBIを使用すると、65nm設計ルール以下の系統的欠陥検査及び/又はDFMアプリケーションなどのBF検査システムの機能を付加することができる。これらの方法は、さらに、DFM系統的欠陥の根本原因を比較的迅速に突き止めることを可能にするか、又は保持する。根本原因を突き止めることは、本明細書でさらに説明されているように実行される。   Thus, as described above, the method includes determining whether the defect is a nuisance defect based on the position of the defect with respect to the design data space and whether the position is within the inspection area. . Defects are further filtered according to context, size, redundancy, PWQ “rules”, or some combination thereof. For example, in process space, PWQ analysis and DOE analysis are performed using hot spots in the monitoring phase. In addition, the methods described herein are used to extend PWQ applications below the 65 nm design rule where currently used noise filters do not work due to resolution limitations. Thus, one advantage of the method described herein is that it can be used to extend BF inspection to detect systematic and DFM defects. In particular, the use of CBI as described herein can add the functionality of a BF inspection system such as systematic defect inspection and / or DFM applications below the 65 nm design rule. These methods further allow or retain the root cause of DFM systematic defects relatively quickly. Locating the root cause is performed as described further herein.

他の実施形態では、この方法は、設計データ空間における設計データの1つ又は複数の属性に基づいて(上でさらに説明されているようにコンテキスト・マップで定義される)、又は欠陥の位置と、リスト又はデータベースなどのデータ構造体に格納される、ホット・スポットの位置とを比較することにより、ステップ22に示されているように、ニュイサンス欠陥であると判定されていない欠陥が系統的又はランダム欠陥であるかどうかを判定することを含む。それに加えて、注目していない欠陥のすべてが、ニュイサンス欠陥でない場合もある。例えば、比較的低い歩留まり影響を有するか、又は全く歩留まりに影響のない系統的欠陥は注目していない欠陥であり、ニュイサンス欠陥でないと考えられる。そのような欠陥は、ウェハ上のアクティブ・パターン又はデバイス領域上に現れる。本明細書で説明されている方法は、そのような欠陥を識別することを含む。そのような欠陥、又はコールド・スポットに配置されている欠陥は、設計コンテキスト(例えば、冗長ビア)、モデル化(例えば、DesignScan)、PWQ、検査とレビュー、試験との欠陥相関(例えば、ある配置の比較的高い積層欠陥密度と比較的低い積層電気的障害配置など)から識別される。それに加えて、これらの欠陥の監視は、欠陥の位置とホット・スポット及びコールド・スポットの位置とを比較することにより実行される。さらに、これらの欠陥が配置されるパターンが共通であれば本明細書で説明されている設計データに基づくグループ分けの方法を使用して、これらの欠陥を他の系統的欠陥とは別にビン範囲に従って分けることができる。さらに、系統的欠陥の発見は、設計、モデル化結果、検査結果、計量結果、並びに試験及びFA結果からの複数の入力ソースを相関させることにより実行される。   In other embodiments, the method may be based on one or more attributes of the design data in the design data space (defined in the context map as further described above), or Defects that have not been determined to be nuisance defects, as shown in step 22, by comparing with the location of the hot spot, stored in a data structure such as a list or database, Determining whether it is a random defect. In addition, all defects that are not of interest may not be nuisance defects. For example, systematic defects that have a relatively low yield effect or have no effect on yield are defects that are not of interest and are not considered nuisance defects. Such defects appear on the active pattern or device area on the wafer. The methods described herein include identifying such defects. Such defects, or defects that are placed in a cold spot, can have a design context (eg, redundant via), modeling (eg, DesignScan), PWQ, inspection and review, test defect correlation (eg, some placement) A relatively high stacking fault density and a relatively low stacking fault configuration). In addition, the monitoring of these defects is performed by comparing the position of the defects with the positions of the hot and cold spots. In addition, if the patterns in which these defects are placed are common, the grouping method based on the design data described in this specification can be used to separate these defects from the bin range separately from other systematic defects. Can be divided according to. In addition, systematic defect discovery is performed by correlating multiple input sources from design, modeling results, inspection results, weighing results, and test and FA results.

系統的DOIは、すべてのパターン依存欠陥タイプを含む。系統的欠陥を識別することは有益な作業であり、これらの欠陥がデバイスに及ぼす影響を分析することができる。ランダムDOIは、クリティカルなタイプのランダム欠陥の統計サンプルを含む。ランダム欠陥を識別することは有益な作業であるが、それは、クリティカルなタイプのランダム欠陥を分析してこれらの欠陥がデバイスに及ぼす影響を調べることができるからである。それに加えて、ランダム欠陥を識別することにより、ニュイサンス欠陥と考えられるランダム欠陥の検出を抑制するように、1つ又は複数の検査プロセス・パラメータを変更することができる。さらに、ニュイサンス欠陥を系統的原因(コールド・スポット)から区別するように(複数の)検査プロセス・パラメータを変更することができる。   Systematic DOI includes all pattern dependent defect types. Identifying systematic defects is a valuable task and the impact of these defects on the device can be analyzed. The random DOI includes statistical samples of critical types of random defects. Identifying random defects is a valuable task because critical types of random defects can be analyzed to determine the impact of these defects on the device. In addition, by identifying random defects, one or more inspection process parameters can be modified to suppress detection of random defects that are considered nuisance defects. Furthermore, the inspection process parameter (s) can be modified to distinguish nuisance defects from systematic causes (cold spots).

欠陥がニュイサンス欠陥であるか、系統的欠陥であるか、又はランダム欠陥であるかを判定することも、有益な作業であるが、それは、1つ又は複数のウェハ上で検出される欠陥のタイプや異なるタイプの欠陥が有する歩留まりとの関連性に基づいて歩留まりをより正確に推測することができるからである。それに加えて、本明細書で説明されている方法の結果を、場合によっては歩留まり予測と組み合わせて使用し、設計データ及び製造プロセスに関する1つ又は複数の決定を下すことができる。例えば、IC設計を検証するために、本明細書で説明されている方法の結果を使用することができる。他の例では、プロセスにより生成されるIC設計に影響を及ぼす系統的欠陥の数と系統的欠陥のタイプの数が少なくなるように、本明細書で説明されている方法の結果をIC設計プロセスにフィードバックすることができる。このような一例では、設計及び/又はIC設計プロセスで使用される光学ルールを変更するために、本明細書で説明されている方法の結果を使用することができる。さらに他の例では、検査されるウェハ・レベルを加工するために使用される1つ又は複数のプロセスの1つ又は複数のパラメータを変更するために、本明細書で説明されている方法の結果を使用することができる。好ましくは、(複数の)プロセスにより引き起こされる系統的欠陥及び/又は系統的欠陥のタイプの数、場合によってはクリティカルなランダム欠陥の数及び/又はクリティカルなランダム欠陥のタイプの数が少なくなるように、(複数の)プロセスの1つ又は複数のパラメータを変更する。   Determining whether a defect is a nuisance defect, a systematic defect, or a random defect is also a useful task, but it is the type of defect detected on one or more wafers. This is because the yield can be estimated more accurately based on the relationship with the yield of different types of defects. In addition, the results of the methods described herein can be used, possibly in combination with yield prediction, to make one or more decisions regarding design data and manufacturing processes. For example, the results of the methods described herein can be used to verify an IC design. In another example, the results of the methods described herein can be used to reduce the number of systematic defects and the types of systematic defects that affect the IC design produced by the process. Can provide feedback. In one such example, the results of the methods described herein can be used to change the optical rules used in the design and / or IC design process. In yet another example, the results of the methods described herein to change one or more parameters of one or more processes used to process the wafer level being inspected. Can be used. Preferably, the number of systematic defects and / or systematic defect types caused by the process (s), possibly the number of critical random defects and / or the number of types of critical random defects, is reduced. , Change one or more parameters of the process (es).

いくつかの実施形態では、この方法は、設計データ空間における検査データの位置と設計データ空間における設計データの1つ又は複数の属性に基づいて、ステップ24に示されているように、1つ又は複数の欠陥を分類することを含む。例えば、設計データ空間における欠陥の位置は、設計データ空間における検査データの位置から決定される。それに加えて、設計データ空間における欠陥の位置に関連する設計データの1つ又は複数の属性は、3テキスト・マップから、又は本明細書で説明されている他の方法により決定され、欠陥を分類するために欠陥の位置に関連する1つ又は複数の属性が使用される。他の実施形態では、この方法は、設計データ空間における欠陥に対応する検査データの部分の位置と、本明細書でさらに説明されるように設計データ空間にわたる設計データの1つ又は複数の属性とに対する値を含むコンテキスト・マップに基づいてウェハの異なる部分で検出された欠陥を分類することを含む。このように、この方法では、コンテキスト・マップを使用してコンテキストで欠陥を分類することができる。このステップで(複数の)欠陥を分類することは、さらに、本明細書で説明されている他の方法でも実行される。   In some embodiments, the method may include one or more as shown in step 24 based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. Including classifying a plurality of defects. For example, the position of the defect in the design data space is determined from the position of the inspection data in the design data space. In addition, one or more attributes of the design data related to the location of the defect in the design data space are determined from the three text map or by other methods described herein to classify the defect. One or more attributes related to the location of the defect are used to do this. In other embodiments, the method includes the location of the portion of the inspection data corresponding to the defect in the design data space, and one or more attributes of the design data across the design data space as further described herein. Classifying defects detected in different parts of the wafer based on a context map containing values for. Thus, in this method, a defect can be classified by context using a context map. Classifying the defect (s) in this step is also performed in other ways as described herein.

そのような一実施形態では、欠陥を分類することは、ウェハの検査時に検査システムにより実行される。例えば、コンテキスト・マップは、ウェハを検査するときに本明細書で説明されているように欠陥を分類するために検査システムにより使用される。他のそのような実施形態では、欠陥を分類することは、ウェハに対する検査データの取り込みが完了した後に実行される。例えば、コンテキスト・マップは、検査データがオフラインで利用可能になった後、本明細書で説明されているように欠陥を分類するために検査システムにより使用される。このように、この方法は、コンテキスト・マップを使用して、欠陥を第2パス高分解能欠陥分類(HRDC)によりオンライン(例えば、検査システムを使用して)で分類するか、又はHRDCにより(例えば、SEMレビュー・ステーションを使用して)オフラインで分類することを含む。典型的には、第2パス欠陥分類は、検査システムによりオンラインで実行されようと、レビュー・システム(光学式又はSEM)によりオフラインで実行されようと、欠陥の再検出と分類を含む。再検出と分類は、両方とも、ユーザーにより手動で、又は自動的に(つまり、自動欠陥分類、ADC)実行できる。設計ルールが縮小すると、レビュー・プロセスで間違った物体を欠陥として識別する確率が高まる。設計データとコンテキスト・マップは、再検出と分類の両方に有益である。   In one such embodiment, classifying the defects is performed by the inspection system when inspecting the wafer. For example, the context map is used by the inspection system to classify defects as described herein when inspecting a wafer. In other such embodiments, classifying the defects is performed after inspection data capture for the wafer is complete. For example, the context map is used by the inspection system to classify defects as described herein after inspection data is available offline. Thus, the method uses context maps to classify defects online (eg, using an inspection system) by second pass high resolution defect classification (HRDC), or by HRDC (eg, Including offline classification (using a SEM review station). Typically, second pass defect classification includes defect re-detection and classification, whether performed online by an inspection system or offline by a review system (optical or SEM). Both redetection and classification can be performed manually by the user or automatically (ie, automatic defect classification, ADC). As design rules shrink, the probability of identifying the wrong object as a defect in the review process increases. Design data and context maps are useful for both rediscovery and classification.

再検出に関しては、コンテキスト・マップは、ユーザー又はシステムがレビュー・システムの視野内で確かな欠陥を位置決めできるように欠陥の近くの局所的バックグラウンド情報を与える。例えば、レビュー・システムにより生成されるウェハの局所イメージを設計データにアラインさせることができ、これにより、設計データ空間における欠陥の位置をアラインされた局所イメージにおいて実質的に正確に識別することができる。それに加えて、レビュー・システムでは、局所イメージとのアラインのため設計データのシミュレートされたイメージ(例えば、階調イメージ)を使用することができ、設計データ空間における欠陥の位置を使用して、局所イメージの中の欠陥の位置を決定することができる。このようなシミュレートされたイメージは、レビュー・プロセスにおける欠陥の再検出と細かいアライメント調整に使用される。このようなシミュレーションの例は、本明細書に全体が説明されているかのように参照により組み込まれる、McGheeらの米国特許第6,581,193号において例示されている。本明細書で説明されている方法は、本特許において説明されている方法のどれかの(複数の)ステップを含む。したがって、本明細書で説明されているこれらの方法及びシステムは、比較的高い精度の欠陥検出を実行するために使用される。   With respect to rediscovery, the context map provides local background information near the defect so that the user or system can locate a certain defect within the review system's field of view. For example, a local image of the wafer generated by the review system can be aligned to the design data so that the location of defects in the design data space can be substantially accurately identified in the aligned local image. . In addition, the review system can use a simulated image of design data (eg, a tone image) for alignment with a local image, using the location of defects in the design data space, The position of the defect in the local image can be determined. Such simulated images are used for defect re-detection and fine alignment in the review process. An example of such a simulation is illustrated in McGhee et al. US Pat. No. 6,581,193, which is incorporated by reference as if fully set forth herein. The methods described herein include any step (s) of the methods described in this patent. Accordingly, these methods and systems described herein are used to perform relatively high accuracy defect detection.

分類に関して、コンテキスト・マップは、欠陥が属しているクラスを決定するために(レビューにより取り込まれたデータとともに)使用される追加の情報を与える。レビューは、さらに、コンテキスト・マップ、レビューにより取り込まれたデータと検査データを使用して実行される。例えば、検査システムの時間遅延積分(TDI)カメラにより取り込まれたパッチ・イメージ及び/又は検査システムにより取り込まれた高分解能パッチ・イメージを欠陥サンプルのレビューに送る。パッチ・イメージは、光学又はSEMレビュー及び分類用のコンテキスト・マップと組み合わせて使用される。このように、上でさらに説明されているように欠陥位置を決定できる際の座標の正確さにより、システムは、設計コンテキスト及び/又はDRC障害事象コードに基づいて、欠陥を実質的に正確に分類することができる。   With respect to classification, the context map provides additional information that is used (along with the data captured by the review) to determine the class to which the defect belongs. The review is further performed using the context map, the data captured by the review and the inspection data. For example, a patch image captured by the time delay integration (TDI) camera of the inspection system and / or a high resolution patch image captured by the inspection system is sent for review of the defect sample. The patch image is used in combination with a context map for optical or SEM review and classification. In this way, the accuracy of coordinates when the defect location can be determined as described further above allows the system to classify defects substantially accurately based on design context and / or DRC failure event codes. can do.

上述のステップの1つ又は複数は、系統的欠陥が検査結果及び本明細書で説明されている他の結果を使用して識別、分類される(又はビン範囲に従って分けられる)監視フェーズにおいて実行される。監視フェーズは、偏倚監視と基準改善を含む。監視フェーズは、製品増産時や生産時に実行される。マルチソース空間(設計、ウェハ、レチクル、試験、プロセス空間の間の相関を含む)において、検査により検出された系統的欠陥を識別し、分類する際に、本明細書で説明されているステップの任意の組合せを使用することができる。それに加えて、それらと組み合わせてマルチソース空間のステップの1つ又は複数を使用し、系統的欠陥識別結果を検証することができる。   One or more of the above steps are performed in a monitoring phase in which systematic defects are identified and classified (or separated according to bin ranges) using inspection results and other results described herein. The The monitoring phase includes bias monitoring and standard improvement. The monitoring phase is executed when the product is increased or produced. In identifying and classifying systematic defects detected by inspection in a multi-source space (including correlation between design, wafer, reticle, test, process space), the steps described herein Any combination can be used. In addition, one or more of the steps in the multi-source space can be used in combination with them to verify the systematic defect identification results.

それに加えて、設計データ空間における欠陥の位置を検査データ、設計データ、又は分類データと組み合わせて、監視フェーズにおける系統的欠陥(例えば、ホット・スポット又はコールド・スポットに配置される欠陥)を識別することができる。また、識別されたホット・スポットを使用することで、ホット・スポット配置で「ヒット」がある場合の検査結果の設計コンテキストを決定することができ、これは後処理のオンツール又はオフツールで実行される。設計データ空間に相関する歩留まり(又はKP値)も、系統的欠陥を監視するための属性として使用することができる。それに加えて、1つ又は複数の欠陥属性を使用して、複数のホット・スポット候補がある場合のホット・スポットとの関連性を推論することができる。   In addition, the location of defects in the design data space is combined with inspection data, design data, or classification data to identify systematic defects in the monitoring phase (eg, defects placed in hot spots or cold spots). be able to. The identified hot spots can also be used to determine the design context for inspection results when there is a “hit” in the hot spot placement, which can be done with post-processing on-tool or off-tool. Is done. Yield (or KP value) correlated to the design data space can also be used as an attribute to monitor systematic defects. In addition, one or more defect attributes can be used to infer the relevance to a hot spot when there are multiple hot spot candidates.

レチクル空間において、監視フェーズは、知られている系統的欠陥をランダム欠陥から分離するために検査結果と比較できるホット・スポットに関する情報を生成すること(例えば、(複数の)ホット・スポット・リストの作成)を含む。それに加えて、ホット・スポットに対するコンテキスト情報などの1つ又は複数のホット・スポット属性を使用して、複数の技術、層、又はデバイスにまたがってホット・スポットを共有できるか、またできるのであれば、どの技術、層、又はデバイスであるのかを判定することができる。さらに、検査により識別される系統的欠陥を使用して、計量部位配置、測定、又は他のパラメータなどの計量プロセスの1つ又は複数のパラメータを定義又は修正することができる。   In reticle space, the monitoring phase generates information about hot spots that can be compared with inspection results to separate known systematic defects from random defects (eg, hot spot list (s)). Creation). In addition, one or more hot spot attributes, such as context information for the hot spot, can be used to share the hot spot across multiple technologies, layers, or devices, and if possible Which technology, layer, or device can be determined. In addition, systematic defects identified by inspection can be used to define or modify one or more parameters of the metering process, such as metering site placement, measurements, or other parameters.

いくつかの実施形態では、この方法は、設計データ空間における検査データの位置と設計データ空間における設計データの1つ又は複数の属性に基づいてウェハ上で検出された1つ又は複数の欠陥に対する故障確率値を決定することを含む。それに加えて、この方法は、設計データ空間における検査データの位置と設計データ空間における設計データの1つ又は複数の属性に基づいてウェハの異なる部分で検出された欠陥の故障確率属性値を決定することを含む。欠陥に対する故障確率値は、本明細書でさらに説明されるように欠陥に対応する検査データの設計データ空間位置と設計データ空間における設計データの1つ又は複数の属性に基づいて決定される。   In some embodiments, the method includes failure for one or more defects detected on a wafer based on the location of inspection data in the design data space and one or more attributes of the design data in the design data space. Including determining a probability value. In addition, the method determines failure probability attribute values for defects detected in different portions of the wafer based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. Including that. The failure probability value for the defect is determined based on the design data space location of the inspection data corresponding to the defect and one or more attributes of the design data in the design data space, as further described herein.

他の実施形態では、この方法は、設計データ空間における検査データの位置に基づいて設計データ空間におけるウェハ上で検出された欠陥の位置の座標を決定することと、設計データに対するフロア・プランに基づいて欠陥の位置の座標を設計セル座標に変換することとを含む。このように、欠陥座標は、チップ設計のフロア・プランに基づいて設計セル座標に変換される。このような一実施形態では、この方法は、オーバーレイ公差を使用して欠陥の周囲の異なる領域を決定することと、1つ又は複数のセル・タイプに対する領域を使用して欠陥リピータ解析を実行し、1つ又は複数のセル・タイプが系統的欠陥セル・タイプであるかどうかを判定し、また系統的欠陥セル・タイプ内の1つの又は複数の系統的欠陥ジオメトリの1つ又は複数の配置を決定することとを含む。このように、この方法は、リピータ分析にセル・ベース座標を使用することを含む。特に、欠陥リピータ分析は、オーバーレイ公差を使用して実行され(例えば、それぞれの欠陥を囲む2次元領域を定義する)、セル・タイプ毎に、系統的欠陥のあるセル・タイプの有無を判定し、セル内の系統的欠陥のあるジオメトリの配置を決定することができる。それに加えて、この方法は、セル・コンテキストに基づいて欠陥のセル・ベースのビン範囲によるグループ分けを含む。このようなビン範囲に従って分けることは、本明細書でさらに説明されているように実行される。このような一実施形態では、この方法は、系統的欠陥セル・タイプの近くに配置されているセル、ジオメトリ、又はそれらの何らかの組合せに対する設計データの1つ又は複数の属性に基づいて空間的系統的欠陥が系統的欠陥セル・タイプ内に生じるかどうかを判定することを含む。このように、空間的系統的欠陥のあるセルの設計コンテキスト(セル又はジオメトリを囲む)を属性として使用し、空間的系統的欠陥の出現をさらに特徴付けることができる。   In another embodiment, the method is based on determining a coordinate of a position of a defect detected on the wafer in the design data space based on the position of the inspection data in the design data space and based on a floor plan for the design data. And converting the coordinates of the position of the defect into design cell coordinates. In this way, the defect coordinates are converted into design cell coordinates based on the floor plan of the chip design. In one such embodiment, the method uses overlay tolerances to determine different regions around the defect and performs defect repeater analysis using regions for one or more cell types. Determine whether one or more cell types are systematic defect cell types and determine one or more placements of one or more systematic defect geometries within the systematic defect cell types Determining. Thus, the method includes using cell based coordinates for repeater analysis. In particular, defect repeater analysis is performed using overlay tolerances (eg, defining a two-dimensional region surrounding each defect), and for each cell type, determines whether there is a system type with systematic defects. The arrangement of systematic defective geometries in the cell can be determined. In addition, the method includes grouping by defect cell-based bin ranges based on cell context. Dividing according to such bin ranges is performed as further described herein. In one such embodiment, the method includes a spatial lineage based on one or more attributes of the design data for a cell, geometry, or some combination thereof that is located near the systematic defect cell type. Determining whether a physical defect occurs within the systematic defect cell type. In this way, the design context of a cell with spatial systematic defects (surrounding the cell or geometry) can be used as an attribute to further characterize the appearance of spatial systematic defects.

他の実施形態では、この方法は、設計データ空間における検査データの位置と設計データ空間における設計データの1つ又は複数の属性に基づいて、ステップ26に示されているように、ビン範囲に従って欠陥(例えば、欠陥の全部又は一部)をグループ分けすることを含む。例えば、本明細書で説明されているように、設計データ空間における欠陥の位置は、設計データ空間における検査データの位置から決定される。次いで、ビン範囲に従って欠陥を分けるために使用される設計データの1つ又は複数の属性は、設計データ空間における欠陥の位置に基づいて決定される。この実施形態で使用される設計データの1つ又は複数の属性は、恐らくは他の検査結果(例えば、統合欠陥オーガナイザー(iDO)の結果及び統合自動欠陥分類(iADC)の結果)と組み合わせて設計データに関連する値などの本明細書で説明されている設計データの(複数の)属性(例えば、歩留まり影響)を含む。それに加えて、設計データ空間における欠陥の位置に関連する設計データの1つ又は複数の属性をコンテキスト・マップから決定することができる。このように、この方法は、ウェハ検査時に検出された欠陥にコンテキスト・マップを適用し欠陥をコンテキストにソートすることを含む。   In other embodiments, the method may include defects according to bin ranges, as shown in step 26, based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. Grouping (eg, all or part of a defect). For example, as described herein, the position of the defect in the design data space is determined from the position of the inspection data in the design data space. Then, one or more attributes of the design data used to separate the defects according to the bin range are determined based on the position of the defects in the design data space. One or more attributes of the design data used in this embodiment are probably combined with other inspection results (eg, Integrated Defect Organizer (iDO) results and Integrated Automatic Defect Classification (iADC) results). Including the attribute (s) (eg, yield effects) of the design data described herein, such as values associated with. In addition, one or more attributes of the design data associated with the location of the defect in the design data space can be determined from the context map. Thus, the method includes applying a context map to defects detected during wafer inspection and sorting the defects into contexts.

したがって、本明細書で説明されている方法は、ウェハ検査のためコンテキスト・ベースのバックグラウンドでビン範囲に従って分けることを含む。例えば、上述のように、この方法では、コンテキスト・マップを使用してコンテキストで欠陥をビン範囲に従って分けることができる。このような一例では、ニュイサンス・フィルタリングの後に残る欠陥は、コンテキスト又は上で説明されている他の情報によりソートされ、それにより、ランダム欠陥ではない系統的欠陥である欠陥を識別することができる。コンテキストは、さらに、ビン範囲に従って分けてソートする欠陥に関連する他のイメージ導出属性と併用される。   Accordingly, the methods described herein include dividing according to bin ranges in a context-based background for wafer inspection. For example, as described above, the method can use a context map to divide defects in context according to bin ranges. In one such example, defects remaining after nuisance filtering can be sorted by context or other information described above, thereby identifying defects that are systematic defects that are not random defects. The context is further used in conjunction with other image derivation attributes associated with defects that are sorted and sorted according to bin ranges.

さらに、これらの欠陥は、欠陥の予想電気的パラメータ及び/又は設計データ空間における欠陥位置に近接するデバイス・フィーチャの予想電気的パラメータに基づいてビン範囲に従って分けられる。欠陥及びデバイス・フィーチャの予想電気的パラメータは、前の電気的試験、欠陥の電気的パラメータのシミュレーション、欠陥のレビュー、又はそれらの何らかの組合せに基づいて決定される。それに加えて、1つ又は複数の欠陥に対する障害シミュレーションは、設計データ空間における(複数の)欠陥の位置及び/又はビン範囲に従って(複数の)欠陥が分けられるグループに基づく。   Further, these defects are separated according to bin ranges based on the expected electrical parameters of the defects and / or the expected electrical parameters of device features proximate to the defect location in the design data space. The expected electrical parameters of the defects and device features are determined based on previous electrical tests, simulation of the electrical parameters of the defects, review of the defects, or some combination thereof. In addition, fault simulation for one or more defects is based on a group in which the defect (s) are separated according to the location and / or bin range of the defect (s) in the design data space.

いくつかの実施形態では、この方法は、設計データ空間における検査データの位置、設計データ空間における設計データの1つ又は複数の属性、設計データが印刷されるレチクルについて取り込まれるレチクル検査データの1つ又は複数の属性に基づいて、ビン範囲に従って欠陥をグループ分けすることを含む。このように、レチクル検査データを、ビン範囲によるグループ分けの属性として使用することができる。特に、レチクル検査データ属性は、ウェハ上で検出された欠陥をビン範囲に従って分ける際に使用される。この実施形態では、設計データの1つ又は複数の属性は、本明細書で説明されている設計データの(複数の)属性のどれかを含む。レチクル検査データの1つ又は複数の属性は、レチクル上で検出された欠陥、レチクル空間におけるレチクル上で検出された欠陥の位置、レチクル上で検出された欠陥の1つ又は複数の属性、レチクル上に印刷される設計データの1つ又は複数の属性、又はそれらの何らかの組合せなどのレチクル検査データの属性を含む。レチクル上で検出された欠陥の1つ又は複数の属性は、本明細書で説明されている(複数の)欠陥属性を含む。それに加えて、レチクル上に印刷される設計データの1つ又は複数の属性は、本明細書で説明されている(複数の)設計データ属性のどれかを含む。   In some embodiments, the method includes the location of inspection data in the design data space, one or more attributes of the design data in the design data space, and one of the reticle inspection data captured for the reticle on which the design data is printed. Or grouping defects according to bin ranges based on a plurality of attributes. Thus, reticle inspection data can be used as an attribute for grouping by bin range. In particular, the reticle inspection data attribute is used in separating defects detected on the wafer according to the bin range. In this embodiment, the one or more attributes of the design data include any of the design data attribute (s) described herein. One or more attributes of the reticle inspection data are: defects detected on the reticle, position of defects detected on the reticle in reticle space, one or more attributes of defects detected on the reticle, on the reticle Includes one or more attributes of design data to be printed on, or attributes of reticle inspection data, such as some combination thereof. The one or more attributes of the defect detected on the reticle include the defect attribute (s) described herein. In addition, the one or more attributes of the design data printed on the reticle include any of the design data attribute (s) described herein.

レチクル検査データの(複数の)属性は、本明細書で説明されている方法及びシステムの実施形態により(例えば、レチクル検査システムの出力を使用することにより)好適な方法で決定される。それとは別に、又はそれに加えて、レチクル検査データの(複数の)属性を、本明細書で説明されている方法及びシステムの実施形態により、(複数の)属性が格納されている記憶媒体及び/又は(複数の)属性を決定したレチクル検査システムから取り込むことができる。   The attribute (s) of the reticle inspection data are determined in a suitable manner by the method and system embodiments described herein (eg, by using the output of the reticle inspection system). Alternatively or additionally, the attribute (s) of the reticle inspection data may be stored in accordance with the method and system embodiments described herein in the storage medium in which the attribute (s) is stored and / or Alternatively, the attribute (s) can be captured from the determined reticle inspection system.

レチクル検査データの1つ又は複数の属性に少なくとも一部は基づいてビン範囲に従って欠陥を分けることを用いて、欠陥がレチクル上の欠陥により引き起こされたかどうか、ウェハ上の欠陥の原因となったレチクル欠陥の1つ又は複数の属性、ウェハ上の欠陥の原因となった可能性のある、レチクル上に印刷される設計データの1つ又は複数の属性に基づいて欠陥を分離することができる。そのようにして、ビン範囲に従って分けた結果は、欠陥の原因及び/又はレチクルが欠陥及び/又はウェハ上に印刷される設計データにどのような影響を及ぼすかに関する追加の情報を与える。このようなビン範囲に従って分けた結果は、レチクル製造プロセスの1つ又は複数のパラメータ、レチクル検査プロセスの1つ又は複数のパラメータ、レチクル欠陥レビュー・プロセスの1つ又は複数のパラメータ、レチクル修復プロセスの1つ又は複数のパラメータ、他のレチクル又は設計関係プロセスの1つ又は複数のパラメータ、本明細書で説明されている他のプロセスの1つ又は複数のパラメータ、又はその何らかの組合せを変更するために有利に使用される。また、この実施形態においてビン範囲に従って欠陥を分けることは、設計データ空間における検査データの位置、設計データ空間における設計データの1つ又は複数の属性、レチクル検査データの1つ又は複数の属性、本明細書で説明されている他の情報に基づいて実行される。   The reticle that caused the defect on the wafer, whether the defect was caused by a defect on the reticle, using separating the defect according to the bin range based at least in part on one or more attributes of the reticle inspection data Defects can be isolated based on one or more attributes of the defect, one or more attributes of design data printed on the reticle that may have caused the defect on the wafer. As such, the results divided according to bin range provide additional information regarding the cause of the defect and / or how the reticle affects the defect and / or design data printed on the wafer. The results divided according to such bin ranges may include one or more parameters of the reticle manufacturing process, one or more parameters of the reticle inspection process, one or more parameters of the reticle defect review process, the reticle repair process To change one or more parameters, one or more parameters of another reticle or design-related process, one or more parameters of other processes described herein, or some combination thereof Advantageously used. Further, in this embodiment, the defect is classified according to the bin range includes the position of the inspection data in the design data space, one or more attributes of the design data in the design data space, one or more attributes of the reticle inspection data, the book It is performed based on other information described in the specification.

他の一実施形態では、この方法は、設計データ空間における検査データの位置、設計データ空間における設計データの1つ又は複数の属性、検査データの1つ又は複数の属性に基づいて、ビン範囲に従って欠陥をグループ分けすることを含む。このように、検査データから導き出される1つ又は複数の属性を、ビン範囲によるグループ分けの計算で使用することができる。この実施形態では、設計データの1つ又は複数の属性は、本明細書で説明されている設計データの(複数の)属性のどれかを含む。それに加えて、ビン範囲によるグループ分けに使用される検査データの1つ又は複数の属性は、本明細書で説明されている検査データの(複数の)属性のどれかを含む。この実施形態では、本明細書で説明されている他の情報を使用して、ビン範囲に従って欠陥を分けることもできる。この実施形態においてビン範囲に従って分けることは、本明細書でさらに説明されているように実行される。   In another embodiment, the method is based on bin ranges based on the location of the inspection data in the design data space, one or more attributes of the design data in the design data space, one or more attributes of the inspection data. Including grouping defects. In this way, one or more attributes derived from the inspection data can be used in the calculation of the grouping by bin range. In this embodiment, the one or more attributes of the design data include any of the design data attribute (s) described herein. In addition, the one or more attributes of the test data used for bin range grouping include any of the test data attribute (s) described herein. In this embodiment, other information described herein can also be used to separate defects according to bin range. In this embodiment, dividing according to bin ranges is performed as described further herein.

追加の実施形態では、この方法は、設計データ空間における検査データの位置、設計データ空間における設計データの1つ又は複数の属性、検査データの1つ又は複数の属性、設計データが印刷されるレチクルについて取り込まれるレチクル検査データの1つ又は複数の属性に基づいて、ビン範囲に従って欠陥をグループ分けすることを含む。このように、レチクル検査データを、ビン範囲によるグループ分けの属性として使用することができる。特に、レチクル検査データ属性は、ウェハ上で検出された欠陥をビン範囲に従って分ける際に使用される。この実施形態でビン範囲によるグループ分けに使用される設計データ空間における設計データの1つ又は複数の属性は、本明細書で説明されている設計データの(複数の)属性を含む。この実施形態におけるビン範囲によるグループ分けに使用される検査データの1つ又は複数の属性は、本明細書で説明されている検査データの(複数の)属性のどれかを含む。この実施形態におけるビン範囲によるグループ分けに使用されるレチクル検査データの1つ又は複数の属性は、本明細書で説明されているレチクル検査データの(複数の)属性のどれかを含む。この実施形態においてビン範囲に従って分けることは、本明細書でさらに説明されているように実行される。それに加えて、この実施形態のビン範囲に従って分けた結果は、本明細書で説明されている(複数の)方法の他の(複数の)ステップを実行するために使用される。   In an additional embodiment, the method includes the location of inspection data in the design data space, one or more attributes of the design data in the design data space, one or more attributes of the inspection data, the reticle on which the design data is printed. Grouping defects according to bin ranges based on one or more attributes of reticle inspection data captured for. Thus, reticle inspection data can be used as an attribute for grouping by bin range. In particular, the reticle inspection data attribute is used in separating defects detected on the wafer according to the bin range. The one or more attributes of the design data in the design data space used for grouping by bin ranges in this embodiment include the design data attribute (s) described herein. The one or more attributes of the inspection data used for grouping by bin range in this embodiment include any of the inspection data attribute (s) described herein. The one or more attributes of the reticle inspection data used for grouping by bin range in this embodiment include any of the attribute (s) of the reticle inspection data described herein. In this embodiment, dividing according to bin ranges is performed as described further herein. In addition, the results divided according to the bin ranges of this embodiment are used to perform the other step (s) of the method (s) described herein.

いくつかの実施形態では、この方法は、設計データ空間における検査データの位置、設計データ空間における設計データの1つ又は複数の属性、検査データの1つ又は複数の属性、及びウェハの検査データが取り込まれたプロセス層、異なるプロセス層、又はそれらの何らかの組合せに対し、設計データ、異なる設計データ、又はそれらの何らかの組合せについて、そのウェハ、他の複数のウェハ、又はそれらの何らかの組合せに対するすでに取り込まれている検査データの1つ又は複数の属性に基づいて、ビン範囲に従って欠陥をグループ分けすることを含む。このように、同じ又は異なるウェハ、同じ又は異なる設計、同じ又は異なるプロセス層についてすでに集められている検査データから決定される属性をビン範囲によるグループ分けの計算に含めることができる。すでに集められている検査データは、データ構造体に格納されるか、又は本明細書で説明されているように構成される、知識ベースに格納される。このように、すでに取り込まれている検査データの1つ又は複数の属性は、累積学習データ、履歴データ、又はデータの訓練集合から決定される。この実施形態では、設計データの1つ又は複数の属性は、本明細書で説明されている設計データの(複数の)属性のどれかを含む。それに加えて、ビン範囲によるグループ分けに使用される検査データの1つ又は複数の属性は、本明細書で説明されている検査データの(複数の)属性のどれかを含む。この実施形態では、本明細書で説明されている他の情報を使用して、ビン範囲に従って欠陥を分けることもできる。この実施形態においてビン範囲に従って分けることは、本明細書でさらに説明されているように実行される。   In some embodiments, the method includes the location of inspection data in the design data space, one or more attributes of the design data in the design data space, one or more attributes of the inspection data, and wafer inspection data. For a captured process layer, a different process layer, or some combination thereof, the design data, different design data, or some combination thereof is already captured for that wafer, other wafers, or some combination thereof. Grouping defects according to bin ranges based on one or more attributes of the inspection data being recorded. Thus, attributes determined from inspection data already collected for the same or different wafers, the same or different designs, the same or different process layers can be included in the bin range grouping calculation. Test data that has already been collected is stored in a data structure or in a knowledge base that is configured as described herein. In this way, one or more attributes of test data already captured are determined from cumulative learning data, historical data, or a training set of data. In this embodiment, the one or more attributes of the design data include any of the design data attribute (s) described herein. In addition, the one or more attributes of the test data used for bin range grouping include any of the test data attribute (s) described herein. In this embodiment, other information described herein can also be used to separate defects according to bin range. In this embodiment, dividing according to bin ranges is performed as described further herein.

上述の実施形態のどれかにおいて、ビン範囲に従って分けることは、オンツール、オフツール、又はその何らかの組合せで実行される。   In any of the embodiments described above, dividing according to bin ranges is performed on-tool, off-tool, or some combination thereof.

追加の実施形態では、この方法は、恐らくは他の検査結果(例えば、iDO結果及びiADC結果)と組み合わせた設計データに関連する歩留まりの影響など設計データ空間における検査データの位置と設計データ空間における設計データの1つ又は複数の属性とに基づいて、図28に示されているように、レビューのため欠陥の少なくとも一部を選択することを含む。レビューのため欠陥を選択するのに使用される設計データの1つ又は複数の属性は、本明細書で説明されている設計データの(複数の)属性を含む。それに加えて、設計データ空間における検査データの位置は、本明細書で説明されているような欠陥に対応する設計データの(複数の)属性を決定するために使用される、本明細書で説明されているような設計データ空間における欠陥の位置を決定するために使用される。このようないくつかの実施形態では、本明細書で説明されているようにニュイサンス欠陥をフィルタリングして、ウェハ上で検出された他の欠陥から分離し、DOI(又は非ニュイサンス欠陥)のみをレビュー又はさらなる分析のために保持することができる。他の実施形態では、欠陥リストと識別されたホット・スポット、欠陥とホット・スポットの分類、設計コンテキストを使用して、監視フェーズにおけるレビュー・サンプリング(サブサンプリングを含んでいてもよい)を改善することができ、これは、オンツールで、又はオフツールで後処理の際に実行される。   In additional embodiments, the method may include the location of inspection data in the design data space and the design in the design data space, such as the yield effect associated with design data, possibly combined with other inspection results (eg, iDO results and iADC results). Based on one or more attributes of the data, including selecting at least a portion of the defects for review, as shown in FIG. The one or more attributes of the design data used to select defects for review include the design data attribute (s) described herein. In addition, the location of the inspection data in the design data space is described herein, which is used to determine the attribute (s) of the design data corresponding to the defects as described herein. Used to determine the location of the defect in the design data space. In some such embodiments, the nuisance defects are filtered and separated from other defects detected on the wafer as described herein, and only the DOI (or non-nuisance defects) are reviewed. Or it can be retained for further analysis. In other embodiments, hot spots identified with defect lists, defect and hot spot classifications, and design context are used to improve review sampling (which may include sub-sampling) in the monitoring phase. This can be done during post-processing on-tool or off-tool.

他の実施形態では、レビューのため欠陥を選択することは、ビン範囲に従って分けた結果に応じて実行される。例えば、あるグループの欠陥は、レビューのため選択されるが、他のグループの欠陥は、レビューのため選択されない。他の例では、欠陥のあるグループは、他のグループに比べて重いサンプリングとなる(つまり、あるグループからの欠陥がレビューのため多く選択される)。サンプリングされる欠陥のグループとそれらのグループがサンプリングされる程度は、例えば、グループのそれぞれに関連付けられている設計の1つ又は複数の属性、あるいは欠陥のグループに関連付けられている本明細書で説明されている他の情報に基づいて決定される。レビューのため欠陥を選択することは、さらに、欠陥又は欠陥ビンに関連付けられた歩留まり関連性に応じて実行される。例えば、欠陥の母集団をランダム欠陥と系統的欠陥とに分割することができ、異なるサンプル・プランを異なる欠陥タイプのそれぞれについて使用することができる。このように、異なるタイプの欠陥に対するサンプリング戦略は、劇的に異なりうる。   In other embodiments, selecting defects for review is performed according to the results divided according to bin ranges. For example, some groups of defects are selected for review, while other groups of defects are not selected for review. In other examples, defective groups result in heavier sampling than other groups (ie, defects from one group are selected more frequently for review). The groups of defects that are sampled and the degree to which those groups are sampled are described, for example, in one or more attributes of the design associated with each of the groups, or the specification associated with the group of defects. Determined based on other information that is being made. Selecting a defect for review is further performed as a function of the yield association associated with the defect or defect bin. For example, the defect population can be divided into random defects and systematic defects, and different sample plans can be used for each of the different defect types. Thus, sampling strategies for different types of defects can be dramatically different.

いくつかの実施形態では、この方法は、レビューのため欠陥の少なくとも一部を選択することを含み、これは、設計データの1つ又は複数の属性の異なる値を有する設計データ空間における設計データのそれぞれの部分において位置特定される少なくとも1つの欠陥を含む。このように、設計データのそれぞれの異なる部分における欠陥は、レビューのためサンプリングされる。例えば、それぞれの欠陥のコンテキストを使用して、レビューのため欠陥をソートし(例えば、コンテキストのクリティカル度により)、欠陥が検出されるすべてのコンテキストがレビュー・サンプルに表されることを保証するレビュー・サンプルを生成する。   In some embodiments, the method includes selecting at least a portion of the defects for review, which includes design data in a design data space having different values of one or more attributes of the design data. Includes at least one defect located in each portion. In this way, defects in each different part of the design data are sampled for review. For example, use the context of each defect to sort the defects for review (eg, by context criticality) and to ensure that all contexts where defects are detected are represented in the review sample Generate a sample.

他の実施形態では、この方法は、設計データ空間における検査データの位置と設計データ空間における設計データの1つ又は複数の属性に基づいて、ステップ30に示されているように、欠陥がレビューされる順序を決定することを含む。例えば、この方法は、コンテキスト・マップを使用し、オフライン・レビュー(例えば、光学又はSEMレビュー)の優先度に基づいて欠陥をソートすることを含む。それぞれの欠陥のコンテキストは、系統的欠陥と潜在的系統的欠陥に、他の欠陥タイプよりも高い優先度が与えられるようにレビューの欠陥をソートする(例えば、コンテキストのクリティカル度により)ために使用される。   In other embodiments, the method can review defects as indicated in step 30 based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. Determining the order in which For example, the method includes sorting defects based on priority of offline review (eg, optical or SEM review) using a context map. The context of each defect is used to sort review defects (eg, by context criticality) so that systematic and potential systematic defects are given higher priority than other defect types. Is done.

ウェハ上のダイを横切るサンプル点で検査データ・ストリームを所定のアライメント部位(GDSデータベースからのレンダリングされたイメージなど)にアラインさせて、ウェハ上のすべての点で検査データのサブピクセル・アライメントを行う方法には、多数の利点がある。例えば、生データ・ストリームは、設計データに実質的に正確にアラインされるため、設計データ空間における欠陥位置は、サブピクセル精度(例えば、現在達成可能な100nm以下の精度と1000nmの精度)で決定される。実質的に高い精度の欠陥位置であれば、任意の後続のレビュー・プロセスの精度を大幅に高め、またSEM又はFIBシステムなどの欠陥レビュー・システム上で欠陥の位置を特定し、画像処理し、分析することができる速度を増大することができる。それに加えて、欠陥に関連付けられているコンテキスト情報は、HRDCフェーズにおいて使用されるが、これは、第2パス・レビューで検査システム上で、又はSEM又は光学レビュー・ステーション上でオフラインにより実行される。このような情報は、さらに、欠陥を自動的に又は手動で位置特定するのを補助できる欠陥に関する任意の他の局所的コンテキスト情報に加えて自動欠陥位置特定(ADL)システムなどの他のシステムに供給されるか、又はそのようなシステムにより取り込まれる。それに加えて、レビュー・システムは、この情報を使用して、測定パラメータの下で、そのシステムとそのウェハに適した論理座標から物理座標への変換を生成することができる。   Align inspection data stream at a sample point across the die on the wafer to a predetermined alignment site (such as a rendered image from the GDS database) to perform sub-pixel alignment of the inspection data at all points on the wafer The method has a number of advantages. For example, since the raw data stream is substantially accurately aligned with the design data, the defect location in the design data space is determined with sub-pixel accuracy (eg, sub-100 nm accuracy and 1000 nm accuracy currently achievable). Is done. If the defect location is of substantially high accuracy, the accuracy of any subsequent review process is greatly increased, and the location of the defect is identified and imaged on a defect review system such as an SEM or FIB system, The rate at which it can be analyzed can be increased. In addition, the context information associated with the defect is used in the HRDC phase, which is performed off-line on the inspection system in the second pass review, or off-line on the SEM or optical review station. . Such information can also be used in other systems, such as an automatic defect location (ADL) system, in addition to any other local context information about the defect that can help to locate the defect automatically or manually. Supplied or taken up by such a system. In addition, the review system can use this information to generate a logical to physical transformation suitable for the system and the wafer under measurement parameters.

いくつかの実施形態では、この方法は、設計データ空間における検査データの位置と設計データ空間における設計データの1つ又は複数の属性に基づいてウェハの異なる部分について取り込まれた検査システムの1つ又は複数の検出器から出力の1つ又は複数の所定の属性を抽出することを含む。このように、この方法は、設計データ空間における検査データの位置と設計データ空間における設計データの1つ又は複数の属性に基づいて検査データ領域(例えば、検査される領域の特定の部分集合)に対する所定の信号又はイメージ属性を抽出することを含む。1つ又は複数の検出器からの出力の抽出された(複数の)属性は、例えば、異なる部分のピクセルに対する信号又はイメージの輝度又は標準偏差を含む。それに加えて、ウェハは、パターン形成されたウェハであってよく、そのウェハ上で設計データに対応するパターンが印刷される。したがって、出力の(複数の)属性は、ウェハ上に形成されたパターンに対応する出力に関する知識に基づいて抽出される。それに加えて、ウェハ上に形成されたパターンの構造に関する情報は、1つ又は複数の検出器の出力から抽出される。   In some embodiments, the method includes one or more of the inspection systems captured for different portions of the wafer based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. Extracting one or more predetermined attributes of the output from the plurality of detectors. In this way, the method is directed to an inspection data region (eg, a specific subset of the region to be inspected) based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. Extracting a predetermined signal or image attribute. The extracted attribute (s) of the output from the one or more detectors includes, for example, the luminance or standard deviation of the signal or image for different portions of the pixel. In addition, the wafer may be a patterned wafer on which a pattern corresponding to design data is printed. Accordingly, the output attribute (s) are extracted based on knowledge about the output corresponding to the pattern formed on the wafer. In addition, information about the structure of the pattern formed on the wafer is extracted from the output of one or more detectors.

(複数の)検出器の出力の抽出された(複数の)属性を使用して、ウェハの異なる部分にわたる(複数の)属性のイメージを生成することができる。このように、この方法は、ウェハの表面の「設計を意識したイメージ」を生成することを含む。これらのイメージは、計量により決定されるウェハの属性などのウェハの1つ又は複数の属性を決定するために使用される。このように、検査システムは、設計データ又は設計データに対するレイアウトに基づいて実質的に正確に定義された配置の1つ又は複数の検出器から出力(信号など)の(複数の)属性を抽出することにより計量ツールのように使用される。したがって、この実施形態では、ウェハの異なる部分を本質的に計量部位として取り扱うことができる。それに加えて、検査システムの1つ又は複数の検出器の出力の1つ又は複数の抽出された所定の属性を使用して、本明細書に全体が説明されているかのように参照により組み込まれる、2006年2月9日に出願された共通出願のKirkらの米国特許出願第60/772,418号で説明されているステップなどの1つ又は複数のステップを実行することができる。   The extracted attribute (s) of the detector output (s) can be used to generate an image of the attribute (s) across different portions of the wafer. Thus, the method includes generating a “design aware image” of the surface of the wafer. These images are used to determine one or more attributes of the wafer, such as the attributes of the wafer determined by metric. In this way, the inspection system extracts the attribute (s) of the output (such as a signal) from one or more detectors in a substantially precisely defined arrangement based on the design data or layout for the design data. It is used like a weighing tool. Thus, in this embodiment, different parts of the wafer can be handled essentially as weighing sites. In addition, using one or more extracted predetermined attributes of the output of one or more detectors of the inspection system, incorporated by reference as if described in full herein. One or more steps may be performed, such as those described in commonly-owned Kirk et al. US patent application Ser. No. 60 / 772,418, filed Feb. 9, 2006.

この実施形態で使用される設計データの1つ又は複数の属性は、本明細書で説明されている設計データの(複数の)属性のどれかを含む。このような一実施形態では、設計データの1つ又は複数の属性は、ウェハの検査データが取り込まれたプロセス層、異なるプロセス層、又はそれらの何らかの組合せに対し、設計データ、異なる設計データ、又はそれらの何らかの組合せについて、そのウェハ、他の複数のウェハ、又はそれらの何らかの組合せに対するすでに取り込まれている検査データの1つ又は複数の属性に基づいて選択される。このように、この実施形態で使用される設計データ空間における設計データの1つ又は複数の属性は、同じ又は異なるプロセス層で同じ又は異なる設計に対する同じウェハ又は異なるウェハからすでに集められている検査データの属性との相関に基づいて選択される。すでに集められている検査データは、データ構造体に格納されるか、又は本明細書で説明されているように構成される、知識ベースに格納される。このように、設計データの1つ又は複数の属性は、累積学習、履歴データ、又はデータの訓練集合に基づいてこの実施形態において選択される。   The one or more attributes of the design data used in this embodiment include any of the design data attribute (s) described herein. In one such embodiment, the one or more attributes of the design data may be design data, different design data, or for a process layer from which wafer inspection data was captured, a different process layer, or some combination thereof, or Some combination of them is selected based on one or more attributes of inspection data already captured for the wafer, other wafers, or some combination thereof. Thus, one or more attributes of the design data in the design data space used in this embodiment are inspection data already collected from the same or different wafers for the same or different designs in the same or different process layers. It is selected based on the correlation with the attribute. Test data that has already been collected is stored in a data structure or in a knowledge base that is configured as described herein. Thus, one or more attributes of the design data are selected in this embodiment based on cumulative learning, historical data, or a training set of data.

他の実施形態では、この方法は、設計データ空間における検査データの位置、設計データ空間における設計データの1つ又は複数の属性、検査データの1つ又は複数の属性に基づいてウェハの異なる部分について取り込まれた検査システムの1つ又は複数の検出器から出力の1つ又は複数の所定の属性を抽出することを含む。この実施形態で使用される設計データの1つ又は複数の属性は、本明細書で説明されている設計データの(複数の)属性のどれかを含む。それに加えて、検査データの1つ又は複数の属性は、本明細書で説明されている検査データの(複数の)属性のどれかを含む。例えば、一実施形態では、検査データの1つ又は複数の属性は、異なる部分で1つ又は複数の欠陥が検出された場合に、1つ又は複数のイメージ・ノイズ属性、又はそれらの何らかの組合せを含む。このように、検査データの1つ又は複数の属性は、限定はしないが、イメージ・ノイズ特性、及び/又は検査データ領域における欠陥の検出/無検出を含む。出力の1つ又は複数の所定の属性を抽出することは、さらに、本明細書で説明されているように実行される。それに加えて、出力の抽出された(複数の)属性は、本明細書でさらに説明されているように使用される。   In other embodiments, the method may be used for different portions of a wafer based on the location of inspection data in the design data space, one or more attributes of the design data in the design data space, and one or more attributes of the inspection data. Extracting one or more predetermined attributes of the output from one or more detectors of the captured inspection system. The one or more attributes of the design data used in this embodiment include any of the design data attribute (s) described herein. In addition, the one or more attributes of the test data include any of the test data attribute (s) described herein. For example, in one embodiment, the one or more attributes of the inspection data may include one or more image noise attributes, or some combination thereof, if one or more defects are detected in different portions. Including. As such, the one or more attributes of the inspection data include, but are not limited to, image noise characteristics and / or detection / no detection of defects in the inspection data region. Extracting one or more predetermined attributes of the output is further performed as described herein. In addition, the extracted attribute (s) of the output are used as described further herein.

上述の方法の実施形態はそれぞれ、本明細書で説明されている(複数の)方法の他の(複数の)ステップを含む。それに加えて、上述の方法の実施形態はそれぞれ、本明細書で説明されているシステムにより実行される。   Each of the method embodiments described above includes other step (s) of the method (s) described herein. In addition, each of the above-described method embodiments is performed by the system described herein.

図15は、設計データ空間における検査データの位置を決定するためのコンピュータ実施方法の他の実施形態を例示している。図15に示されているステップは、方法の実施に本質的ではないことに留意されたい。1つ又は複数のステップを図15に例示されている方法から省いたり、又は追加したりすることができ、又はこの方法は、そのまま、この実施形態の範囲内で実施される。   FIG. 15 illustrates another embodiment of a computer-implemented method for determining the location of inspection data in the design data space. Note that the steps shown in FIG. 15 are not essential to the performance of the method. One or more steps can be omitted from or added to the method illustrated in FIG. 15, or the method can be implemented as is within the scope of this embodiment.

図15に示されている方法は、一般的にCBIに使用される。この実施形態では、データ準備フェーズ42は、データベース44を生成することを含む。データベース44は、設計データのCADレイアウト及びその設計データの1つ又は複数のコンテキスト層を含む。データベース44は、当業界で知られている好適な構成を有し、本明細書で説明されている他のデータ又は情報を含む。それに加えて、データベース44内のデータは、他の好適なデータ構造体に格納される。データベース44は、ソフトウェア46により、GDSIIファイル48と(複数の)コンテキスト層50を入力として使用して生成される。ソフトウェア46は、当業界で知られている適切なソフトウェアであればどのようなものでもよい。一般に、ソフトウェアは、GDSIIファイルと(複数の)コンテキスト層を使用してデータベースを生成するためにプロセッサ(図15には示されていないが、本明細書でさらに説明されているように構成される)上で実行可能なプログラム命令(図15に示されていない)として構成される。(複数の)コンテキスト層50は、当業界で知られている方法で取り込まれるか、又は生成され、本明細書で説明されているコンテキスト情報又はデータを含む。それに加えて、GDSIIファイル48は、設計データが格納される他の好適なデータ構造体で置き換えられる。   The method shown in FIG. 15 is generally used for CBI. In this embodiment, data preparation phase 42 includes generating database 44. The database 44 includes a CAD layout of design data and one or more context layers of the design data. Database 44 has any suitable configuration known in the art and includes other data or information described herein. In addition, the data in database 44 is stored in other suitable data structures. Database 44 is generated by software 46 using GDSII file 48 and context layer (s) 50 as inputs. Software 46 may be any suitable software known in the art. In general, the software is configured as described further herein, although not shown in FIG. 15, to generate a database using the GDSII file and the context layer (s). ) Configured as executable program instructions (not shown in FIG. 15). The context layer (s) 50 is captured or generated in a manner known in the art and includes context information or data as described herein. In addition, the GDSII file 48 is replaced with other suitable data structures in which design data is stored.

図15に示されている方法は、レシピー・セットアップ・フェーズ52も含む。レシピー・セットアップ・フェーズ52は、アライメント情報56を決定するために実行されるステップ54を含む。ステップ54は、ウェハ上のダイをスキャンすることを含み、本明細書でさらに説明されているように構成された検査システムにより実行される。ステップ54は、さらに、ウェハをスキャンすることにより取り込まれるデータを使用してウェハ上のアライメント部位を選択することも含む。ウェハ上のアライメント部位は、本明細書で説明されているように選択される。それに加えて、ウェハ上のアライメント部位は、検査スワス・レイアウト情報58と本明細書でさらに説明されているような他の好適な情報に基づいて選択される。検査スワス・レイアウト情報は、本明細書で説明されているスワス情報を含み、本明細書で説明されているように決定される。ウェハ上のアライメント部位の選択は、本明細書でさらに説明されているように自動的に、又は半自動的に(又はユーザー補助の下で)、又は手動で実行される。   The method shown in FIG. 15 also includes a recipe setup phase 52. Recipe setup phase 52 includes a step 54 that is performed to determine alignment information 56. Step 54 includes scanning a die on the wafer and is performed by an inspection system configured as described further herein. Step 54 further includes selecting alignment sites on the wafer using data captured by scanning the wafer. The alignment sites on the wafer are selected as described herein. In addition, the alignment sites on the wafer are selected based on inspection swath layout information 58 and other suitable information as further described herein. The inspection swath layout information includes the swath information described herein and is determined as described herein. Selection of alignment sites on the wafer is performed automatically, semi-automatically (or under user assistance), or manually as further described herein.

ステップ54は、データベース44のCADレイアウト情報からウェハ上のアライメント部位に対応してイメージをレンダリングするか、又は他の好適なデータを取り込むことを含むこともできる。例えば、ステップ54は、ウェハ上の選択されたアライメント部位に対応するCADパッチ60を使用して、ウェハ上のアライメント部位にアラインさせることができる、いくつかのフィーチャの重心など、好適なデータ又はイメージをレンダリングするか、又は幾何学的フィーチャ属性の値を計算することを含む。ステップ54は、さらに、ウェハ上のアライメント部位からCADレイアウト情報から得た情報への(x,y)マッピングを計算することを含む。アライメント情報56は、所定のアライメント部位に対するデータと設計データ空間における所定のアライメント部位の(x,y)位置を含む。   Step 54 may also include rendering an image corresponding to the alignment site on the wafer from the CAD layout information of database 44 or capturing other suitable data. For example, step 54 may include suitable data or images, such as a centroid of some features, that may be aligned to the alignment site on the wafer using a CAD patch 60 corresponding to the selected alignment site on the wafer. Or calculating the value of a geometric feature attribute. Step 54 further includes calculating an (x, y) mapping from alignment sites on the wafer to information obtained from CAD layout information. The alignment information 56 includes data for a predetermined alignment part and the (x, y) position of the predetermined alignment part in the design data space.

図15に示されている方法は、ウェハ検査フェーズ62も含む。ウェハ検査フェーズ62は、初期化フェーズ64と実行フェーズ66を含む。ステップ68に示されているような初期化フェーズ64において、この方法は、所定のアライメント部位に対するデータと、設計データ空間における所定のアライメント部位の(x,y)位置とを含むアライメント情報56をプリロードすることを含む。ステップ70に示されているように、初期化フェーズは、さらに、データベース44から(複数の)コンテキスト層72をプリロードすることも含む。初期化フェーズは、さらに、ステップ74に示されているように、所定のアライメント部位に対するデータをポリゴンからピクセルにレンダリングすることを適宜含むが、これは明細書で説明されているように実行される。コンテキスト層72は、本明細書で説明されているコンテキスト情報を含む。   The method shown in FIG. 15 also includes a wafer inspection phase 62. The wafer inspection phase 62 includes an initialization phase 64 and an execution phase 66. In an initialization phase 64 as shown in step 68, the method preloads alignment information 56 that includes data for a predetermined alignment site and the (x, y) position of the predetermined alignment site in the design data space. Including doing. As shown in step 70, the initialization phase further includes preloading the context layer (s) 72 from the database 44. The initialization phase further includes, as appropriate, rendering data for a given alignment site from polygons to pixels, as shown in step 74, which is performed as described in the specification. . The context layer 72 contains the context information described herein.

実行フェーズ66では、この方法は、ステップ76に示されているような検査データの設計データ空間へのアライメントとマッピングを実行することを含む。このステップは、ウェハの検査時に実行される。アライメントとマッピングは、本明細書でさらに説明されているように実行される。実行フェーズは、さらに、ステップ78に示されているように、コンテキスト・マップにマッピングを適用することを含む。コンテキスト・データは、さらに本明細書で説明されているようにマッピングされる。実行フェーズは、さらに、ステップ80に示されているように、欠陥検出時にコンテキスト・マップを検査データに適用することを含むが、これは明細書で説明されているように実行される。それに加えて、実行フェーズは、さらに、ステップ82に示されているように、欠陥座標をコンテキスト・マップにマッピングすることを含むが、これは明細書で説明されているように実行される。実行フェーズは、さらに、追加のステップ84を含み、これは、検出された欠陥をコンテキストによりフィルタリングすること、欠陥を分類すること、レビュー・サンプルを生成すること、本明細書で説明されている他のステップ、又はその何らかの組合せを含む。追加のステップ84はそれぞれ、本明細書でさらに説明されているように実行される。図15に示されている方法の実施形態はそれぞれ、本明細書で説明されている他の(複数の)ステップを含む。それに加えて、図15に示されている方法の実施形態はそれぞれ、本明細書で説明されているシステムにより実行される。   In an execution phase 66, the method includes performing alignment and mapping of inspection data into the design data space as shown in step 76. This step is performed during wafer inspection. Alignment and mapping are performed as described further herein. The execution phase further includes applying the mapping to the context map, as shown in step 78. The context data is further mapped as described herein. The execution phase further includes applying a context map to the inspection data upon defect detection, as shown in step 80, which is performed as described in the specification. In addition, the execution phase further includes mapping defect coordinates to a context map, as shown in step 82, which is performed as described in the specification. The execution phase further includes an additional step 84 that filters the detected defects by context, classifies the defects, generates review samples, and others described herein. Or any combination thereof. Each additional step 84 is performed as described further herein. Each of the method embodiments shown in FIG. 15 includes other step (s) described herein. In addition, each of the method embodiments shown in FIG. 15 is performed by the system described herein.

本明細書で説明されているような方法を実行するプログラム命令は、キャリア媒体を介して伝送されるか、又はキャリア媒体上に格納される。キャリア媒体は、有線、ケーブル、又は無線伝送リンクなどの伝送媒体としてよい。キャリア媒体は、さらに、読み取り専用メモリ、ランダム・アクセス・メモリ、磁気若しくは光ディスク、又は磁気テープなどの記憶媒体としてもよい。   Program instructions for performing the methods as described herein are transmitted over or stored on a carrier medium. The carrier medium may be a transmission medium such as a wired, cable, or wireless transmission link. The carrier medium may further be a storage medium such as a read-only memory, a random access memory, a magnetic or optical disk, or a magnetic tape.

図16は、設計データ空間における検査データの位置を決定するように構成されたシステムのさまざまな実施形態を例示している。一実施形態では、システムは、設計データ(図16に示されていない)を収めた記憶媒体86を備える。記憶媒体86は、さらに、本明細書で説明されている他のデータと情報も収めることができる。記憶媒体は、上述の記憶媒体又は当業界で知られている他の好適な記憶媒体のどれかを含む。この実施形態では、システムは、さらに、記憶媒体86に結合されたプロセッサ88も備える。プロセッサ88は、当業界で知られている方法で記憶媒体に結合される。この実施形態では、システムは、プロセス、検査、計量、レビュー、又は他のツールの一部をなさないスタンドアロン型システムとして構成される。このような実施形態では、プロセッサ88は、「有線」及び/又は「無線」部分を備えることができる伝送媒体により他のシステムからデータ(例えば、検査システムからの検査データ)を受信及び/又は取り組むように構成される。このように、伝送媒体は、プロセッサと他のシステムとの間のデータ・リンクとして使用される。それに加えて、プロセッサ88は、伝送媒体を介して他のシステムにデータを送信することができる。このようなデータは、例えば、設計データ、コンテキスト・データ、本明細書で説明されている方法の結果、検査レシピー又は他のレシピー、又はその何らかの組合せを含む。   FIG. 16 illustrates various embodiments of a system configured to determine the location of inspection data in the design data space. In one embodiment, the system includes a storage medium 86 containing design data (not shown in FIG. 16). The storage medium 86 can also store other data and information described herein. The storage medium includes any of the storage media described above or other suitable storage media known in the art. In this embodiment, the system further comprises a processor 88 coupled to the storage medium 86. The processor 88 is coupled to the storage medium in a manner known in the art. In this embodiment, the system is configured as a stand-alone system that does not form part of a process, inspection, metering, review, or other tool. In such an embodiment, the processor 88 receives and / or addresses data from other systems (eg, inspection data from an inspection system) via a transmission medium that can include “wired” and / or “wireless” portions. Configured as follows. Thus, the transmission medium is used as a data link between the processor and other systems. In addition, the processor 88 can send data to other systems via a transmission medium. Such data includes, for example, design data, context data, results of the methods described herein, inspection recipes or other recipes, or some combination thereof.

プロセッサ88は、パーソナル・コンピュータ・システム、メインフレーム・コンピュータ・システム、ワークステーション、画像処理用コンピュータ、並列プロセッサ、又は当業界で知られている他のデバイスを含む、さまざまな形態を取りうる。一般に、「コンピュータ・システム」という用語は、メモリ媒体から命令を実行する1つ又は複数のプロセッサを有するデバイスを含むように広い意味で定義される。   The processor 88 may take a variety of forms, including a personal computer system, mainframe computer system, workstation, image processing computer, parallel processor, or other device known in the art. In general, the term “computer system” is broadly defined to include a device having one or more processors that execute instructions from a memory medium.

しかし、他の実施形態では、システムは、検査システム90を含む。検査システム90は、ウェハ92上のアライメント部位に対するデータとウェハに対する検査データを取り込むように構成される。検査システムを含むシステムの実施形態では、プロセッサ88は、当業界で知られている方法により検査システムに結合される。例えば、プロセッサ88は、プロセッサがウェハ上のアライメント部位に対するデータと検出器により生成される検査データを受け取るように検査システム90の検出器94に結合される。それに加えて、プロセッサは、イメージ・データや信号などの検出器の他の出力を受け取ることができる。さらに、検査システムが複数の検出器を備えている場合、プロセッサは、上述のようにそれぞれの検出器に結合される。   However, in other embodiments, the system includes an inspection system 90. The inspection system 90 is configured to capture data for alignment sites on the wafer 92 and inspection data for the wafer. In an embodiment of a system that includes an inspection system, the processor 88 is coupled to the inspection system by methods known in the art. For example, processor 88 is coupled to detector 94 of inspection system 90 such that the processor receives data for alignment sites on the wafer and inspection data generated by the detector. In addition, the processor can receive other outputs of the detector, such as image data and signals. In addition, if the inspection system includes multiple detectors, the processor is coupled to each detector as described above.

プロセッサ88は、ウェハ上のアライメント部位について検査システムにより取り込まれたデータを所定のアライメント部位に対するデータにアラインさせるように構成される。プロセッサは、本明細書で説明されている実施形態によりデータをアラインさせるように構成される。プロセッサ88は、さらに、設計データ空間における所定のアライメント部位の位置に基づいて設計データ空間におけるウェハ上のアライメント部位の位置を決定するように構成される。プロセッサは、本明細書で説明されている実施形態により設計データ空間におけるウェハ上のアライメント部位の位置を決定するように構成される。それに加えて、プロセッサ88は、設計データ空間におけるウェハ上のアライメント部位の位置に基づいて設計データ空間における検査システムによりそのウェハについて取り込まれた検査データの位置を決定するように構成される。プロセッサは、本明細書で説明されている実施形態により設計データ空間における検査データの位置を決定するように構成される。プロセッサは、本明細書で説明されている(複数の)方法の実施形態の他の(複数の)ステップを実行するように構成される。   The processor 88 is configured to align data captured by the inspection system for alignment sites on the wafer with data for a predetermined alignment site. The processor is configured to align data according to the embodiments described herein. The processor 88 is further configured to determine the position of the alignment site on the wafer in the design data space based on the position of the predetermined alignment site in the design data space. The processor is configured to determine the position of the alignment site on the wafer in the design data space according to the embodiments described herein. In addition, the processor 88 is configured to determine the position of inspection data captured for the wafer by the inspection system in the design data space based on the position of the alignment site on the wafer in the design data space. The processor is configured to determine the location of the inspection data in the design data space according to embodiments described herein. The processor is configured to perform other step (s) of the method embodiment (s) described herein.

一実施形態では、検査システム90は、光源96を備える。源96は、当業界で知られている適切な光源であればどのようなものでもよい。光源96は、光をビーム・スプリッタ98に当てるように構成される。ビーム・スプリッタ98は、光源96から光を実質的に法線方向の入射角でウェハ92に入射するように構成される。ビーム・スプリッタ98は、当業界で知られている適切な光学コンポーネントを備える。ウェハ92から反射された光は、ビーム・スプリッタ98を通って検出器94に到達する。検出器94は、当業界で知られている適切な検出器であればどのようなものでもよい。検出器94により生成される出力を使用して、ウェハ92上の欠陥を検出する。例えば、プロセッサ88は、検出器により生成される出力を使用してウェハ92上の欠陥を検出するように構成される。プロセッサは、当業界で知られている方法及び/又はアルゴリズムを使用してウェハ上の欠陥を検出することができる。検査時に、ウェハ92をステージ100上に配置する。ステージ100は、当業界で知られている適切な機械的及び/又はロボット・アセンブリを備えることができる。図16に示されている検出システムは、当業界で知られている他の好適なコンポーネント(図に示されていない)を備えることもできる。   In one embodiment, the inspection system 90 includes a light source 96. Source 96 may be any suitable light source known in the art. The light source 96 is configured to direct light to the beam splitter 98. The beam splitter 98 is configured to allow light from the light source 96 to be incident on the wafer 92 at a substantially normal angle of incidence. Beam splitter 98 comprises suitable optical components known in the art. The light reflected from the wafer 92 reaches the detector 94 through the beam splitter 98. The detector 94 can be any suitable detector known in the art. The output generated by detector 94 is used to detect defects on wafer 92. For example, the processor 88 is configured to detect defects on the wafer 92 using the output generated by the detector. The processor can detect defects on the wafer using methods and / or algorithms known in the art. At the time of inspection, the wafer 92 is placed on the stage 100. Stage 100 may comprise any suitable mechanical and / or robot assembly known in the art. The detection system shown in FIG. 16 can also include other suitable components known in the art (not shown).

図16に示されているように、検査システムは、ウェハから正反射された光を検出するように構成される。このように、図16に示されている検査システムは、BF検査システムとして構成される。しかし、検査システムは、DF検査システム、EC検査システム、アパーチャ・モード検査システム、又は当業界で知られている他の光学検査システムとして構成される検査システムで置き換えられる。それに加えて、検査システムは、1つ又は複数の検査モードを実行するように構成される。例えば、図16に示されている検査システムは、光がウェハに入射する入射角及び/又は光がウェハから集光される角度を変更することによりDF検査を実行するように構成される。他の例では、検査システムは、アパーチャなどの1つ又は複数の光学コンポーネント(図に示されていない)が照射路及び集光路内に位置決めされ、検査システムがECモードの検査及び/又はアパーチャ・モードの検査を実行できるように構成される。   As shown in FIG. 16, the inspection system is configured to detect specularly reflected light from the wafer. As described above, the inspection system shown in FIG. 16 is configured as a BF inspection system. However, the inspection system is replaced with an inspection system configured as a DF inspection system, an EC inspection system, an aperture mode inspection system, or other optical inspection system known in the art. In addition, the inspection system is configured to perform one or more inspection modes. For example, the inspection system shown in FIG. 16 is configured to perform DF inspection by changing the angle of incidence at which light is incident on the wafer and / or the angle at which light is collected from the wafer. In another example, the inspection system includes one or more optical components, such as apertures (not shown), positioned in the illumination and collection paths, and the inspection system is in EC mode inspection and / or aperture Configured to perform mode checking.

さらに、図16に示されている光学検査システムは、KLA−Tencorから入手できる2360、2365、2371、23xxシステムなどの市販の検査システムを含む。他の実施形態では、図16に示されている光学検査システムは、電子ビーム検査システムで置き換えられる。図16のシステムに含めることができる市販の電子ビーム検査システムの例としては、KLA−TencorのeS25、eS30、eS31システムがある。図16に示されているシステムの実施形態は、さらに、本明細書で説明されているように構成される。それに加えて、システムは、本明細書で説明されている(複数の)方法の実施形態の他の(複数の)ステップを実行するように構成される。図16に示されているシステムの実施形態は、上述の方法の実施形態のすべての利点を有する。   In addition, the optical inspection system shown in FIG. 16 includes commercially available inspection systems such as 2360, 2365, 2371, 23xx systems available from KLA-Tencor. In other embodiments, the optical inspection system shown in FIG. 16 is replaced with an electron beam inspection system. Examples of commercially available electron beam inspection systems that can be included in the system of FIG. 16 include KLA-Tencor's eS25, eS30, eS31 systems. The embodiment of the system shown in FIG. 16 is further configured as described herein. In addition, the system is configured to perform other step (s) of the method embodiment (s) described herein. The system embodiment shown in FIG. 16 has all the advantages of the method embodiments described above.

上述の方法及びシステムは、一般に、ウェハ上のアライメント部位について取り込まれたデータ(例えば、BFパッチ・イメージ)を所定のアライメント部位に対するデータ(例えば、GDSIIファイルから導き出されるイメージ)にアラインさせることにより検査データと設計データとのアライメントを実行する。本明細書で説明されている追加の方法及びシステムでは、一般に検査データと設計データとの間のアライメントを実行し、統計技術などの技術を使用して異なる欠陥の間の類似性を判定する(例えば、パッチ・イメージ又はSEMイメージを使用せずに)。   The methods and systems described above generally test by aligning data captured for alignment sites on a wafer (eg, BF patch images) with data for a given alignment site (eg, an image derived from a GDSII file). Perform alignment between data and design data. Additional methods and systems described herein generally perform alignment between inspection data and design data, and determine similarities between different defects using techniques such as statistical techniques ( (For example, without using a patch image or SEM image).

本明細書で説明されている実施形態は、コンテキスト・ベースのセットアップ、検査、ビン範囲によるグループ分け、レビュー、測定、試験、分析、又はその何らかの組合せに使用される。これらの実施形態で使用されるコンテキストは、設計データベース又はファイル(例えば、GDSファイル、OASISファイル、Open Accessファイル、ネット・リストなど)のデータ構造体に格納されている設計データ又は設計に関する情報、プロセス・シミュレーション結果、電気的シミュレーション結果、注目するパターン(POI)、ホット・スポット情報(例えば、OPC、電気的試験結果、検査結果)、プロセス・ツール・データ(生産中の未完成品)、又はそれらの何らかの組合せを含む。それに加えて、これらの実施形態は、本明細書で説明されている実施形態により生み出される結果に基づいて1つ又は複数の欠陥及び/又は欠陥の1つ又は複数のグループの歩留まり影響を予測することを含む。歩留まり影響を予測することは、本明細書でさらに説明されているように実行される。さらに、本明細書で説明されている実施形態は、処置可能な歩留まり関連情報を比較的迅速に提供するために都合よく使用される。   The embodiments described herein are used for context-based setup, inspection, grouping by bin range, review, measurement, testing, analysis, or some combination thereof. The context used in these embodiments is design data or design related information, processes stored in a data structure of a design database or file (eg, GDS file, OASIS file, Open Access file, net list, etc.) Simulation results, electrical simulation results, pattern of interest (POI), hot spot information (eg, OPC, electrical test results, inspection results), process tool data (unfinished products in production), or Including any combination of In addition, these embodiments predict the yield impact of one or more defects and / or one or more groups of defects based on the results produced by the embodiments described herein. Including that. Predicting yield impact is performed as described further herein. Furthermore, the embodiments described herein are advantageously used to provide treatable yield related information relatively quickly.

本明細書で説明されている実施形態を使用して、欠陥配置座標を不正確に決定する検査システムにより検出された欠陥をグループ化することができる(つまり、本当の欠陥は、報告されている座標の近くに配置される可能性があるが、正確には報告された座標ではない)。例えば、本明細書で説明されている方法及びシステムは、パターンを互いにアラインさせようとして検査システムにより報告された欠陥座標が完全に正確であるわけではない場合でも欠陥のグループ化を改善することにより報告された欠陥位置に近接するものに少なくとも類似しているパターンを探索するために使用される。他の場合には、報告された欠陥配置の近くで取り込まれた検査イメージ又はレビュー・イメージ(例えば、SEMイメージ)を設計データと比較するか、又は設計データにオーバーレイして、ウェハ空間における欠陥の実際の配置(検査により報告された欠陥の配置とは反対に)及び欠陥位置の近くにおける設計データの正確な表現を決定することができる。少なくとも類似しているパターンのすべてのインスタンスを設計データ(パターンの回転された、フリップされた、又は他の何らかの形で歪んでいるインスタンスを含む)において識別し、ビン範囲に従ってパターン・グループに分けることができる。次いで、上述のように決定されたウェハ空間における実際の欠陥位置をパターン・グループに対する配置と比較し、所定の公差範囲内のパターン・グループに対する場所に配置されている欠陥をビン範囲によってグループ分けるすることができる。欠陥のこのようなグループ化は、オンツール又はオフツールで実行され、本明細書で説明されている方法の実行効率を改善することができる(例えば、検査により報告される欠陥配置座標に対し座標の不正確さがある場合に探索範囲を縮小する)。特に、座標の不正確さがある場合、報告された検査座標に基づいて決定されたソース・パターンは、近似的ソース・パターンである(パターンが孤立していたり、欠陥の座標がたまたま実質的に正確であったりすることがない限り)。もちろん、本明細書で説明されている実施形態は、非常に正確な検査システムにより生成される検査結果とともに使用される。   The embodiments described herein can be used to group defects detected by an inspection system that incorrectly determines defect placement coordinates (i.e., real defects have been reported). May be placed near the coordinates, but not exactly the reported coordinates). For example, the methods and systems described herein improve defect grouping even when the defect coordinates reported by the inspection system in an attempt to align the patterns with each other are not completely accurate. Used to search for patterns that are at least similar to those close to the reported defect location. In other cases, inspection images or review images (eg, SEM images) captured near the reported defect placement are compared to design data or overlaid on design data to identify defects in wafer space. An exact representation of the design data in the vicinity of the actual placement (as opposed to the placement of defects reported by inspection) and the defect location can be determined. Identify at least all instances of similar patterns in design data (including rotated, flipped, or some other distorted instance of the pattern) and group them into pattern groups according to bin ranges Can do. Then, the actual defect position in the wafer space determined as described above is compared with the arrangement with respect to the pattern group, and the defects arranged at the positions with respect to the pattern group within the predetermined tolerance range are grouped by the bin range. be able to. Such grouping of defects can be performed on-tool or off-tool and can improve the performance efficiency of the methods described herein (eg, coordinates relative to defect placement coordinates reported by inspection). The search range is reduced if there is inaccuracies). In particular, if there are coordinate inaccuracies, the source pattern determined based on the reported inspection coordinates is an approximate source pattern (the pattern is isolated or the coordinates of the defect happen to be substantially Unless it is accurate). Of course, the embodiments described herein are used with test results generated by a very accurate inspection system.

一実施形態は、ウェハ上で検出された欠陥をビン範囲に従って分けるコンピュータ実施方法に関係する。一般に、本明細書で説明されている方法では、欠陥の母集団は、ソース欠陥を選択し、設計データ空間におけるソース欠陥の位置に近接する設計データ(「ソース設計データ」)をターゲット欠陥(例えば、欠陥母集団の全部又は一部)の設計データ空間における位置に近接する設計データ(「ターゲット設定データ」)と比較し、比較された設計データの間に一致又は少なくとも類似性がある場合に、ターゲット欠陥をソース欠陥グループに割り当てることにより設計データ(例えば、GDS設計データ)に基づいてグループ化される。この比較は、ソース設計データとターゲット設計データとの直接的な比較に基づく。それに加えて、この比較は、ソース欠陥とターゲット欠陥の設計データ空間における位置の間にわずかな座標の不正確さが補正された後に、実行される。さらに、この比較は、ソース欠陥位置とターゲット欠陥位置における座標の不正確さの原因となるターゲット設計データにおけるソース設計データを探索することを含む。アライメント及び/又は探索は、本明細書で説明されているように実行されるサブピクセル・アライメント技術を使用することにより改善される。さらに、ソース設計データとターゲット設計データとを比較することは、ソース設計データとターゲット設計データとの間に正確な位置があるか、又はソース設計データとターゲット設計データとの間に類似しているが、正確でない一致があるかどうかを判定するために実行される。上述のステップはそれぞれ、本明細書で説明されているようにさらに実行される。   One embodiment relates to a computer-implemented method that divides defects detected on a wafer according to bin ranges. In general, in the methods described herein, a population of defects selects a source defect, and design data (“source design data”) proximate to the location of the source defect in the design data space (eg, source design data) , All or part of the defect population) compared to the design data close to the position in the design data space (“target setting data”), and there is a match or at least similarity between the compared design data, Grouping based on design data (e.g., GDS design data) by assigning target defects to source defect groups. This comparison is based on a direct comparison between the source design data and the target design data. In addition, this comparison is performed after correcting for minor coordinate inaccuracies between the source and target defect locations in the design data space. Further, the comparison includes searching for source design data in the target design data that causes inaccuracies in coordinates at the source and target defect locations. Alignment and / or searching is improved by using a subpixel alignment technique performed as described herein. Furthermore, comparing the source design data and the target design data has an exact location between the source design data and the target design data, or is similar between the source design data and the target design data Is performed to determine if there is an inexact match. Each of the above steps are further performed as described herein.

ターゲット欠陥母集団がソース欠陥に関して試験された後、次のソース欠陥が選択される。まだグループ化されていない欠陥が、次のソース欠陥として選択される。上記のステップは、すべての欠陥がグループ化される(か、又は少なくとも試験される)まで繰り返される。本明細書で説明されている方法において使用される欠陥母集団は、ウェハ上で検出されたすべての欠陥、複数のウェハ上で検出されたすべての欠陥、又は1つ又は複数のウェハ上で検出された欠陥の部分集合(例えば、1つ又は複数のウェハ上で検出され、ホット・スポットの近くにあると識別された欠陥)を含む。それに加えて、本明細書で説明されている方法は、欠陥母集団全体について、又は欠陥母集団全体における欠陥の部分集合について実行される(これは、ロジック、メモリなどの設計機能ブロックに基づいて選択される)。ビン範囲によるグループ分けは、自動単一パス又はマルチパス・グループ化として実行される。   After the target defect population is tested for source defects, the next source defect is selected. A defect that has not yet been grouped is selected as the next source defect. The above steps are repeated until all defects are grouped (or at least tested). The defect population used in the methods described herein can be all defects detected on a wafer, all defects detected on multiple wafers, or detected on one or more wafers. A subset of the detected defects (eg, defects detected on one or more wafers and identified as being near the hot spot). In addition, the methods described herein are performed on the entire defect population or on a subset of defects in the entire defect population (this is based on design function blocks such as logic, memory, etc.). Selected). Grouping by bin range is performed as automatic single pass or multi-pass grouping.

この方法は、設計データ空間における欠陥の位置に近接する設計データの部分同士を比較することを含む。例えば、図17に示されているように、この方法は、設計データ空間106における欠陥104の位置に近接する設計データ(図に示されていない)の部分102と設計データ空間106における欠陥110の位置に近接する設計データ(図に示されていない)の部分108とを比較することを含む。欠陥104は、本明細書では「ソース欠陥」と呼ばれ、欠陥110は、本明細書では「ターゲット欠陥」と呼ばれる。設計データ空間における欠陥の位置に近接する設計データは、欠陥に対するバックグラウンド・パターン・データ又はバックグラウンド情報を定める。   The method includes comparing portions of the design data that are proximate to the location of the defect in the design data space. For example, as shown in FIG. 17, this method can be used to identify portions 102 of design data (not shown) that are close to the location of the defect 104 in the design data space 106 and defects 110 in the design data space 106. Comparing a portion 108 of design data (not shown) in proximity to the location. The defect 104 is referred to herein as a “source defect” and the defect 110 is referred to herein as a “target defect”. Design data proximate to the position of the defect in the design data space defines background pattern data or background information for the defect.

図17に示されているように、部分102は、欠陥104よりも大きい。部分102の寸法(x方向とy方向)は、ユーザーによって選択される。それに加えて、部分108は、欠陥110よりも大きい。部分108の寸法も、ユーザーによって選択される。部分108の寸法は、典型的には、本明細書でさらに説明されるように部分102の寸法よりも大きい。それとは別に、それらの部分の寸法は、本明細書で説明されているコンピュータ実施方法により選択される(例えば、自動的に)。   As shown in FIG. 17, the portion 102 is larger than the defect 104. The dimensions (x and y directions) of the portion 102 are selected by the user. In addition, the portion 108 is larger than the defect 110. The dimensions of the portion 108 are also selected by the user. The dimension of the portion 108 is typically larger than the dimension of the portion 102 as further described herein. Alternatively, the dimensions of those parts are selected (eg, automatically) by the computer-implemented methods described herein.

一実施形態では、これらの部分の寸法(x方向とy方向)は、少なくとも一部は、欠陥を検出するために使用される検査システムにより報告された欠陥の位置、検査システムの座標の不正確さ、設計データの1つ又は複数の属性、欠陥サイズ、検査システムの欠陥サイズ誤差、又はそれらの何らかの組合せに基づいて決定される。例えば、この方法は、報告された欠陥配置を中心とする設計データの一部(つまり、「パターン・ウィンドウ」)を定めることを含む。パターン・ウィンドウは、欠陥の寸法より大きい幅と高さを有し、座標の不正確さによる欠陥位置の誤差を説明するように選択される。例えば、検査システムにより報告される欠陥配置の座標が約±3μmの精度である場合、パターン・ウィンドウは、約6μm×約6μmの全最小サイズに対し報告された欠陥配置のx及びy座標からすべての方向に少なくとも3μmを含むように定義される。このように、検査システムの座標精度がよいほど、パターン・ウィンドウが小さく、その結果グループ化は高速になり、より正確になる。パターン・ウィンドウの寸法は、さらに、パターン・ウィンドウが設計データにおける十分な数のフィーチャなどの「十分な」量のバックグラウンド・パターン・データを含むように選択される。それに加えて、パターン・ウィンドウ内の設計データがクリップと比較される場合、パターン・ウィンドウの寸法は、パターン・ウィンドウがクリップに部分的にしか含まれないポリゴン全体を含むように選択される。   In one embodiment, the dimensions (x and y directions) of these portions are determined at least in part by the location of the defect reported by the inspection system used to detect the defect, the inaccuracy of the inspection system coordinates. It is determined based on one or more attributes of the design data, defect size, inspection system defect size error, or some combination thereof. For example, the method includes defining a portion of design data (ie, a “pattern window”) centered on the reported defect placement. The pattern window has a width and height that are larger than the size of the defect and is selected to account for error in the defect location due to coordinate inaccuracies. For example, if the defect placement coordinates reported by the inspection system are accurate to about ± 3 μm, the pattern window is all from the reported x and y coordinates of the defect placement for a total minimum size of about 6 μm × about 6 μm. In the direction of at least 3 μm. Thus, the better the coordinate accuracy of the inspection system, the smaller the pattern window, resulting in faster and more accurate grouping. The dimensions of the pattern window are further selected such that the pattern window includes a “sufficient” amount of background pattern data, such as a sufficient number of features in the design data. In addition, when the design data in the pattern window is compared to the clip, the pattern window dimensions are selected so that the pattern window includes the entire polygon that is only partially included in the clip.

本明細書で説明されている方法で使用される設計データの部分は、設計データ空間における欠陥の位置の周りで取られた設計のクリップを含む。「クリップ」という用語は、一般に、欠陥の周りの設計データの領域として定義され、欠陥の近傍であるとみなせる。ポリゴンは、クリップ内にパターンを定めるが、ポリゴンは、部分的にクリップを越えて拡大できる。複数の欠陥のうちのいくつかについて本明細書で説明されている方法で使用されるクリップは、1つ又は複数の異なる寸法をとりうる。しかし、本明細書で説明される方法で使用される設計データの部分は、欠陥が配置されている可能性のある一定範囲の位置の周りの拡張バウンディング・ボックス(EBB)内の設計データを含む。EBBは、欠陥及び欠陥サイズ(及び恐らくは検査システムの欠陥サイズ誤差)を検出するために使用される検査システムの座標精度に基づいて選択される。例えば、検査の座標精度が高まると、EBBの寸法は縮小される。EBBが小さいほど、その中の欠陥の位置は、大きなEBBに比べてより正確に判定され、EBB内の欠陥のより正確な位置を使用して欠陥の1つ又は複数の属性(例えば、設計におけるポリゴンに関する欠陥の位置、欠陥の分類、欠陥の根本原因)をより高い精度で決定することができるため、EBBは小さいほうが好ましい。それに加えて、複数の欠陥の少なくともいくつかに使用されるEBBの1つ又は複数の寸法は、異なっていてもよい。EBBは、一般に、クリップよりも小さく、欠陥が何に配置されている可能性があるかを表すことができる。   The portion of the design data used in the method described herein includes a clip of the design taken around the location of the defect in the design data space. The term “clip” is generally defined as an area of design data around a defect and can be considered to be in the vicinity of the defect. Polygons define a pattern within a clip, but polygons can be partially expanded beyond the clip. Clips used in the methods described herein for some of the plurality of defects can take one or more different dimensions. However, the portion of the design data used in the methods described herein includes design data in an extended bounding box (EBB) around a range of locations where defects may be located . The EBB is selected based on the coordinate accuracy of the inspection system used to detect defects and defect sizes (and possibly inspection system defect size errors). For example, as the coordinate accuracy of the inspection increases, the size of the EBB is reduced. The smaller the EBB, the more accurately the position of the defect within it is determined relative to the larger EBB, and the more accurate position of the defect within the EBB is used to determine one or more attributes of the defect (eg, in the design). The defect position, defect classification, and root cause of the defect) can be determined with higher accuracy, so that the EBB is preferably smaller. In addition, one or more dimensions of the EBB used for at least some of the plurality of defects may be different. The EBB is generally smaller than the clip and can represent where the defect may be located.

他の実施形態では、これらの部分の少なくとも一部の寸法は、異なる。例えば、図17に示されているように、部分108と欠陥110の寸法の差は、部分102と欠陥104の寸法の差よりも大きい。言い換えると、ターゲット欠陥の周りのターゲット部分の面積は、ソース欠陥の周りのソース部分の面積よりも大きい。このように、ターゲット部分は、ソース部分よりも設計データの多くを含む。   In other embodiments, the dimensions of at least some of these portions are different. For example, as shown in FIG. 17, the difference in size between the portion 108 and the defect 110 is larger than the difference in size between the portion 102 and the defect 104. In other words, the area of the target portion around the target defect is larger than the area of the source portion around the source defect. Thus, the target portion contains more design data than the source portion.

設計データのソース部分を設計データのターゲット部分の異なる領域と比較することができる。このように、この方法は、ターゲット部分の中の設計データのソース部分を探索することを含む。例えば、ソースとターゲット部分とのオーバーレイ112に示されているように、設計データのソース部分をターゲット部分の一方の領域と比較することができる。この比較の後、ターゲット部分の他方の領域における設計データを設計データのソース部分と比較できるように、ターゲット部分に関するソース部分の位置を変更する。このように、この方法は、一致が識別されるまで、又はターゲット部分のすべての領域がソース部分と比較されるまで、ターゲット部分において設計データのソース部分をあちこち「スライド」させることを含む。   The source portion of the design data can be compared with different areas of the target portion of the design data. Thus, the method includes searching for a source portion of design data in the target portion. For example, the source portion of the design data can be compared to one region of the target portion, as shown in the source and target portion overlay 112. After this comparison, the position of the source portion relative to the target portion is changed so that the design data in the other region of the target portion can be compared with the source portion of the design data. Thus, the method includes “sliding” the source portion of the design data around in the target portion until a match is identified or until all regions of the target portion are compared to the source portion.

設計データの部分同士を比較することは、比較のステップに利用できる情報を使って実行される。例えば、比較される設計データの部分は、GDSファイルなどのデータ構造体に格納された設計データの部分である。それに加えて、設計データの部分同士を比較することは、それらの部分におけるポリゴン同士を比較することを含む。他の実施形態では、この方法は、比較するステップの前に、設計データ空間における欠陥の位置に近接する設計データの部分をビットマップに変換することを含む。例えば、処理を高速化するために設計データの部分におけるポリゴンをビットマップに変換する。設計データの部分は、当業界で知られている好適な方法又はシステムを使用してビットマップに変換される。例えば、設計データの部分は、本明細書において全体が説明されているかのように参照により組み込まれる、Neureutherらの米国特許第7,030,997号において説明されている方法又はシステムを使用してビットマップに変換される。このような一実施形態では、設計データの部分を比較するステップは、ビットマップ同士を比較することを含む。ビットマップ同士を比較することは、任意の適当な方法で実行される。それに加えて、設計データの部分同士を比較することは、それらの部分における設計データの1つ又は複数の属性を比較することを含む。比較される1つ又は複数の属性は、本明細書で説明されている設計データの(複数の)属性を含む。   Comparing parts of the design data is performed using information available for the comparison step. For example, the part of the design data to be compared is the part of the design data stored in a data structure such as a GDS file. In addition, comparing parts of the design data includes comparing polygons in those parts. In other embodiments, the method includes converting a portion of the design data proximate to the location of the defect in the design data space to a bitmap prior to the comparing step. For example, a polygon in the design data portion is converted into a bitmap in order to speed up the processing. The portion of design data is converted to a bitmap using any suitable method or system known in the art. For example, a portion of the design data can be obtained using the method or system described in US Patent No. 7,030,997 of Neuerther et al., Which is incorporated by reference as if described in its entirety herein. Converted to a bitmap. In one such embodiment, comparing the portions of design data includes comparing bitmaps. Comparing the bitmaps is performed in any suitable manner. In addition, comparing portions of the design data includes comparing one or more attributes of the design data in those portions. The one or more attributes to be compared include the design data attribute (s) described herein.

この方法は、さらに、比較するステップの結果に基づいてそれらの部分における設計データが少なくとも類似している(類似しているか、又はまったく同じである)かどうかを判定することも含む。それらの部分における設計データの1つ又は複数の属性が決定される場合、グループ化は、共通パターン類似性、共通の(複数の)属性の類似性、フィーチャ空間における共通の(複数の)属性の類似性、又はその何らかの組合せに基づく。例えば、一実施形態では、それらの部分における設計データが少なくとも類似しているかどうかを判定することは、それらの部分における設計データの中の共通パターンが少なくとも類似しているかどうかを判定することを含むが、これは、本明細書でさらに説明されているように実行される。他の実施形態では、それらの部分における設計データが少なくとも類似しているかどうかを判定することは、それらの部分における設計データの中の共通属性が少なくとも類似しているかどうかを判定することを含むが、これは、本明細書でさらに説明されているように実行される。追加の実施形態では、それらの部分における設計データが少なくとも類似しているかどうかを判定することは、それらの部分における設計データのフィーチャ空間における共通属性が少なくとも類似しているかどうかを判定することを含むが、これは、本明細書でさらに説明されているように実行される。それに加えて、この方法は、それらの部分における異なる領域がどれだけ類似しているかを判定することを含む。さらに、それらの部分における設計データは、互いにわずかにオフセットされるか、又はわずかに異なる設計ジオメトリを含む場合があるけれども、それらの部分は、著しい共通ジオメトリを含む場合に、互いに類似していると判定される。この方法は、設計データ空間におけるそれぞれの欠陥の位置に近接する設計データを設計データ空間における他のすべての欠陥の位置に近接する設計データと比較して、「バックグラウンド」パターンに基づいてどの欠陥が互いに類似しているかを判定することを含む。   The method further includes determining whether the design data in those portions is at least similar (similar or exactly the same) based on the result of the comparing step. If one or more attributes of the design data in those parts are determined, the grouping is the common pattern similarity, the common attribute attribute, the common attribute attribute in the feature space. Based on similarity, or some combination thereof. For example, in one embodiment, determining whether design data in those portions is at least similar includes determining whether common patterns in the design data in those portions are at least similar. However, this is performed as described further herein. In other embodiments, determining whether design data in those parts is at least similar includes determining whether common attributes in the design data in those parts are at least similar. This is performed as described further herein. In additional embodiments, determining whether the design data in those portions is at least similar includes determining whether common attributes in the feature space of the design data in those portions are at least similar. However, this is performed as described further herein. In addition, the method includes determining how similar different regions in those parts are. In addition, the design data in those parts may be slightly offset from each other or may contain slightly different design geometries, but those parts are similar to each other if they contain significant common geometry. Determined. This method compares the design data close to the position of each defect in the design data space with the design data close to the position of all other defects in the design data space, and determines which defect based on the “background” pattern. Determining whether they are similar to each other.

それらの部分における設計データが、少なくとも類似しているかどうかを判定することは、好ましくは、欠陥が設計データ内の同じ位置に配置されているかどうかに基づいて実行されることはない。言い換えると、本明細書で説明されている方法により「バックグラウンド」に基づいてビン範囲によるグループ分けられた欠陥は、必ずしも、設計データにおけるパターン、フィーチャ、ポリゴン、又はジオメトリに関して同じ位置に配置されるとは限らない。設計データに関して欠陥の位置の一致に依存しないことにより、この方法は、ビン範囲に従って欠陥をより正確に分けることができる。例えば、2つの欠陥が、同じタイプのパターン内であるが、そのパターンの異なる位置に配置される。それに加えて、POI内の系統的欠陥は局在することがあるが、局在しない場合もある。しかし、このような欠陥は、同じパターン・ベースの問題が原因であるか、又はそれに関係する。したがって、設計データ内の実際の欠陥位置同士の類似性に依存することなくビン範囲に従って欠陥を分けることで、より正確にビン範囲に従って欠陥を分けることが可能になり、これを使用して、系統的問題のより正確な評価を行い、またこれらの系統的問題に基づいて歩留まりを予測し、制御することができる。設計データの部分が少なくとも類似しているかどうかを判定することは、適切なアルゴリズムを使用して実行される。したがって、この方法は、「類似性チェッカー」として使用される。ターゲット部分はターゲット部分に対し比較されるソース部分よりも大きい場合があるため、類似性チェッカーは、設計データ内の実際の欠陥位置の座標が不正確である場合に有利に使用される。   Determining whether the design data in those parts is at least similar is preferably not performed based on whether the defect is located at the same location in the design data. In other words, defects grouped by bin range based on “background” by the method described herein are not necessarily placed in the same position with respect to patterns, features, polygons, or geometry in the design data. Not necessarily. By not relying on defect location matching with respect to design data, the method can more accurately separate defects according to bin range. For example, two defects are in the same type of pattern but are located at different positions in the pattern. In addition, systematic defects within the POI may be localized but may not be localized. However, such defects are due to or related to the same pattern-based problem. Therefore, by separating defects according to the bin range without depending on the similarity between the actual defect positions in the design data, it becomes possible to more accurately classify the defects according to the bin range. More accurate assessment of production problems, and yield prediction and control based on these systematic problems. Determining whether the portions of the design data are at least similar is performed using a suitable algorithm. This method is therefore used as a “similarity checker”. Since the target portion may be larger than the source portion being compared against the target portion, the similarity checker is advantageously used when the actual defect location coordinates in the design data are inaccurate.

図17に示されている実施形態では、ソース部分全体が、ターゲット部分の異なる領域と比較される。いくつかの実施形態では、この方法は、それらの部分の少なくとも一部における設計データの全体を他の部分における設計データと比較することを含む。それに加えて、この方法は、設計データのソース部分の全体を設計データのターゲット部分の異なる領域と比較することを含む。その際、この方法は、設計データのソース部分全体に少なくとも類似している設計データに対するターゲット部分を探索することを含む。   In the embodiment shown in FIG. 17, the entire source portion is compared to different regions of the target portion. In some embodiments, the method includes comparing the entire design data in at least some of those portions with design data in other portions. In addition, the method includes comparing the entire source portion of the design data with different regions of the target portion of the design data. The method then includes searching for a target portion for design data that is at least similar to the entire source portion of the design data.

この方法は、さらに、欠陥をビン範囲に従ってグループ分けする際に、それらのグループのそれぞれにおける欠陥の位置に近接する設計データの位置が少なくとも類似しているようにグループ分けすることを含む。このように、この方法は、設計データ及び/又は設計データ空間における欠陥の位置に近接する設計データのコンテキストに基づいて、ビン範囲に従って欠陥をグループ分けすることを含む。例えば、少なくとも類似しているか、又は一致する設計データの部分におけるポリゴンを使用して、教師なしの方法でビン範囲に従って欠陥をグループ分けすることができる。それに加えて、ビン範囲に従って分けるステップは、少なくとも1つのグループの中の少なくとも2つの欠陥の位置に近接する設計データが少なくとも類似しているようにビン範囲に従って少なくとも2つの欠陥を少なくとも1つのグループに分けることを含む。さらに、設計データ空間における欠陥の位置に近接する設計データの部分のどれもが少なくとも類似していると判定されない希な場合に、この方法は、ビン範囲に従って欠陥をグループ分けすることを行わない。   The method further includes grouping the defects according to bin ranges such that the positions of the design data proximate to the position of the defect in each of those groups are at least similar. Thus, the method includes grouping defects according to bin ranges based on the design data and / or the context of the design data proximate to the position of the defect in the design data space. For example, using polygons in at least similar or matching portions of design data, defects can be grouped according to bin ranges in an unsupervised manner. In addition, the step of separating according to the bin range includes at least two defects according to the bin range into at least one group such that the design data proximate to the location of the at least two defects within the at least one group is at least similar. Including dividing. Furthermore, the method does not group defects according to bin ranges in rare cases where none of the portions of the design data proximate to the location of the defect in the design data space are determined to be at least similar.

この方法は、さらに、ビン範囲に従ってグループ分けするステップの結果を記憶媒体に格納することを含む。ビン範囲に従って分けた結果は、本明細書で説明されている結果を含む。それに加えて、格納するステップは、本明細書で説明されている方法の実施形態のステップの他の結果に加えてビン範囲に従って分けるステップの結果を格納することを含む。結果は、当業界で知られている方法により格納される。それに加えて、記憶媒体としては、本明細書で説明されている記憶媒体又は当業界で知られている他の好適な記憶媒体が考えられる。本明細書で説明されているような方法又はシステムの実施形態のどれかにおいて、結果が格納された後、記録媒体の中の結果にアクセスし、それらの結果を利用することができる。さらに、結果を、「永久的に」、「半永久的に」、一時的に、又は何らかの期間の間、格納しておくことができる。例えば、記憶媒体は、ランダム・アクセス・メモリ(RAM)とすることができ、ビン範囲に従って分けるステップの結果は、記憶媒体において必ずしも持続しえない。   The method further includes storing the result of the grouping step according to the bin range on a storage medium. Results divided according to bin ranges include the results described herein. In addition, the storing step includes storing the result of the step of dividing according to the bin range in addition to the other results of the steps of the method embodiments described herein. Results are stored by methods known in the art. In addition, the storage medium may be the storage medium described herein or other suitable storage medium known in the art. In any of the method or system embodiments as described herein, after the results are stored, the results in the recording medium can be accessed and utilized. Further, the results can be stored “permanently”, “semi-permanently”, temporarily, or for some period of time. For example, the storage medium can be random access memory (RAM), and the result of the step of dividing according to bin ranges cannot necessarily persist in the storage medium.

設計データの部分が少なくとも類似しているかどうかを判定することは、比較のステップの結果を類似性に関する所定の基準と比較することを含む。例えば、比較するステップの結果をしきい値と比較することができる。それらの部分における設計データが少なくともこのしきい値だけ少なくとも類似している場合、この方法では、ビン範囲に従って欠陥をグループに分けることができる。他の例では、比較するステップの結果を「類似の割合」値と比較することができる。それらの部分における設計データが少なくともこの割合だけ少なくとも類似している場合、この方法では、ビン範囲に従って欠陥をグループに分けることができる。   Determining whether the portions of the design data are at least similar includes comparing the result of the comparing step with a predetermined criterion for similarity. For example, the result of the comparing step can be compared with a threshold value. If the design data in those parts is at least similar by at least this threshold, the method can group the defects according to the bin range. In another example, the result of the comparing step can be compared to a “similar percentage” value. If the design data in those parts is at least similar by at least this percentage, the method can group the defects according to the bin range.

いずれにせよ、この方法は、設計データの2つ又はそれ以上の部分(例えば、GDSパターン・クリップ)について類似性チェックが実行され、2つ又はそれ以上の部分における共通パターンが識別された場合に、ビン範囲に従って欠陥をグループに分けることを含む。それらの部分における設計データが少なくとも類似しているかどうかを判定することにより得られた結果は、ソース部分における設計データがターゲット部分に見つかるかどうかを示す指標を含む。それに加えて、共通ジオメトリの中心点は、系統的欠陥の近似的設計データ空間位置であるとみなせる。したがって、それぞれのグループの欠陥の設計データ空間位置の(x,y)座標は、それぞれのグループに対応するジオメトリの中心点に合わせて調節(変換)される。座標補正ベクトル(又は誤差ベクトル)は、欠陥の設計データ空間座標及びビン範囲に従って欠陥が分けられたグループに対応する共通ジオメトリの中心点に基づいてそれぞれのビン範囲により分けられた欠陥について決定される。欠陥位置の設計データ空間座標における全体的系統的不確定性(ウェハ空間と設計データ空間変換誤差プラス報告された座標における誤差)を決定するために、この方法は、統計的に有意な数の欠陥に対しそれらの変換又は誤差ベクトルの平均を決定することを含む。この方法は、さらに、誤差ベクトルのすべての標準偏差を決定することと、±1の標準偏差又は±3の標準偏差の内側に入るベクトルのみの平均を決定することを含む。このように、平均値を損なう可能性のある外れ値を計算から排除することができる。決定された平均値は、さらに、大域的補正値としても使用される。例えば、大域的補正値は、より正確なオーバーレイが後続のデータ処理ステップにおいて決定されるように設計データ変換に対するウェハ空間により決定された欠陥位置の追加の設計データ空間座標に適用される。   In any case, this method is used when similarity checks are performed on two or more parts of the design data (eg, GDS pattern clips) and a common pattern in the two or more parts is identified. , Including grouping defects according to bin range. The results obtained by determining whether the design data in those parts are at least similar include an indicator that indicates whether the design data in the source part is found in the target part. In addition, the central point of the common geometry can be regarded as an approximate design data space location of systematic defects. Therefore, the (x, y) coordinates of the design data space position of the defect of each group are adjusted (transformed) according to the center point of the geometry corresponding to each group. A coordinate correction vector (or error vector) is determined for the defect divided by each bin range based on the design data space coordinates of the defect and the center point of the common geometry corresponding to the group into which the defect is divided according to the bin range. . To determine the overall systematic uncertainty in the design data space coordinates of the defect location (wafer space and design data space conversion error plus error in the reported coordinates), this method uses a statistically significant number of defects. Determining the average of their transformations or error vectors. The method further includes determining all standard deviations of the error vector and determining an average of only those vectors that fall within ± 1 standard deviation or ± 3 standard deviations. In this way, outliers that can impair the average value can be excluded from the calculation. The determined average value is further used as a global correction value. For example, the global correction values are applied to additional design data space coordinates of defect locations determined by wafer space for design data transformation so that a more accurate overlay is determined in subsequent data processing steps.

決定するステップの結果は、さらに、ターゲット部分と少なくとも類似する設計データが見つかったターゲット部分内のソース部分の位置との間のx及びyオフセットを含む。これらのx及びyオフセットは、ビン範囲に従って分ける方法を最適化するために使用される。例えば、最初にそれらの部分を比較したときに、2つの部分の中心点がアラインされるようにソース部分はターゲット部分内に位置決めされる。しかし、ターゲット部分内のソース部分の最初に使用された位置と少なくとも類似の設計データが見つけられるターゲット部分内のソース部分の位置との間に決定される予測可能な、又は繰り返し可能なオフセット(x及び/又はy方向の)がある場合、このオフセットを使用して、ビン範囲に従って分ける方法の比較ステップで使用されるオーバーレイをチューニングすることができる。   The result of the determining step further includes an x and y offset between the target portion and the location of the source portion within the target portion where at least similar design data was found. These x and y offsets are used to optimize the method of dividing according to bin range. For example, when the parts are first compared, the source part is positioned within the target part such that the center points of the two parts are aligned. However, a predictable or repeatable offset (x) determined between the first used position of the source part in the target part and the position of the source part in the target part where at least similar design data is found. (And / or in the y direction), this offset can be used to tune the overlay used in the comparison step of the method of dividing according to bin range.

いくつかの実施形態では、これらの部分における設計データは、複数の設計層に対する設計データを含む。このように、この方法は、欠陥のバックグラウンド類似性について1つの設計層をチェックすることによりビン範囲に従って欠陥を分けること、又は欠陥のバックグラウンド類似性(つまり、多層バックグラウンド類似性)について一組の設計層をチェックすることによりビン範囲に従って欠陥を分けることを含む。例えば、ウェハ上の多結晶シリコン層(例えば、ゲート電極層)を検査するときに、下にある拡散層は、検査システムから見え、したがって検査結果に影響を及ぼす可能性がある。その際、それらの部分に含まれる設計データは、バックグラウンド・ベースのビン範囲によるグループ分けの精度を高めるため多結晶シリコン層及び拡散層に対する設計データを含む。それに加えて、下にある設計層は、検査システムからは見えない。しかし、複数の設計層に対する設計データを使用することにより、少なくとも類似しているか、又は下にある層上の異なる設計データの上に配置されている設計データの部分に近接して配置されている欠陥をビン範囲に従って異なる複数のグループに分けることができる。   In some embodiments, the design data in these portions includes design data for multiple design layers. Thus, this method separates defects according to bin ranges by checking one design layer for defect background similarity, or one for defect background similarity (ie, multilayer background similarity). It includes separating defects according to bin range by checking a set of design layers. For example, when inspecting a polycrystalline silicon layer (eg, a gate electrode layer) on a wafer, the underlying diffusion layer may be visible to the inspection system and thus affect the inspection results. At this time, the design data included in those portions includes design data for the polycrystalline silicon layer and the diffusion layer in order to improve the accuracy of grouping by the background-based bin range. In addition, the underlying design layer is not visible to the inspection system. However, by using design data for multiple design layers, it is at least similar or located close to the part of the design data that is located on different design data on the underlying layer Defects can be divided into different groups according to bin range.

ソース部分における設計データがターゲット部分内に見つかったかどうかに関係なく、この方法は、ソース部分を設計データ空間における他の欠陥の位置に近接する設計データの他の部分と比較することを含む。ソース部分における設計データに少なくとも類似しているか、又は同じである設計データに近接して配置された複数のターゲット欠陥は、ウェハ上で検出されるため、ソース部分における設計データを複数のターゲット部分における設計データと比較することを実行できる。   Regardless of whether design data in the source portion is found in the target portion, the method includes comparing the source portion to other portions of the design data that are proximate to the location of other defects in the design data space. Since multiple target defects located in close proximity to design data that is at least similar to or the same as the design data in the source portion are detected on the wafer, the design data in the source portion is Comparing with design data can be performed.

図17に示されているこのような一例では、部分102は、設計データ空間106における欠陥116の位置に近接する設計データ(図に示されていない)の部分114と比較される。部分114の寸法は、本明細書で説明されているように選択される。設計データのソース部分は、上でさらに説明されているようにターゲット部分の異なる領域における設計データと比較される。この方法は、さらに、比較の結果に基づいてソース部分における設計データがターゲット部分における設計データの少なくとも一部に少なくとも類似しているかどうかを判定することも含むが、これは、上でさらに説明されているように実行される。これらの部分のオーバーレイ118は、少なくとも類似している設計データが見つかったターゲット部分内のソース部分の位置を例示している。したがって、この方法は、ビン範囲に従って欠陥104、116をグループに分けることを含むが、それは、部分102における設計データが部分114における設計データの少なくとも一部に少なくとも類似していると判定されるからである。それに加えて、ソース部分における設計データがターゲット部分の両方における設計データの少なくとも一部に少なくとも類似していると判定されるため、欠陥104、110、116は、ビン範囲によるグループ分けられる。   In one such example shown in FIG. 17, portion 102 is compared to portion 114 of design data (not shown) that is proximate to the location of defect 116 in design data space 106. The dimensions of the portion 114 are selected as described herein. The source portion of the design data is compared with design data in different regions of the target portion as described further above. The method further includes determining whether the design data in the source portion is at least similar to at least a portion of the design data in the target portion based on the results of the comparison, which is further described above. To be executed. These portion overlays 118 illustrate the location of the source portion within the target portion where at least similar design data was found. Thus, the method includes grouping the defects 104, 116 according to the bin range, since it is determined that the design data in the portion 102 is at least similar to at least a portion of the design data in the portion 114. It is. In addition, since the design data in the source portion is determined to be at least similar to at least a portion of the design data in both target portions, the defects 104, 110, 116 are grouped by bin range.

このような他の例では、部分102は、設計データ空間106における欠陥122の位置に近接する設計データ(図に示されていない)の部分120と比較される。部分120の寸法は、本明細書で説明されているように選択される。設計データのソース部分は、上でさらに説明されているように部分120の異なる領域における設計データと比較される。この方法は、さらに、比較の結果に基づいて部分102における設計データが部分120における設計データの少なくとも一部に少なくとも類似しているかどうかを判定することも含むが、これは、上でさらに説明されているように実行される。部分102、120のオーバーレイ124は、少なくとも類似している設計データが見つかった部分120内の部分102の位置を例示している。したがって、この方法は、ビン範囲に従ってソース欠陥とターゲット欠陥112をグループに分けることを含む。それに加えて、ソース部分における設計データが3つのターゲット部分における設計データの少なくとも一部に少なくとも類似していると判定されるため、ソース欠陥と3つのターゲット欠陥は、ビン範囲によるグループ分けられる。上述のステップは、ウェハ上で検出されたそれぞれの欠陥に対するバックグラウンド情報がウェハ上で検出された他のすべての欠陥に対するバックグラウンド情報と比較されるまで実行される。   In other such examples, portion 102 is compared to portion 120 of design data (not shown) that is proximate to the location of defect 122 in design data space 106. The dimensions of the portion 120 are selected as described herein. The source portion of the design data is compared with design data in different regions of the portion 120 as further described above. The method further includes determining whether the design data in the portion 102 is at least similar to at least a portion of the design data in the portion 120 based on the result of the comparison, which is further described above. To be executed. The overlay 124 of the portions 102, 120 illustrates the position of the portion 102 within the portion 120 where at least similar design data was found. Thus, the method includes grouping the source and target defects 112 according to the bin range. In addition, since the design data in the source portion is determined to be at least similar to at least a portion of the design data in the three target portions, the source defect and the three target defects are grouped by bin range. The above steps are performed until the background information for each defect detected on the wafer is compared with the background information for all other defects detected on the wafer.

上述のように、この方法は、場合によっては設計データ及び/又は設計レイアウトの1つ又は複数の属性などの他の情報と組み合わせて、設計データ及び/又は設計データ空間における欠陥の位置に近接して配置されている設計データのコンテキストに基づいて、ビン範囲に従って欠陥を分けることを含む。コンテキスト情報に基づいてビン範囲に従って欠陥を分ける他の方法とは対照的に、本明細書で説明されている方法では、ウェハ上に印刷されるようなバックグラウンド情報に基づいてビン範囲によるグループ分けを実行しない。その代わりに、本明細書で説明されている方法は、設計データにおいて定められているようなバックグラウンド情報に基づいてビン範囲によるグループ分けを実行する。このように、本明細書で説明されている方法では、設計データがウェハ上に印刷されるかどうか、あるいはどのように印刷されるかに関係なくバックグラウンド・ベースのビン範囲によるグループ分けを実行することができる。   As described above, this method may be in close proximity to the location of the defect in the design data and / or design data space, possibly in combination with other information such as one or more attributes of the design data and / or design layout. And classifying defects according to bin ranges based on the context of the design data being arranged. In contrast to other methods of separating defects according to bin range based on contextual information, the method described herein uses grouping by bin range based on background information as printed on the wafer. Do not execute. Instead, the method described herein performs grouping by bin ranges based on background information as defined in the design data. Thus, the method described herein performs grouping by background-based bin ranges regardless of whether or how the design data is printed on the wafer. can do.

ウェハ上に印刷されるような設計データとそのように無関係であることは、特に、PWQ法や焦点露光マトリックス(FPM)法に対し有利であり、その場合、ウェハ上に印刷されるような設計データは、そのような方法に使用されるプロセス・ウィンドウ・パラメータに関して(ときには劇的に)変化し、そのためウェハ上に印刷された設計データのイメージに基づくビン範囲による欠陥のグループ分けの方法の精度が低下する。PWQなどの経験的技術をそのように適用する際に、この方法は、設計データ空間における欠陥の位置で設計データのGDSクリップ又は抜粋を使用することにより改善されたバックグラウンド・ベースのビン範囲によるグループ分けを実現する。その際、ビン範囲によるグループ分けは、共通パターンにより実行される。ビン範囲に従って分けられる欠陥は、本明細書でさらに説明されているように個別に又は欠陥の一グループとしてまとめて分類される。例えば、この方法は、設計データの1つ又は複数の属性(例えば、設計データ空間における欠陥位置に近接して配置されている設計データの1つ又は複数の属性)に基づいて欠陥を分類することを含むが、これは本明細書でさらに説明されているように実行される。   Such irrelevance to the design data printed on the wafer is particularly advantageous for the PWQ method and the Focus Exposure Matrix (FPM) method, where the design is printed on the wafer. Data varies (sometimes dramatically) with respect to the process window parameters used in such methods, so the accuracy of the defect grouping method by bin range based on the image of the design data printed on the wafer Decreases. In so applying empirical techniques such as PWQ, this method is based on improved background-based bin ranges by using GDS clips or excerpts of design data at the location of defects in the design data space. Realize grouping. At that time, grouping by bin range is executed by a common pattern. Defects that are separated according to bin range are classified individually or collectively as a group of defects as further described herein. For example, the method classifies defects based on one or more attributes of the design data (eg, one or more attributes of the design data that are located proximate to the defect location in the design data space). This is performed as described further herein.

ウェハ上で検出された欠陥は、欠陥の設計データ空間位置に近接する設計データによりビン範囲に従って分けられるため、設計データ空間における欠陥の位置は、ビン範囲によるグループ分けが実行される前に決定される。一実施形態では、この方法は、設計データ空間における検出された欠陥の位置のx及びy座標に対するデータを取り込むことを含むが(又は変換関数を決定する)、これは本明細書で説明されているように実行される。他の実施形態では、この方法は、アライメント部位について検査システムにより取り込まれたデータを所定のアライメント部位に対するデータと比較することにより設計データ空間における欠陥の位置を決定することを含む。ウェハ上のアライメント部位に対するデータを取り込むことは、製品レイアウト・データ、適宜レチクル・フレーム・データ、ステッパー・レシピー(又はステッパーへの入力)を使用してウェハ上のアライメント部位の近似的なウェハ空間位置を決定することと、それらの近似的な位置でデータを取り込むこととを含む。このような比較と決定は、上でさらに説明されているように実行される。それに加えて、この方法は、ウェハ上のアライメント部位について検査システムにより取り込まれたデータを所定のアライメント部位に対するデータと比較することにより設計データ空間における欠陥の少なくとも一部の位置を決定することを含む。次いで、欠陥の少なくとも一部について決定された位置を使用して、設計データ空間における他の欠陥の位置を決定することができる(例えば、報告された欠陥位置を設計データ空間における欠陥位置に変換する変換を生成して使用することにより)。設計データ空間において欠陥の位置を決定することは、さらに、本明細書で説明されている実施形態のどれかにより実行される。   Defects detected on the wafer are classified according to the bin range by design data close to the design data space position of the defect, so the position of the defect in the design data space is determined before grouping by bin range is performed. The In one embodiment, the method includes capturing data for x and y coordinates of detected defect locations in the design data space (or determining a transformation function), which is described herein. To be executed. In other embodiments, the method includes determining the position of the defect in the design data space by comparing data captured by the inspection system for the alignment site with data for a predetermined alignment site. Capturing data for alignment sites on the wafer is the approximate wafer space position of the alignment sites on the wafer using product layout data, reticle frame data, and stepper recipe (or input to the stepper) as appropriate. And capturing data at their approximate locations. Such comparison and determination is performed as described further above. In addition, the method includes determining the position of at least a portion of the defect in the design data space by comparing the data captured by the inspection system for the alignment site on the wafer with the data for the predetermined alignment site. . The positions determined for at least some of the defects can then be used to determine the position of other defects in the design data space (eg, converting the reported defect positions to defect positions in the design data space). By generating and using transformations). Determining the location of the defect in the design data space is further performed according to any of the embodiments described herein.

ときには、上述のデータのすべてが利用可能であるわけではなかったり、又はウェハが設計データに適切にアラインされていなかったりする場合がある。そのような場合、検査又はレビュー時に変換情報の一部をウェハから経験的に決定すると有益な場合がある。一実施形態では、この方法は、欠陥の検出時に検査システムにより取り込まれたデータをレビューにより決定された設計データ空間における配置でレビュー・システムにより取り込まれたデータと比較することにより設計データ空間における欠陥の位置を決定することを含む。このように、この方法は、1つ又は複数の欠陥に対する検査結果をレビューにより決定された設計データ空間配置で取り込まれたレビュー結果にアラインさせることを含む。それに加えて、この方法は、欠陥の検出時に検査システムにより取り込まれたデータをレビューにより決定された設計データ空間における配置でレビュー・システムにより取り込まれたデータと比較することにより欠陥の少なくとも一部の設計データ空間位置を決定することを含む。次いで、欠陥の少なくとも一部について決定された位置を使用して、設計データ空間における他の欠陥の位置を決定する(例えば、報告された欠陥位置を設計データ空間における欠陥位置に変換する変換を生成して使用することにより)。しかし、このアプローチでは、検査システムの座標の不正確さにより複雑になっている可能性のあるウェハ・スケール・オフセットをもたらす。したがって、欠陥の報告された配置に座標の不正確さがある場合、変換関数を測定の統計サンプルに基づくようにすると都合がよいであろう。   Sometimes, not all of the above data is available, or the wafer may not be properly aligned with the design data. In such cases, it may be beneficial to empirically determine some of the conversion information from the wafer during inspection or review. In one embodiment, the method includes a defect in the design data space by comparing the data captured by the inspection system upon detection of the defect with the data captured by the review system in an arrangement in the design data space determined by the review. Determining the position of. Thus, the method includes aligning inspection results for one or more defects with review results captured in a design data space arrangement determined by review. In addition, this method can be used to compare at least some of the defects by comparing the data captured by the inspection system at the time of defect detection with the data captured by the review system in an arrangement in the design data space determined by the review. Including determining a design data space location. The positions determined for at least some of the defects are then used to determine the position of other defects in the design data space (eg, generate a transformation that converts the reported defect positions to defect positions in the design data space. By using). However, this approach results in wafer scale offsets that can be complicated by inaccuracies in the coordinates of the inspection system. Thus, if there is a coordinate inaccuracy in the reported location of the defect, it may be convenient to base the transformation function on a statistical sample of measurements.

設計データ空間における欠陥の位置が決定された後、決定された位置の周りの設計データの部分は、設計データの抽出された部分が、ビン範囲に従って欠陥を分け、本明細書で説明されている他のステップを実行するのに使用されるように抽出される。それに加えて、ビン範囲によるグループ分けに設計データの抽出された部分を使用するのに先立って、抽出された部分のそれぞれ(又は1つ又は複数)は、鏡映、回転、拡大縮小、平行移動(シフト)、又はそれらの何らかの組合せを施され、抽出された部分のそれぞれに対応し、それぞれを含む部分の集合を生成する。部分のこれらの集合は、ビン範囲によるグループ分けの方法の精度を高めるためにビン範囲によるグループ分けに使用される。   After the position of the defect in the design data space is determined, the portion of the design data around the determined position is described herein, where the extracted portion of the design data divides the defect according to the bin range. Extracted to be used to perform other steps. In addition, prior to using the extracted portion of the design data for bin range grouping, each (or one or more) of the extracted portions is mirrored, rotated, scaled, translated (Shift), or some combination thereof, is applied to each of the extracted parts to generate a set of parts including each. These sets of parts are used for grouping by bin range to increase the accuracy of the bin range grouping method.

この方法は、さらに、x方向の寸法(例えば、幅)、y方向の寸法(例えば、長さ)、z方向の寸法(例えば、高さ)、本明細書で説明されている他の(複数の)属性、又はそれらの何らかの組合せなどの検出された欠陥の1つ又は複数の属性を決定することを含む。1つ又は複数の属性は、テーブル又はリストなどの好適なデータ構造体に編成及び/又は格納される。他の実施形態では、ビン範囲に従って欠陥を分けることは、欠陥をビン範囲に従ってグループ分けする際に、それらのグループのそれぞれにおける欠陥の設計データ空間位置に近接する設計データの部分が少なくとも類似し、それらのグループのそれぞれにおける欠陥の1つ又は複数の属性が少なくとも類似するようにグループ分けすることを含む。このような一実施形態では、欠陥の1つ又は複数の属性は、欠陥が検出された検査の結果の1つ又は複数の属性、検査の1つ又は複数のパラメータ、又はそれらの何らかの組合せを含む。例えば、検査の結果の1つ又は複数の属性は、光学モード及び/又は欠陥が選択的に検出される偏光、集束角、入射角などの検査の1つ又は複数の他のパラメータを含む。それに加えて、又はそれとは別に、1つ又は複数の属性は、本明細書で説明されている欠陥の(複数の)他の属性のどれかを含む。このように、ビン範囲によるグループ分けは、設計データや(複数の)欠陥属性により欠陥が複数のグループに分けられるように実行される。このようなビン範囲によるグループ分けは、設計データの少なくとも類似する部分内に配置されている異なる(複数の)属性を有する異なる欠陥タイプ又は欠陥が異なる複数のグループに分けられるように実行される。   The method further includes dimensions in the x direction (eg, width), dimensions in the y direction (eg, length), dimensions in the z direction (eg, height), and other (multiple) described herein. Determining one or more attributes of detected defects, such as attributes), or some combination thereof. One or more attributes are organized and / or stored in a suitable data structure such as a table or list. In other embodiments, dividing defects according to bin ranges is such that when defects are grouped according to bin ranges, portions of the design data proximate to the design data space location of the defects in each of those groups are at least similar, Grouping such that one or more attributes of defects in each of those groups are at least similar. In one such embodiment, the one or more attributes of the defect include one or more attributes of the result of the inspection in which the defect was detected, one or more parameters of the inspection, or some combination thereof. . For example, one or more attributes of the inspection result include one or more other parameters of the inspection, such as polarization, focusing angle, incidence angle, etc., at which optical modes and / or defects are selectively detected. In addition or alternatively, the one or more attributes include any of the other attribute (s) of the defects described herein. As described above, the grouping based on the bin range is executed so that the defects are divided into a plurality of groups based on the design data and (a plurality of) defect attributes. Such grouping by bin range is performed such that different defect types or defects having different attribute (s) arranged in at least similar parts of the design data are divided into different groups.

いくつかの実施形態では、本明細書で説明されているようにビン範囲に従って分けられた欠陥は、光学検査又は電子ビーム検査により検出される。光や電子ビームは、本明細書で説明されている検査システムにより実行される。他の実施形態では、本明細書で説明されているようにビン範囲に従って分けられた欠陥は、PWQ又はFEM法で検出されるが、これは本明細書で説明されているように実行される。本明細書で説明されている実施形態は、PWQ又はFEM法で検出される欠陥に対し特に有用である。例えば、本明細書で説明されている方法の実施形態は、潜在的系統的問題をより容易に、またより正確に識別できるようにPWQ及びFEM法で検出された欠陥をフィルタリングするために使用されるが、これは本明細書でさらに説明されているように実行される。それに加えて、本明細書で説明されている方法の実施形態は、ビン範囲に従ってPWQ又はFEMにより検出され欠陥を有用なグループに分けるために使用されるが、これは本明細書でさらに説明されているように実行される。さらに、本明細書で説明されている方法の実施形態は、ビン範囲に従って分けられたPWQ又はFEM欠陥をレビュー、測定、又は試験のため優先順位付けするために使用されるが、これは本明細書でさらに説明されているように実行される。それに加えて、この方法は、少なくとも類似の設計/レイアウト・パターンに基づいてビン範囲に従って検査及び/又は電気的試験欠陥をグループ分けすることを含む。   In some embodiments, defects separated according to bin ranges as described herein are detected by optical inspection or electron beam inspection. The light or electron beam is performed by the inspection system described herein. In other embodiments, defects separated according to bin ranges as described herein are detected with PWQ or FEM methods, which are performed as described herein. . The embodiments described herein are particularly useful for defects detected with PWQ or FEM methods. For example, the method embodiments described herein can be used to filter defects detected with PWQ and FEM methods so that potential systematic problems can be more easily and more accurately identified. This is performed as described further herein. In addition, the method embodiments described herein are used to divide defects into useful groups that are detected by PWQ or FEM according to bin ranges, which is further described herein. To be executed. Furthermore, the method embodiments described herein are used to prioritize for review, measurement, or testing of PWQ or FEM defects separated according to bin ranges, which Performed as described further in the book. In addition, the method includes grouping inspection and / or electrical test defects according to bin ranges based at least on similar design / layout patterns.

一実施形態では、本明細書で説明されている実施形態においてビン範囲に従って分けられる欠陥を検出するために使用される検査システムを、ウェハ上の3つ又は4つのアライメント部位にアラインさせる。アライメント部位は、上でさらに説明されているように選択される。それに加えて、物理的ウェハ上で、また設計データ若しくはレイアウト内で見える1つ又は複数のアライメント・フィーチャ、パターン、及び/又はジオメトリを含むアライメント部位を選択して、本明細書で説明されている方法で使用することができる。検査システムをアライメント部位にアラインさせた後、ステージの位置精度、回転誤差、x及びy平行移動誤差、倍率(拡大縮小)誤差、又はその何らかの組合せを補正する。この補正は、検査プロセス実行時に行われるか、又は処理後に実行される(つまり、検査結果が出力された後に実行される)。補正は、少なくとも一部は、検査システムにより報告されたアライメント部位に対する座標と同じアライメント部位に対する基準座標との比較の結果に基づく。   In one embodiment, the inspection system used to detect defects that are separated according to bin ranges in the embodiments described herein is aligned to three or four alignment sites on the wafer. The alignment site is selected as described further above. In addition, an alignment site that includes one or more alignment features, patterns, and / or geometries that are visible on the physical wafer and in the design data or layout is described herein. Can be used in the way. After aligning the inspection system to the alignment site, the position accuracy of the stage, rotation error, x and y translation error, magnification (enlargement / reduction) error, or some combination thereof is corrected. This correction is performed when the inspection process is performed, or is performed after the processing (that is, performed after the inspection result is output). The correction is based at least in part on the result of comparison of the coordinates for the alignment site reported by the inspection system with the reference coordinates for the same alignment site.

いくつかの実施形態では、この方法は、ウェハの左側、右側、上、下、中心のダイなどのウェハ上の複数のダイにおける3つ又は4つのアライメント部位に対する座標を取得することを含む。他の実施形態では、ウェハ上のアライメント部位は、ウェハ上の3つの異なるダイに配置される。そのような一実施形態は、図18に例示されている。図18に示されているように、ウェハ126は、複数のダイ128を含む。アライメント部位130は、ダイ128a、128b、128cに配置される。アライメント部位は、3つのダイにのみ示されているが、アライメント部位はウェハ上のそれぞれのダイに配置してもよいことは理解されるであろう。それぞれのダイのアライメント部位の部分集合又はダイの部分集合内のアライメント部位を本明細書で説明されている方法で使用することができる。   In some embodiments, the method includes obtaining coordinates for three or four alignment sites in a plurality of dies on the wafer, such as the left, right, top, bottom, and center dies of the wafer. In other embodiments, the alignment sites on the wafer are located on three different dies on the wafer. One such embodiment is illustrated in FIG. As shown in FIG. 18, the wafer 126 includes a plurality of dies 128. The alignment site 130 is located on the dies 128a, 128b, 128c. Although alignment sites are shown only on three dies, it will be understood that alignment sites may be located on each die on the wafer. A subset of the alignment sites for each die or the alignment sites within a subset of the dies can be used in the methods described herein.

この方法は、さらに、ダイ内の三角分布の3つの共通アライメント部位(つまり、ウェハ上に印刷されたダイと設計データ(例えば、GDSレイアウト)に共通のアライメント部位)を識別することを含む。例えば、図18に示されているように、アライメント部位130は、ダイ128a、128b、128c内に三角分布で配列される。そのような一実施形態では、3つの異なるダイが、さらに、所定の配列でウェハ上に分布する(例えば、三角形又は他の配列)。例えば、図18に示されているように、ダイ128a、128b、128cは、ウェハ126上に三角形配列132で配置される。このように、この方法は、ウェハ上のアライメント部位について検査システムにより取り込まれたイメージ(例えば、BF及び/又はDFイメージ)を所定のアライメント部位に対するデータにアラインさせることを含む。この方法は、検査システムにより取り込まれた検査データの座標を設計データ座標(例えば、GDS座標)にマッピングすることと、変換行列を作成することとを含む。変換行列は、以下のような好適な形式で表すことができる。   The method further includes identifying three common alignment sites of triangular distribution within the die (ie, alignment sites common to the die printed on the wafer and design data (eg, GDS layout)). For example, as shown in FIG. 18, the alignment sites 130 are arranged in a triangular distribution within the dies 128a, 128b, 128c. In one such embodiment, three different dies are further distributed on the wafer in a predetermined arrangement (eg, a triangle or other arrangement). For example, as shown in FIG. 18, the dies 128a, 128b, 128c are arranged in a triangular array 132 on the wafer 126. Thus, the method includes aligning an image (eg, BF and / or DF image) captured by the inspection system for an alignment site on the wafer with data for a predetermined alignment site. The method includes mapping the coordinates of inspection data captured by the inspection system to design data coordinates (eg, GDS coordinates) and creating a transformation matrix. The transformation matrix can be expressed in a suitable format as follows.

Figure 0005465880
Figure 0005465880

これらのアライメント部位の座標は、さらに、「ツール・マッチング」を実行して(例えば、自動的に実行して)、検査システム同士の間の座標の差をなくすために使用される。このような方法の一利点は、これらの座標を個別に、また自動的に、検査されたウェハ毎に決定し、それにより、補正係数のウェハ毎の集合を形成することができることにある。このような方法の他の利点は、決定された座標を使用して、検査データと設計データとのアライン精度を他の何らかの形で低下させる可能性のあるウェハ上の検査システム又は他のシステムにおける座標ドリフト(例えば、累積誤差、ステージ移動誤差により引き起こされる、及び機械、電気、熱によるノイズを原因とする誤差により引き起こされる座標ドリフト)を決定することができるということにある。   These alignment site coordinates are further used to perform “tool matching” (eg, automatically) to eliminate coordinate differences between inspection systems. One advantage of such a method is that these coordinates can be determined individually and automatically for each inspected wafer, thereby forming a set of correction factors for each wafer. Another advantage of such a method is in the inspection system or other system on the wafer that may use the determined coordinates to reduce the alignment accuracy between the inspection data and the design data in some other way. Coordinate drift (eg, coordinate drift caused by accumulated errors, stage movement errors, and errors caused by mechanical, electrical, thermal noise) can be determined.

上述のように、それらの部分において設計データを比較することは、それらの部分の少なくとも一部における設計データの全体を他の部分における設計データと比較することを含む。このように、そのような比較の結果を使用して、ソース部分における設計データがすべてターゲット部分における設計データの少なくとも一部に少なくとも類似しているかどうかを判定することができる。しかし、代替の実施形態では、それらの部分において設計データを比較することは、それらの部分の少なくとも一部における設計データの異なる領域を他の部分における設計データと比較することを含むが、これは本明細書でさらに説明されているように実行される。さらに、ソース部分の複数の領域における設計データは、ターゲット部分の領域における設計データに少なくとも類似しているか、又は同一である場合があるが、そのような比較の結果を使用して、ターゲット部分における設計データの類似のサイズの領域に少なくとも類似しているか、又は同一であるソース部分における設計データの最大領域を識別することができる。このように、この方法は、設計データ空間におけるソース欠陥やターゲット欠陥の位置に近接する設計データが「そっくりである」か、又は少なくとも類似しているかどうかを判定することを含む。したがって、この方法は、本明細書で説明されているように、バックグラウンド・ベースでビン範囲に従って欠陥を分けるうえで特定の設計層においてかなり効果的である。   As described above, comparing design data in those portions includes comparing the entire design data in at least some of those portions with design data in other portions. Thus, the result of such a comparison can be used to determine whether all design data in the source portion is at least similar to at least a portion of the design data in the target portion. However, in alternative embodiments, comparing design data in those parts includes comparing different regions of design data in at least some of those parts with design data in other parts, It is carried out as described further herein. Further, the design data in multiple regions of the source portion may be at least similar or identical to the design data in the target portion region, but the results of such a comparison can be used to A maximum region of design data in a source portion that is at least similar to or identical to a similarly sized region of design data can be identified. Thus, the method includes determining whether design data proximate to the location of the source and target defects in the design data space is “similar” or at least similar. This method is therefore quite effective at certain design layers in separating defects according to bin range on a background basis, as described herein.

方法のそのような一実施形態は、図19に例示されている。例えば、図19に示されているように、方法は、設計データ空間138における欠陥136の位置に近接する設計データ(図に示されていない)の部分134を定める。欠陥136は、本明細書では「ソース欠陥」と呼ばれる。設計データの部分134を定めることは、部分の寸法を選択することを含むが、これは上でさらに説明されているように実行される。この方法は、さらに、設計データの部分を1つ又は複数の異なる領域に分けるか、セグメント分割するか、又はパーティション分割することを含む。例えば、図19に示されているように、部分134は、4つの異なる領域140、142、144、146に分割される。部分134が分けられた異なる領域は、この場合、「ソース象限」と呼ばれる。図19では、部分134は4つの象限に分割されているように示されているが、その部分は任意の適当な数の領域に分けられることは理解されるであろう。領域はすべて、同じサイズを有するか、又は領域の全部若しくは一部が異なるサイズとすることができる。   One such embodiment of the method is illustrated in FIG. For example, as shown in FIG. 19, the method defines a portion 134 of design data (not shown) that is proximate to the location of the defect 136 in the design data space 138. Defect 136 is referred to herein as a “source defect”. Defining the design data portion 134 includes selecting the size of the portion, which is performed as described further above. The method further includes dividing, segmenting, or partitioning portions of the design data into one or more different regions. For example, as shown in FIG. 19, the portion 134 is divided into four different regions 140, 142, 144, 146. The different areas into which the portion 134 is divided are in this case called “source quadrants”. In FIG. 19, the portion 134 is shown as being divided into four quadrants, but it will be understood that the portion is divided into any suitable number of regions. All regions can have the same size, or all or some of the regions can be different sizes.

この例では、この方法は、ソース象限140、142、144、146における設計データと設計データ空間138における欠陥150の位置に近接する設計データ(図に示されていない)の部分148とを比較することを含む。欠陥150は、本明細書では「ターゲット欠陥」と呼ばれる。図19に示されているように、部分148は欠陥150よりも広く、少なくとも部分134と同じくらいである。部分148の寸法は、上でさらに説明されているように選択される。   In this example, the method compares the design data in source quadrants 140, 142, 144, 146 with a portion 148 of design data (not shown) that is close to the location of defect 150 in design data space 138. Including that. The defect 150 is referred to herein as a “target defect”. As shown in FIG. 19, portion 148 is wider than defect 150 and is at least as large as portion 134. The dimensions of the portion 148 are selected as described further above.

ソース象限のそれぞれにおける設計データをターゲット部分の異なる領域における設計データと比較する。このように、この方法は、ターゲット部分の中のソース象限のそれぞれにおける設計データを探索することを含む。この例では、この方法は、さらに、比較するステップの結果に基づいてソース象限における設計データがターゲット部分における設計データに少なくとも類似しているかどうかを判定することも含む。例えば、この方法は、ソース象限のそれぞれにおける設計データがターゲット部分における設計データにどれだけ類似しているかを判定することを含む。ターゲット部分における設計データに少なくとも類似していると判定される設計データは、ソース象限のどれにもないか、又は一部にあるか、又は全部にある。オーバーレイ152に示されているように、4つのソース象限のうちの3つの象限における設計データは、オーバーレイ152に示されているソース象限140、144、146の位置の部分148の領域内の設計データに少なくとも類似していると判定された。   Compare the design data in each of the source quadrants with the design data in different regions of the target portion. Thus, the method includes searching for design data in each of the source quadrants in the target portion. In this example, the method further includes determining whether the design data in the source quadrant is at least similar to the design data in the target portion based on the result of the comparing step. For example, the method includes determining how similar the design data in each of the source quadrants is to the design data in the target portion. The design data that is determined to be at least similar to the design data in the target portion is not in any, part, or all of the source quadrant. As shown in overlay 152, the design data in three of the four source quadrants is the design data in the region of portion 148 at the location of source quadrants 140, 144, 146 shown in overlay 152. Was determined to be at least similar.

このように、この方法は、ソース象限における設計データをターゲット部分における設計データと比較して、その対応する設計データに基づいて少なくともどの欠陥をビン範囲に従ってグループ分けできるかを判定することを含む。ソース象限とターゲット部分のそれぞれにおける設計データが少なくとも類似しているかどうかを判定した結果は、いくつのソース象限が、またどのソース象限がターゲット部分における設計データに少なくとも類似している設計データを含むと判定されたかを示す指標を含む。判定するステップの結果は、さらに、ターゲット部分と少なくとも類似する設計データが見つかったターゲット部分内のソース象限のそれぞれとの間のx及びyオフセットを含むこともできる。ソース欠陥がターゲット欠陥とともにビン範囲によるグループ分けられるかどうかは、いくつのソース象限が、またどのソース象限が、ターゲット部分における設計データに少なくとも類似している設計データを含むと判定されたかということ、及びターゲット部分と少なくとも類似している設計データが見つかったターゲット部分内のソース象限のそれぞれとの間のオフセットに基づいて決定される。   Thus, the method includes comparing design data in the source quadrant with design data in the target portion to determine at least which defects can be grouped according to bin ranges based on the corresponding design data. The result of determining whether the design data in each of the source quadrant and target portion is at least similar is that the number of source quadrants and which source quadrant contains design data that is at least similar to the design data in the target portion. It includes an indicator that indicates whether it has been determined. The result of the determining step may further include an x and y offset between each of the source quadrants in the target portion where design data at least similar to the target portion is found. Whether source defects are grouped together with target defects by bin range, how many source quadrants, and which source quadrants have been determined to contain design data that is at least similar to the design data in the target portion, And design data that is at least similar to the target portion is determined based on an offset between each of the source quadrants in the target portion where it was found.

いくつかの実施形態では、ソース象限及びターゲット部分のそれぞれにおける設計データは、複数の設計層に対する設計データを含む。このように、この方法は、少なくとも類似している設計データについて1つの設計層をチェックすることによりビン範囲に従って欠陥を分けるか、又は少なくとも類似している設計データに対する設計層の集合(例えば、多重層)をチェックすることによりビン範囲に従って欠陥を分けることを含む。   In some embodiments, the design data in each of the source quadrant and target portion includes design data for multiple design layers. Thus, this method either separates defects according to bin ranges by checking one design layer for at least similar design data or at least a set of design layers for similar design data (e.g., multiple Divide the defects according to bin range by checking the multi-layer).

ソース象限における設計データがターゲット部分における設計データに少なくとも類似していると判定されたかどうかに関係なく、この方法は、ソース象限のそれぞれを他の欠陥の設計データ空間における位置に近接する設計データの他の部分と比較することを含む。   Regardless of whether the design data in the source quadrant has been determined to be at least similar to the design data in the target portion, this method allows each of the source quadrants to be located in the design data space of other defects. Including comparing with other parts.

このような一例では、ソース象限140、142、144、146における設計データは、設計データ空間138における欠陥156の位置に近接する設計データ(図に示されていない)の部分154と比較される。部分154は、上述のように構成される。ソース象限及び部分154における設計データは、上述のように比較される。この方法は、さらに、ソース象限のそれぞれにおける設計データが部分154における設計データに少なくとも類似しているかどうかを判定することも含むが、これは、上でさらに説明されているように実行される。オーバーレイ158に示されているように、4つのソース象限のうちの2つの象限(例えば、象限144、146)は、オーバーレイ158に示されているソース象限の位置の部分154における設計データに少なくとも類似している設計データを含むと判定された。したがって、この方法では、設計データ空間における欠陥136、156の位置に近接する設計データが欠陥136、150に比べてあまり類似していないと判定される。設計データ空間における欠陥136、156の位置に近接する設計データが、ビン範囲に従って欠陥136、156を同じグループに分けられるくらい十分に小さいかどうかを、上でさらに説明されているように判定することができる。   In one such example, the design data in the source quadrants 140, 142, 144, 146 is compared to a portion 154 of design data (not shown) that is proximate to the location of the defect 156 in the design data space 138. Portion 154 is configured as described above. The design data in the source quadrant and portion 154 is compared as described above. The method further includes determining whether the design data in each of the source quadrants is at least similar to the design data in portion 154, which is performed as described further above. As shown in overlay 158, two of the four source quadrants (eg, quadrants 144, 146) are at least similar to the design data in portion 154 of the source quadrant location shown in overlay 158. It is determined that the design data is included. Therefore, in this method, it is determined that the design data close to the positions of the defects 136 and 156 in the design data space are not very similar to the defects 136 and 150. Determining whether the design data proximate to the location of the defects 136, 156 in the design data space is small enough to divide the defects 136, 156 into the same group according to the bin range, as further described above. Can do.

このような他の例では、ソース象限140、142、144、146における設計データは、設計データ空間138における欠陥162の位置に近接する設計データ(図に示されていない)の部分160と比較される。部分160は、上述のように構成される。ソース象限及び部分160における設計データは、上述のように比較される。この方法は、さらに、ソース象限のそれぞれにおける設計データが部分160における設計データに少なくとも類似しているかどうかを判定することも含むが、これは、上でさらに説明されているように実行される。オーバーレイ164に示されているように、4つのソース象限のうちの2つの象限(例えば、象限142、144)は、オーバーレイ164に示されているソース象限の位置の設計データの部分160に少なくとも類似している設計データを含むと判定された。したがって、この方法では、設計データ空間における欠陥136、162の位置に近接する設計データが設計データ空間における欠陥136、150の位置に近接する設計データに比べてあまり類似していないと判定しうる。設計データ空間における欠陥136、162の位置に近接する設計データが、ビン範囲に従って欠陥136、162を同じグループに分けられるくらい十分に小さいかどうかを、上でさらに説明されているように判定することができる。   In other such examples, the design data in the source quadrants 140, 142, 144, 146 is compared to a portion 160 of design data (not shown) that is proximate to the location of the defect 162 in the design data space 138. The Portion 160 is configured as described above. The design data in the source quadrant and portion 160 are compared as described above. The method further includes determining whether the design data in each of the source quadrants is at least similar to the design data in portion 160, which is performed as described further above. As shown in overlay 164, two of the four source quadrants (eg, quadrants 142, 144) are at least similar to design data portion 160 of the source quadrant location shown in overlay 164. It is determined that the design data is included. Therefore, in this method, it can be determined that the design data close to the positions of the defects 136 and 162 in the design data space is not very similar to the design data close to the positions of the defects 136 and 150 in the design data space. Determining whether the design data proximate to the location of the defects 136, 162 in the design data space is small enough to divide the defects 136, 162 into the same group according to the bin range, as described further above. Can do.

上述のように決定された象限情報は、格納され、及び/又は表示される。この情報は、セットアップ、検証、及びトラブルシューティングの目的のために使用される。   The quadrant information determined as described above is stored and / or displayed. This information is used for setup, verification, and troubleshooting purposes.

この方法は、さらに、設計データにおけるユニークパターンの、テーブル、リスト、又は他のデータ構造体を動的にコンパイルし、設計データ空間における欠陥の位置に近接する設計データの部分をそのテーブル、リスト、又は他のデータ構造体の中のパターンと比較することにより、系統的欠陥及びニュイサンス欠陥(例えば、現実のものでも、注目するものでもない欠陥)のオンツール分類を行うことを含む。動的に作成されたパターン集合(又は静的パターン集合)は、パターンのそれぞれに関連付けられている設計ベース分類(DBC)とともにライブラリなどのデータ構造体に格納される。このように、DBCは、ビン範囲に従って欠陥が分けられるグループを定め、ユニークパターンは、POI設計の例を含む。その際、設計データ空間欠陥位置に近接する設計データは、他の設計データ空間欠陥位置に近接する設計データと比較されず、動的に生成されたパターン集合内のユニークなパターンと比較される。このような比較は、本明細書でさらに説明されているように実行される。例えば、そのようなデータ構造体を利用することができる(動的に生成される場合もあればされない場合もある)一実施形態は、以下で詳しく説明される、ウェハ上で検出された欠陥に分類を割り当てるためのコンピュータ実施方法である。   The method further dynamically compiles a table, list, or other data structure of a unique pattern in the design data, and the portion of the design data proximate to the location of the defect in the design data space. Or performing on-tool classification of systematic and nuisance defects (eg, defects that are neither real nor noticeable) by comparison with patterns in other data structures. The dynamically created pattern set (or static pattern set) is stored in a data structure such as a library together with a design base classification (DBC) associated with each pattern. Thus, DBC defines groups into which defects are divided according to bin ranges, and the unique pattern includes an example of POI design. At that time, the design data close to the design data space defect position is not compared with the design data close to the other design data space defect position, but is compared with the unique pattern in the dynamically generated pattern set. Such a comparison is performed as described further herein. For example, one embodiment that can utilize such a data structure (which may or may not be dynamically generated) is described in detail below for defects detected on the wafer. A computer-implemented method for assigning a classification.

それに加えて、いくつかの実施形態では、コンピュータ実施方法は、欠陥を検出するために使用される検査システムにより実行される。このように、ビン範囲に従って欠陥を分けることは、「オンツール」で実行される。この方法をオンツールで実行する利点の1つは、結果が出るまでの時間を短縮できることにある。この方法は、欠陥が検出された後に(例えば、他の欠陥が検出されている間又はその後の検査中、検査結果の分析中、レビュー中など)、オンツールで実行される。それに加えて、潜在的系統的欠陥又は系統的欠陥(ホット・スポット)の配置とビン範囲によるグループ分けに使用されるデータとは、データ構造体(例えば、ホット・スポット・データベース)に格納され、検査比較(監視)に使用される。したがって、ビン範囲によるグループ分けは、分類をしやすくするために検査時に実行される(発見のためのビン範囲によるグループ分け、フィルタリング、又は監視)。   In addition, in some embodiments, the computer-implemented method is performed by an inspection system that is used to detect defects. Thus, dividing defects according to bin ranges is performed “on tool”. One advantage of running this method on-tool is that it can reduce the time to results. This method is performed on-tool after a defect is detected (eg, while other defects are detected or during subsequent inspection, analysis of inspection results, reviewing, etc.). In addition, the data used to locate potential systematic defects or systematic defects (hot spots) and group by bin range is stored in a data structure (eg, hot spot database), Used for inspection comparison (monitoring). Therefore, grouping by bin range is performed during inspection to facilitate classification (grouping by bin range for discovery, filtering or monitoring).

代替の実施形態では、コンピュータ実施方法は、欠陥を検出するために使用される検査システム以外のシステムにより実行される。このように、本明細書で説明されている方法の実施形態は、「オフツール」で実行される。方法をオフツールで実行するシステムとしては、例えば、顕微鏡(光学又は電子ビーム)、レビュー・システム、ウェハが装填されないシステム(例えば、スタンドアロン型コンピュータ・システム)、又は方法を実行するように構成される当業界で知られている他の適切なシステムがある。例えば、この方法は、検出された欠陥の少なくとも一部のイメージを取り込むために顕微鏡が使用されるウェハの第2パスにおける欠陥検出の後に実行される。このようなイメージ取り込みは、電子ビーム顕微鏡が欠陥の一部(例えば、ウェハの上面の下に配置されている欠陥などの電子ビーム顕微鏡からは見えない欠陥)を撮像できない場合があるため光学顕微鏡を使用して実行される。イメージ取り込みは、オフラインで実行され、レビューに関して欠陥のサンプリング能力を高めるために使用される。ビン範囲に従って欠陥を分けることは、さらに本明細書でさらに説明されているように欠陥の分析及びサンプリングに使用される。   In an alternative embodiment, the computer-implemented method is performed by a system other than the inspection system used to detect defects. Thus, the method embodiments described herein are performed “off-tool”. The system for performing the method off-tool can be configured to perform, for example, a microscope (optical or electron beam), a review system, a system that is not loaded with a wafer (eg, a stand-alone computer system), or a method. There are other suitable systems known in the art. For example, the method is performed after defect detection in the second pass of the wafer where a microscope is used to capture an image of at least a portion of the detected defects. Such image capture may cause the electron beam microscope to fail to image some of the defects (eg, defects that are not visible to the electron beam microscope, such as defects located below the top surface of the wafer). Executed using. Image capture is performed off-line and is used to increase the ability to sample defects for review. Separating defects according to bin ranges is used for defect analysis and sampling as further described herein.

いくつかの実施形態では、この方法は、ビン範囲に従って分けるステップの結果に基づいて設計データにおけるホット・スポットを識別することを含む。このように、設計ベースのビン範囲に従って分けることは、ホット・スポットの発見に使用される。それに加えて、ホット・スポットの発見は、「オンツール」で実行される。この方法は、さらに、発見されたホット・スポットを含むデータ構造体を生成すること、及び配置などのホット・スポット、ホット・スポットの位置に近接する設計データ、その他などの1つ又は複数の属性を含むデータ構造体を生成することも含む。データ構造体としては、リスト、データベース、ファイルなどがある。ホット・スポットは、ホット・スポット管理に使用される(場合によってはオンツールで)。ホット・スポット管理は、ホット・スポットを発見すること、オンツール・パターン・グループ化を使用してホット・スポット・データ構造体を生成すること、さらにホット・スポット監視を含むが、これは本明細書でさらに説明されているように実行される。それに加えて、設計ベースのビン範囲によるグループ分けにより発見されたホット・スポットは、DesignScan、PWQ、DOE、レビューの入力として使用される。それとは別に、本明細書で説明されている方法で使用されるホット・スポットは、レチクル検査システムなどの当業界で知られている他の方法又はシステムを使用して発見される。   In some embodiments, the method includes identifying hot spots in the design data based on the result of dividing according to bin ranges. Thus, dividing according to design-based bin ranges is used for hot spot discovery. In addition, hot spot discovery is performed “on-tool”. The method further generates one or more attributes such as generating a data structure containing the discovered hot spots, and hot spots such as placement, design data close to the location of the hot spots, etc. Generating a data structure including. Data structures include lists, databases, and files. Hot spots are used for hot spot management (sometimes on-tool). Hot spot management includes discovering hot spots, generating hot spot data structures using on-tool pattern grouping, and hot spot monitoring. Performed as described further in the book. In addition, hot spots discovered by grouping by design-based bin range are used as inputs for DesignScan, PWQ, DOE, and reviews. Alternatively, hot spots used in the methods described herein are discovered using other methods or systems known in the art, such as a reticle inspection system.

図20は、本明細書で説明されている実施形態によりウェハ上で検出された欠陥をビン範囲に従って分けるコンピュータ実施方法を実行するように構成されたモジュール166への入力と、モジュール166からの出力の一実施形態を例示している。モジュール166は、GDSパターン・チェッカー(設計データ又は2つの欠陥の設計データ空間位置に近接する設計データの部分の正確さチェッカー)、及び/又は類似性チェッカー(非正確さチェッカー)として機能するように構成される。モジュールは、本明細書で説明されているステップの1つ又は複数をオンツール又はオフツールで実行するように構成される。例えば、モジュールは、本明細書で説明されているステップの1つ又は複数を処理後にオンツールで(例えば、オンツール、欠陥検出後)実行するように構成される。それに加えて、モジュールは、本明細書で説明されているステップの1つ又は複数を欠陥検出時に実行するように構成される。モジュールは、本明細書で説明されているステップの1つ又は複数をオンツールで実行するように構成されている場合、欠陥の編成などの本明細書で説明されている他の機能を実行するように構成される。   FIG. 20 illustrates input to and output from module 166 configured to perform a computer-implemented method of separating defects detected on a wafer according to bin ranges in accordance with embodiments described herein. One embodiment is illustrated. Module 166 serves to function as a GDS pattern checker (accuracy checker for portions of design data or design data close to the design data space location of two defects), and / or a similarity checker (inaccuracy checker) Composed. The module is configured to perform one or more of the steps described herein on or off tool. For example, the module is configured to perform one or more of the steps described herein on-tool after processing (eg, on-tool, after defect detection). In addition, the module is configured to perform one or more of the steps described herein upon defect detection. A module performs other functions described herein, such as defect organization, if the module is configured to perform on-tool one or more of the steps described herein. Configured as follows.

モジュール166への入力は、欠陥リスト168を含む。一実施形態では、欠陥リスト168は、KLARFファイル又は検査システムにより生成される他の標準ファイルに収められた情報など欠陥情報を含む。モジュールへの入力は、上述のように決定される座標変換情報や、設計データを含むこともできる。このような実施形態では、モジュール166は、検査システムにより報告されるような欠陥リスト168における欠陥の位置を設計データ空間における欠陥の位置に変換するように構成される。   Input to module 166 includes defect list 168. In one embodiment, defect list 168 includes defect information, such as information contained in a KLARF file or other standard file generated by an inspection system. The input to the module can also include coordinate conversion information determined as described above and design data. In such an embodiment, module 166 is configured to convert the position of the defect in defect list 168 as reported by the inspection system to the position of the defect in the design data space.

それとは別に、モジュール166は、他のソフトウェア・モジュール(変換機能を実行するように構成されたソフトウェア・モジュール)を通じて用意される変換された設計データ空間座標にアクセスすることでウェハ空間における機能を実行するように構成される。他の代替手段において、欠陥リスト168は、設計データ空間における欠陥の位置を含む。このような実施形態では、検査システムにより報告された欠陥位置は、他のソフトウェア・モジュールにより設計データ空間における欠陥位置に変換される。このような欠陥情報は、同じ計算ハードウェア上の、又はネットワークで接続された計算ハードウェアの集合体の間のプロセス内又はプロセス間通信を介して好適なデータ・ファイル・フォーマットにより、又はプログラム手段を通じて、モジュール166に入力される。このように、欠陥情報は、モジュールを他のシステムに結合する伝送媒体を介して他のシステムによりモジュール166に供給される。伝送媒体は、当業界で知られている好適な伝送媒体を含み、「有線」及び「無線」伝送媒体又はその何らかの組合せを含む。   Alternatively, module 166 performs functions in wafer space by accessing transformed design data space coordinates provided through other software modules (software modules configured to perform conversion functions). Configured to do. In another alternative, the defect list 168 includes the position of the defect in the design data space. In such an embodiment, the defect location reported by the inspection system is converted to a defect location in the design data space by another software module. Such defect information may be stored in a suitable data file format or in program means via intra-process or inter-process communication between collections of computing hardware on the same computing hardware or networked. To the module 166. In this manner, defect information is provided to module 166 by another system via a transmission medium that couples the module to the other system. Transmission media includes any suitable transmission media known in the art and includes "wired" and "wireless" transmission media or some combination thereof.

追加の入力(図20に示されていない)は、さらに、モジュール166に供給され、本明細書で説明されている1つ又は複数の実施形態の1つ又は複数のステップを実行するため、そのモジュールにより使用される。追加の入力は、電気的検査データなどの利用可能な他の欠陥及び/又は設計データ情報、複数のウェハに対する欠陥情報、ホット・スポット又はウィーク・スポット情報(「ウィーク・スポット」は、限定はしないが、OPC後検証ソフトウェアなどのモデル・ベースのシミュレーション、及び限定はしないが、PWQなどの経験的方法により識別された設計における潜在的弱点の配置として一般的に定義される)、探索ウィンドウ・サイズ(例えば、上述のような設計データ空間におけるソース及びターゲット欠陥の位置に近接する設計データの位置の寸法又はソース欠陥の拡大とターゲット欠陥の拡大)、類似性の何らかの所定の基準(例えば、類似性しきい値)、又はこれらの何らかの組合せを含む。   Additional inputs (not shown in FIG. 20) are further provided to module 166 to perform one or more steps of one or more embodiments described herein. Used by module. Additional inputs include other available defect and / or design data information, such as electrical inspection data, defect information for multiple wafers, hot spot or weak spot information ("weak spot" is not limited) Is generally defined as the placement of potential weaknesses in a design identified by model-based simulation such as post-OPC validation software and, but not limited to, empirical methods such as PWQ), search window size (E.g., the size of the location of the design data close to the location of the source and target defects in the design data space as described above or the enlargement of the source defect and the enlargement of the target defect), some predetermined criterion of similarity (e.g. Threshold), or some combination thereof.

それに加えて、ホット・スポットは、予め設計データに基づいてグループ化される。例えば、少なくとも類似している設計データに近接して配置されているホット・スポットは、互いに相関し、本明細書で説明されている方法及びシステムの実施形態は、ホット・スポットのそのような相関を実行する。相関するホット・スポットを使用して、本明細書でさらに説明されているようにビン範囲に従って欠陥を分けることができる。このような一実施形態では、モジュール166は、それぞれのグループ内の欠陥が互いに相関するホット・スポットのみの位置に少なくとも類似している設計データ空間における位置を有するように、ビン範囲に従って欠陥をグループ分けするように構成される。このように、モジュールは、設計データを使用せずにビン範囲に従って欠陥を分けるように構成される。さらに、後から分析に使用するため相関するホット・スポットの1つ又は複数の属性を決定することができる(例えば、KPなどの歩留まり情報を相関するホット・スポットについて決定することができる)。このように、相関するホット・スポットに対応してビン範囲に従って欠陥がグループに分けられると、モジュールは、欠陥グループに対する相関するホット・スポットについて決定された予想歩留まり影響を報告する。   In addition, hot spots are grouped in advance based on design data. For example, hot spots that are located in proximity to at least similar design data correlate with each other, and embodiments of the methods and systems described herein may provide such correlation of hot spots. Execute. Correlated hot spots can be used to separate defects according to bin range as described further herein. In one such embodiment, module 166 groups defects according to bin ranges so that defects in each group have locations in the design data space that are at least similar to the locations of only hot spots that correlate with each other. Configured to divide. In this way, the module is configured to divide defects according to bin ranges without using design data. In addition, one or more attributes of correlated hot spots can be determined for later use in analysis (eg, yield information such as KP can be determined for correlated hot spots). Thus, when defects are grouped according to bin ranges corresponding to correlated hot spots, the module reports the expected yield effect determined for the correlated hot spots for the defect group.

モジュール166は、設計データ空間における異なる欠陥の位置に近接する設計データが一致するか「チェックする」ことにより欠陥リスト168でビン範囲に従って欠陥を分けることによりGDSパターン・チェッカーとして機能するように構成される。このように、モジュール166は、それぞれのグループ内の欠陥が一致する設計データに近接する設計データ空間に配置されるようにビン範囲に従って欠陥をグループに分けるように構成される。それに加えて、又はそれとは別に、モジュール166は、設計データ空間における異なる欠陥の位置に近接する設計データの類似性をチェックすることにより欠陥リスト168でビン範囲に従って欠陥を分けることにより類似性チェッカーとして機能するように構成される。   Module 166 is configured to function as a GDS pattern checker by separating defects according to bin range in defect list 168 by “checking” design data that is close to different defect locations in the design data space. The Thus, the module 166 is configured to group the defects according to the bin range so that the defects in each group are located in the design data space adjacent to the matching design data. In addition or alternatively, the module 166 acts as a similarity checker by separating defects according to bin ranges in the defect list 168 by checking the similarity of design data close to different defect locations in the design data space. Configured to work.

モジュール166の出力は、出力170を含む。出力170は、限定はしないが、検査システムにより報告されるような欠陥位置のx及びy座標、設計データ空間における欠陥位置のx及びy座標、ビン範囲に従って欠陥が同じグループに分けられた場合にビン範囲に従って欠陥が分けられたグループの識別記号(例えば、1、2、3、a、b、cなど)(例えば、ビン範囲に従って欠陥が同じグループに分けられた場合に、その識別記号は同じものとしてよい)、さらに、ターゲット部分の中心とソース部分における設計データに一致するか、又は少なくとも類似している設計データが配置されているターゲット部分内の領域の中心との間のx及び/又はy方向のシフト又はオフセットを含む、さまざまな情報のリストを含む。出力は、当業界で知られている好適なフォーマットを有する1つ又は複数のデータ構造体を含む(例えば、通常のテキスト・ファイル・フォーマット)。それに加えて、出力を、後からアクセスし、及び/又は分析できるように当業界で知られている適切な記憶媒体に格納してもよい。出力は、本明細書でさらに説明されているように格納され、使用される。   The output of module 166 includes an output 170. The output 170 may be, but is not limited to, when defects are divided into the same group according to the x and y coordinates of the defect location as reported by the inspection system, the x and y coordinates of the defect location in the design data space, and the bin range. Identification symbol of the group in which the defect is divided according to the bin range (for example, 1, 2, 3, a, b, c, etc.) (for example, when the defect is divided into the same group according to the bin range, the identification symbol is the same) And x and / or between the center of the target portion and the center of the region in the target portion where design data that matches or at least resembles the design data in the source portion is located. Contains a list of various information, including shifts or offsets in the y direction. The output includes one or more data structures having a suitable format known in the art (eg, a normal text file format). In addition, the output may be stored on a suitable storage medium known in the art for later access and / or analysis. The output is stored and used as described further herein.

それに加えて、又はそれとは別に、図21に示されているように、モジュール166の出力は、設計データ空間におけるそれぞれの欠陥の位置に近接する設計データと設計データ空間におけるそれぞれの他の欠陥の位置に近接する設計データとどれだけ類似しているか否か(例えば、%類似性)を例示するテーブルを含む。図21に示されている例では、設計データ空間における欠陥1及び2の位置に近接する設計データの部分は40%の類似性を有しているが、設計データ空間における欠陥1及び3の位置に近接する設計データの部分は95%の類似性を有している。このように、この方法では、図21に示されている出力を使用して、ビン範囲に従ってどの欠陥が同じグループに分けられるかを決定することができる。例えば、設計データ空間における欠陥の位置に近接する設計データの部分が、90%を超える類似性を有する場合、欠陥は、ビン範囲に従って同じグループに分けられる。それに加えて、図21に示されているように、設計データ空間における欠陥1の位置に近接する設計データの部分は、設計データ空間における欠陥3及び4の位置に近接する設計データの部分と90%を超える類似性を有している。このように、欠陥1、3、4は、ビン範囲に従って同じグループに分けられる。   In addition, or alternatively, as shown in FIG. 21, the output of module 166 can be used to determine the location of each defect in the design data space and the location of each defect in the design data space. It includes a table that exemplifies how similar (eg,% similarity) to the design data proximate to the location. In the example shown in FIG. 21, the portion of the design data close to the positions of defects 1 and 2 in the design data space has 40% similarity, but the positions of defects 1 and 3 in the design data space. The portion of the design data close to is 95% similar. In this way, the method can use the output shown in FIG. 21 to determine which defects are grouped into the same group according to the bin range. For example, if the portion of the design data that is close to the position of the defect in the design data space has a similarity greater than 90%, the defects are divided into the same group according to the bin range. In addition, as shown in FIG. 21, the portion of the design data proximate to the location of defect 1 in the design data space is the portion of the design data proximate to the locations of defects 3 and 4 in the design data space. % Similarity. Thus, the defects 1, 3, 4 are divided into the same group according to the bin range.

他の例では、図22に示されているように、モジュール166の出力は、異なるグループの関数として欠陥の数(例えば、欠陥カウント又は頻度)を示すグラフ(例えば、棒グラフ)を含む。異なるグループはそれぞれ、上でさらに説明されているように同じであるか、又は少なくとも類似している設計データに近接する設計データ空間位置に配置されている欠陥を含む。このように、図22に示されている出力は、設計においてどのパターン・タイプに欠陥が多いかに関する情報を提供する。チャートは、さまざまな設計コンテキストによるパターン・タイプの分解を示す(例えば、機能ブロックによるバックグラウンド・パターン・コンテキスト)。チャート内の情報は、さらに、共通設計パターンに近接する設計データ空間に配置されている欠陥の空間分布に関する情報を提供するために、本明細書でさらに説明されているようにウェハ上の環状又は角のあるゾーンにより分割される。この情報及び類似若しくは他の情報を使用して、本明細書で説明されている方法の1つ又は複数のステップを実行することができる(例えば、バックグラウンド・パターン・コンテキストに基づく欠陥サンプリング)。ビン範囲に従ってそれぞれのグループに分けられた欠陥に関する追加の情報も、本明細書で説明されている(複数の)方法のどれかの(複数の)ステップのどれかを使用して決定される。   In other examples, as shown in FIG. 22, the output of module 166 includes a graph (eg, a bar graph) showing the number of defects (eg, defect count or frequency) as a function of different groups. Each different group includes defects located at design data space locations close to design data that are the same, or at least similar, as described further above. Thus, the output shown in FIG. 22 provides information on which pattern types are more defective in the design. The chart shows pattern type decomposition by various design contexts (eg, background pattern context by functional blocks). The information in the chart may further include an annular or on-wafer as described further herein to provide information about the spatial distribution of defects located in the design data space proximate to the common design pattern. Divided by cornered zones. This information and similar or other information can be used to perform one or more steps of the methods described herein (eg, defect sampling based on background pattern context). Additional information regarding defects grouped according to bin range is also determined using any of the step (s) of the method (s) described herein.

モジュール166は、図20〜22に示されているフォーマットのうちの1つのフォーマットのみの出力をする。しかし、このモジュールは、図20〜22に示されているフォーマットのうちの複数のフォーマットで出力することができる。   Module 166 outputs only one of the formats shown in FIGS. However, this module can output in multiple formats from those shown in FIGS.

モジュール166の異なる入力と出力の追加の例は、図23に例示されている。図23に示されているように、モジュール166への1つの入力は、ウェハ上の検出された欠陥の位置を例示するウェハ・マップ172を含む。ウェハ・マップは、検査システムにより生成される。ウェハ・マップは、ウェハ上の欠陥の位置を例示し、欠陥に関する他の情報を例示しない。例えば、ウェハ・マップ172に対応する棒グラフ174は、検査されたウェハの層に対応する単一のグループ内の検出された欠陥のすべてを例示している。   Additional examples of different inputs and outputs of module 166 are illustrated in FIG. As shown in FIG. 23, one input to module 166 includes a wafer map 172 illustrating the location of detected defects on the wafer. The wafer map is generated by the inspection system. The wafer map illustrates the location of the defect on the wafer and does not illustrate other information about the defect. For example, bar graph 174 corresponding to wafer map 172 illustrates all of the detected defects in a single group corresponding to the layer of the wafer that was inspected.

モジュール166の出力は、ウェハ上の検出された欠陥の位置を例示するウェハ・マップ176を含み、ビン範囲に従って同じグループに分けられた欠陥は、同じ特性を有するウェハ・マップ内に示される(例えば、異なるグループに対して異なる色又は記号)。欠陥は、本明細書でさらに説明されているようにビン範囲に従って分けられる(例えば、共通のGDSレイアウトにより欠陥の自動グループ化)。このように、ウェハ・マップ176は、ウェハ上の個々の欠陥の位置及びビン範囲に従って個々の欠陥が分けられたグループを示す。出力は、監視機能を高め、かつ根本原因を突き止める機能を高めるため、KLA−Tencorから市販されている、KLARITY DEFECT SSAなどの空間シグネチャ分析(SSA)ツールに送られ、このツールにより使用される。   The output of module 166 includes a wafer map 176 illustrating the location of detected defects on the wafer, and defects grouped according to bin range are shown in a wafer map having the same characteristics (eg, , Different colors or symbols for different groups). Defects are divided according to bin ranges as further described herein (eg, automatic grouping of defects by a common GDS layout). Thus, the wafer map 176 shows the groups into which the individual defects are separated according to the position and bin range of the individual defects on the wafer. The output is sent to and used by a spatial signature analysis (SSA) tool, such as KLARITY DEFECT SSA, commercially available from KLA-Tencor, to enhance the monitoring function and the ability to determine the root cause.

モジュールの出力は、さらに、パターン・グループを表すように欠陥が表示される積層ダイ・マップ、積層レチクル・マップ、又は積層ウェハ・マップをも含む。この積層マップを使用して、系統的欠陥が多くのダイ、レチクル、又はウェハ上のどこに統計的に出現する傾向があるかを例示することができ、またこの積層マップは、空間シグネチャを識別するのにも有用である。さらに、本明細書で説明されているモジュールの出力は、1つ又は複数のGDSクリップ、1つ又は複数のSEMイメージ、1つ又は複数の光学イメージ、又はそれらの何らかの組合せを含むこともできる。モジュールの出力は、本明細書でさらに説明されているユーザー・インターフェイス実施形態などのユーザー・インターフェイスにより表示される。   The module output further includes a stacked die map, a stacked reticle map, or a stacked wafer map in which defects are displayed to represent a pattern group. This stack map can be used to illustrate where systematic defects tend to appear statistically on many dies, reticles, or wafers, and this stack map identifies spatial signatures Also useful. Further, the output of the modules described herein may include one or more GDS clips, one or more SEM images, one or more optical images, or some combination thereof. The output of the module is displayed by a user interface, such as the user interface embodiments described further herein.

ウェハ・マップ176に対応する棒グラフ178は、ビン範囲に従ってそれぞれのグループに分けられた欠陥の数を例示している。それに加えて、欠陥のそれぞれのグループに対応するレイアウト・パターン・シグネチャは、棒グラフに示されている。このように、棒グラフは、最大の欠陥性を示す(又は引き起こす)設計におけるパターンを例示している。例えば、ビン範囲に従ってレイアウト・パターン・シグネチャ2に分けられた欠陥の個数が比較的多い場合は、このレイアウト・パターン・シグネチャに対応する潜在的パターン依存障害機構があることを示す。この情報を使用して、本明細書で説明されている方法の1つ又は複数のステップを実行することができる(例えば、設計バックグラウンド・コンテキストに基づく欠陥サンプリング)。ビン範囲に従って複数のグループに分けられた欠陥に関する追加の情報も、本明細書で説明されている(複数の)方法のどれかの(複数の)ステップのどれかを使用して決定される。モジュール166は、ウェハ・マップ176と棒グラフ178を含む出力を生成することができる。モジュールの出力は、本明細書でさらに説明されているユーザー・インターフェイス実施形態のうちの1つなどのユーザー・インターフェイスにより表示される。   A bar graph 178 corresponding to the wafer map 176 illustrates the number of defects divided into respective groups according to the bin range. In addition, the layout pattern signature corresponding to each group of defects is shown in the bar graph. Thus, the bar graph illustrates the pattern in the design that exhibits (or causes) the greatest defectivity. For example, if the number of defects divided into the layout pattern signature 2 according to the bin range is relatively large, this indicates that there is a potential pattern-dependent failure mechanism corresponding to this layout pattern signature. This information can be used to perform one or more steps of the methods described herein (eg, defect sampling based on design background context). Additional information regarding defects grouped according to bin ranges is also determined using any of the step (s) of the method (s) described herein. Module 166 can generate an output that includes a wafer map 176 and a bar graph 178. The output of the module is displayed by a user interface, such as one of the user interface embodiments described further herein.

モジュール166の出力を本明細書で説明されている方法でどのように使用されるかを示す一例は、異なる欠陥を有するデバイス・レイアウトにおける異なる密度ゾーンの相関に対するものである。例えば、デバイス・レイアウトを異なる複数のゾーンにパーティション分割できる。異なるゾーンは、図24に示されているように、デバイスの異なる領域の設計パターン密度に基づいて決定される。一例では、デバイス内の主要セル・ブロックを異なる複数のゾーンにパーティション分割できる。他の例では、デバイス・レイアウト上のさまざまなデバイス構造(例えば、接点、ビア、金属線など)の密度に基づいて、デバイス・レイアウトを自動的にパーティション分割することができる。一実施形態では、本明細書で説明されている方法の実施形態は、設計データの異なる部分に対する欠陥密度を決定することを含む。例えば、本明細書で説明されている方法では、デバイス・レイアウトのパーティション分割に関する情報を使用して、設計データにおけるセルの異なる部分の欠陥密度を決定することができる。このような場合、設計データにおけるそれぞれのゾーンで検出された欠陥の数を決定することができる。このような情報は、棒グラフ又は他の好適な出力フォーマットでプロットされる。   One example showing how the output of module 166 is used in the manner described herein is for the correlation of different density zones in a device layout with different defects. For example, the device layout can be partitioned into different zones. The different zones are determined based on the design pattern density of different regions of the device, as shown in FIG. In one example, the main cell block in the device can be partitioned into different zones. In another example, the device layout can be automatically partitioned based on the density of various device structures (eg, contacts, vias, metal lines, etc.) on the device layout. In one embodiment, the method embodiments described herein include determining defect densities for different portions of the design data. For example, in the method described herein, information about device layout partitioning can be used to determine defect densities for different portions of cells in the design data. In such a case, the number of defects detected in each zone in the design data can be determined. Such information is plotted in a bar graph or other suitable output format.

他の実施形態では、モジュール166は、設計データを複数の「機能ブロック」又は「セル・ブロック」に分割する。セル・ブロックは、設計データにおいて定義され、入出力(I/O)ブロック、デジタル・シグナル・プロセッサ(DSP)ブロックなどの設計の大きな、また小さなサブセルの境界を識別する。モジュールは、それぞれのセル・ブロック内の欠陥の頻度を決定することができる。このように、設計における大又は小セルが受ける歩留まり問題の影響が大きいか小さいかを判定することが可能である。   In other embodiments, the module 166 divides the design data into multiple “functional blocks” or “cell blocks”. Cell blocks are defined in the design data and identify the boundaries of large and small subcells in the design such as input / output (I / O) blocks, digital signal processor (DSP) blocks, and the like. The module can determine the frequency of defects in each cell block. In this way, it is possible to determine whether the effect of the yield problem experienced by large or small cells in the design is large or small.

本明細書で説明されている実施形態では、統計的アプローチを使用して、欠陥が配置されている設計セルを決定することができる。例えば、いくつかの実施形態では、欠陥が系統的欠陥であるかどうかを判定することと、系統的欠陥のうちの2つ又はそれ以上が設計データの1つ又は複数の異なる部分に配置されている確率を求めることと、系統的欠陥と確率との間に相関が存在するかどうかを判定することとを含む。特に、本明細書でさらに説明されているように、設計データ(つまり、階層設計データ)における領域情報を設計データ空間における欠陥の位置と組み合わせて使用し、設計データにおけるセルなどの設計データにおける欠陥の階層を決定することができる。本明細書でさらに説明されているように、設計データにおける欠陥の階層を使用して、歩留まりを改善するため設計データのどの部分を変更することができるか、又は変更すべきかを決定することができる。欠陥の階層を決定するうえで1つ問題になるのが、セルが小さくなるほど、セルのサイズは検査システムの座標精度に近づき、小さくなり、それにより、欠陥が配置されているセルを決定できる精度が低くなるという点である。この問題を克服するために、統計を使用して、欠陥が設計データのさまざまな部分に配置されている確率(例えば、それぞれの欠陥が異なるセル内に配置されている確率)を決定することができる。このように、系統的欠陥については、統計を使用して、系統的欠陥と欠陥が設計データのさまざまな部分に配置されている確率との間に相関が存在するかどうかを判定することができる。   In the embodiments described herein, a statistical approach can be used to determine the design cell in which the defect is located. For example, in some embodiments, determining whether a defect is a systematic defect, and two or more of the systematic defects are located in one or more different portions of the design data. And determining whether there is a correlation between the systematic defects and the probabilities. In particular, as described further herein, the region information in the design data (ie, hierarchical design data) is used in combination with the position of the defect in the design data space, and the defect in the design data such as a cell in the design data. Can be determined. As further described herein, a hierarchy of defects in the design data may be used to determine which portions of the design data can or should be changed to improve yield. it can. One problem in determining the defect hierarchy is that the smaller the cell, the closer the cell size approaches the coordinate accuracy of the inspection system and the smaller the accuracy with which the cell in which the defect is located can be determined. Is lower. To overcome this problem, statistics can be used to determine the probability that defects are located in various parts of the design data (eg, the probability that each defect is located in a different cell). it can. Thus, for systematic defects, statistics can be used to determine whether there is a correlation between the systematic defects and the probability that the defects are located in various parts of the design data. .

他の実施形態では、モジュール166に与えられる入力は、設計データ(例えば、GDSレイアウト)、検査データ(例えば、物理的欠陥データ)を含み、かつ適宜、メモリ・ビットマップ及び/又は論理ビットマップを含む。モジュールは、その入力の一部又は全部を使用して、限定はしないが、歩留まりに影響を及ぼす、又は及ぼす可能性のある欠陥の発見、特徴付け、監視、処分(例えば、1つ又は複数の実施可能な決定を下す)などの1つ又は複数の追加のステップを実行することができる。モジュールは、上で説明されているステップを実行するように構成されるが、ただし、それに加えて、ホット・スポット/ウィーク・スポット・データ構造体を生成するステップ、設計データを使用することにより欠陥(例えば、光学又は電子ビーム検査システムにより検出された欠陥及び/又はビットマップで表示される電気的検査により検出された欠陥)をグループ化するステップ、レビュー・サンプル・プランを生成するステップ、検査レシピーを最適化するステップ、レビュー・レシピーを変更するステップ(例えば、レビューする場所を決定する)、レビュー・レシピーを最適化するステップ、欠陥分析レシピーを変更するステップ(例えば、インラインFIBプロセス及び/又はFAプロセスにおいてどこを分析するかは、場合によっては本明細書で説明されている他の情報と組み合わせて設定コンテキストに基づいて決定される)、欠陥分析レシピーを最適化するステップ、FIBプロセス、EDXプロセス、又は他の欠陥分析プロセスのサンプリング・レシピーを生成するステップ、計量プロセスのサンプリング・レシピーを生成するステップ、DOIや場合によってはタイプと配置などのDOIの1つ又は複数の属性を予測するステップを実行することができる。それに加えて、上で説明されているサンプリング・プラン又はサンプリング・レシピーはどれも、ビン範囲に従って分けた結果に基づいて動的に決定される。このような一例では、モジュールは、設計データを分析するか、又はDRCからの結果などの設計データの分析結果を取り込み、インライン欠陥データとビットマップ・データで検出される潜在的DOIを予測するように構成される。   In other embodiments, the input provided to module 166 includes design data (eg, GDS layout), inspection data (eg, physical defect data), and optionally a memory bitmap and / or a logical bitmap. Including. A module may use some or all of its inputs to find, characterize, monitor, and dispose of defects (eg, one or more) that may or may not affect yield. One or more additional steps can be performed, such as making a feasible decision. The module is configured to perform the steps described above, but in addition to the step of generating a hot spot / weak spot data structure, a defect by using design data Grouping (e.g., defects detected by optical or electron beam inspection systems and / or defects detected by electrical inspection displayed in a bitmap), generating a review sample plan, inspection recipe , Review review recipe modification (eg, determine where to review), review recipe optimization, defect analysis recipe modification (eg, inline FIB process and / or FA) Where to analyze in the process (Determined based on the configuration context in combination with other information described herein), sampling of the defect analysis recipe, FIB process, EDX process, or other defect analysis process The steps of generating a recipe, generating a sampling recipe for the weighing process, and predicting one or more attributes of the DOI such as the DOI and possibly type and placement may be performed. In addition, any of the sampling plans or sampling recipes described above are dynamically determined based on the results divided according to bin ranges. In one such example, the module analyzes the design data or captures the analysis results of the design data, such as results from DRC, to predict the potential DOI detected in the inline defect data and bitmap data. Configured.

上述のように、モジュール166は、データベースなどのデータ構造体を生成するように構成される。例えば、いくつかの実施形態では、この方法は、設計データ空間における系統的欠陥と潜在的系統的欠陥の位置と、系統的欠陥と潜在的系統的欠陥の1つ又は複数の属性とを収めたデータ構造体を生成することを含む。このようなデータベースは、一般的に「ホット・スポット」データベースと呼ばれる。データベースは、さらに、ウィーク・スポット、条件付きホット・スポット、コールド・スポットに関する情報も含む(歩留まり影響がほとんど、又は全くない系統的欠陥の原因となりうる設計の非クリティカル領域(例えば、ダミー構造体、ダミー・フィル領域など))。データベースは、さらに、潜在的及び実際の系統的欠陥の配置と他の(複数の)属性を含むこともできる(例えば、設計コンテキスト、KP、他の歩留まり特性など)。   As described above, the module 166 is configured to generate a data structure such as a database. For example, in some embodiments, the method includes the location of systematic defects and potential systematic defects in the design data space and one or more attributes of the systematic defects and potential systematic defects. Generating a data structure. Such a database is commonly referred to as a “hot spot” database. The database also includes information about weak spots, conditional hot spots, cold spots (non-critical areas of the design that can cause systematic defects with little or no yield impact (eg, dummy structures, Dummy fill area etc.)). The database may also include the placement of potential and actual systematic defects and other attribute (s) (eg, design context, KP, other yield characteristics, etc.).

ホット・スポット・データベースではデータをさまざまなソースから取り込むことができる。例えば、データベースは、すべての(又は少なくとも一部の)可能なソースからの系統的問題に関するデータを収めた柔軟なデータベースとして構成される。例えば、モジュールへの入力の一部を、データベースに含めることができる。そのような一例では、検査結果(例えば、PWQ結果、BF及び/又はDF検査により検出される欠陥、メモリ・ビットマップ、論理ビットマップなど)をデータベースに収めることができる。いくつかの実施形態では、データベースは、さらに、リソグラフィやCMPなどの1つ又は複数の半導体製造プロセス用の設計ルールを含む。他の実施形態では、データベースは、OPCシミュレーションの結果などの設計データについて実行されたシミュレーションを含む。このように、ホット・スポットと系統的欠陥を識別するために、マルチソース相関を使用することができる。   A hot spot database can capture data from a variety of sources. For example, the database is configured as a flexible database containing data on systematic issues from all (or at least some) possible sources. For example, some of the inputs to the module can be included in the database. In one such example, inspection results (eg, PWQ results, defects detected by BF and / or DF inspection, memory bitmaps, logical bitmaps, etc.) can be stored in a database. In some embodiments, the database further includes design rules for one or more semiconductor manufacturing processes such as lithography and CMP. In other embodiments, the database includes simulations performed on design data, such as results of OPC simulations. Thus, multi-source correlation can be used to identify hot spots and systematic defects.

上述のように、この方法は、設計データに基づいてビン範囲に従って欠陥を分けることを含む。そのような一実施形態では、本明細書で説明されている方法は、設計データの1つ又は複数の属性に基づいて欠陥がニュイサンス欠陥であるかどうかを判定することを含む。このように、ニュイサンス欠陥は、コンテキスト情報に基づいて識別される。いくつかの実施形態では、この方法は、検査プロセスの結果のS/N比を高めるために欠陥の位置に近接する設計データに基づいて欠陥が検出された検査プロセスの結果から欠陥の一部を除去することを含む。このように、設計データ空間における欠陥の位置に近接して配置されている設計に関する情報は、検査結果のノイズを低減し、それにより検査結果のS/N比を高めるために使用される。例えば、設計の非機能領域の欠陥は、ビン範囲に従って分けられ、検査結果が後の分析に使用される前に検査結果からニュイサンスとしてフィルタリングされる。他の例では、欠陥は、欠陥がウェハの検査対象領域内に配置されているか、検査対象外領域に配置されているかに基づいて分けられる。追加の例では、系統的欠陥であるが、ニュイサンス欠陥(例えば、非DOI)が出現することが知られている設計の一部に配置されている欠陥を検査結果から除去して、DOIの結果のS/N比を高めることができる。ニュイサンス欠陥が出現することが知られている設計の1つ又は複数の部分は、ユーザーによって決定され、設計ライブラリなどのデータ構造体に格納される。例えば、ニュイサンス欠陥が出現することが知られている設計の部分は、教師ありのビン範囲によるグループ分けに特に使用するためにユーザーが選択したポリゴンを含む。それに加えて、ビン範囲に従って分ける方法を実行するのに先立ってPOIが定義されている場合、ビン範囲に従って分ける方法は、定められているPOIを使用して教師ありでビン範囲に従って分けることを実行する。それとは別に、POIは、さらに本明細書で説明されているような方法により実行される。本明細書で説明されている方法は、検査システム上で教師ありでビン範囲に従って分けることと、検査結果からニュイサンス欠陥を除外することとを含む。   As described above, the method includes separating defects according to bin ranges based on design data. In one such embodiment, the method described herein includes determining whether the defect is a nuisance defect based on one or more attributes of the design data. In this way, nuisance defects are identified based on context information. In some embodiments, the method removes a portion of the defect from the inspection process result in which the defect was detected based on design data proximate to the position of the defect to increase the S / N ratio of the inspection process result. Including removing. Thus, information about the design placed close to the position of the defect in the design data space is used to reduce the noise of the inspection result and thereby increase the S / N ratio of the inspection result. For example, defects in non-functional areas of the design are separated according to bin ranges and filtered as nuisances from the inspection results before the inspection results are used for later analysis. In another example, the defects are classified based on whether the defects are arranged in the inspection target area or the non-inspection area of the wafer. In additional examples, systematic defects, but defects located in parts of the design where nuisance defects (eg, non-DOI) are known to appear are removed from the inspection results and the DOI results The S / N ratio can be increased. One or more portions of the design that are known to exhibit nuisance defects are determined by the user and stored in a data structure such as a design library. For example, portions of the design that are known to exhibit nuisance defects include polygons that the user has selected for use specifically for grouping by supervised bin ranges. In addition, if the POI is defined prior to performing the binning method according to the bin range, the dividing method according to the bin range uses the defined POI to perform supervised dividing according to the bin range. To do. Alternatively, POI is performed by a method as further described herein. The methods described herein include supervised separation on an inspection system according to bin ranges and excluding nuisance defects from inspection results.

上述のように欠陥の一部を除去して、検査結果のS/N比を高めるのは、検査結果の後処理を行う場合に有利である。例えば、欠陥の一部を除去する(例えば、非歩留まり影響欠陥を除去する)ことは、ビン範囲に従って欠陥を分ける前に実行され、注目する欠陥タイプに対するビン範囲に従って分けた結果のS/N比を高めることができる。それに加えて、結果のS/N比が高く、含むノイズが少ない場合に、検査結果又は本明細書で説明されている方法の実施形態の結果の分析がより速く、より正確になる。特に有利な一例では、PWQ法において、主ノイズ源は、欠陥として検出される線端ショート(LES)である。しかし、LESは、一般的に、歩留まりに著しい影響を及ぼさない。したがって、ユーザーは、一般的に、LESを気にせず、またLESは比較的多数が出現しうるため、検出されたLESは、歩留まりに対する関連性の高い他の欠陥を圧倒する可能性がある。その際、本明細書で説明されているように検出されたLESを検査の結果から除去することは、検査結果をさらに処理するうえで特に有益である。欠陥は、光学又は電子ビーム検査システムにより検出された欠陥を含んでいてもよい。それに加えて、本明細書でさらに説明されているように、検査レシピーは、検査時にこれらの欠陥を区別するように設計コンテキストに基づいて作成される。このように、本明細書で説明されている方法及びシステムを使用することで、より多くのDOIを検出し、より多くのニュイサンス欠陥を抑制することができ、また系統的欠陥とランダム欠陥を分類し、パターン・ベースでビン範囲に従って系統的欠陥を分けることができる検査レシピーを作成することができる。   As described above, removing some of the defects and increasing the S / N ratio of the inspection result is advantageous when post-processing the inspection result. For example, removing some of the defects (eg, removing non-yield affecting defects) is performed before dividing the defects according to the bin range, and the resulting S / N ratio divided according to the bin range for the defect type of interest. Can be increased. In addition, analysis of test results or results of method embodiments described herein is faster and more accurate when the resulting signal-to-noise ratio is high and contains less noise. In a particularly advantageous example, in the PWQ method, the main noise source is a line end short (LES) detected as a defect. However, LES generally does not significantly affect yield. Thus, users generally do not care about LES, and because a relatively large number of LES can appear, detected LES can overwhelm other defects that are highly relevant to yield. In doing so, removing the detected LES from the test results as described herein is particularly beneficial for further processing of the test results. The defect may include a defect detected by an optical or electron beam inspection system. In addition, as further described herein, an inspection recipe is created based on the design context to distinguish these defects during inspection. Thus, by using the methods and systems described herein, more DOIs can be detected, more nuisance defects can be suppressed, and systematic and random defects can be classified. In addition, an inspection recipe can be created that can sort systematic defects according to bin ranges on a pattern basis.

他の実施形態では、この方法は、検査プロセスの結果のS/N比を高めるためにグループの1つ又は複数の中の欠陥のうちの少なくともいくつかをレビューし、欠陥が検出された検査プロセスの結果からニュイサンス欠陥に対応する1つ又は複数のグループを取り除くことにより欠陥のグループの1つ又は複数がニュイサンス欠陥に対応しているかどうかを判定することを含む。欠陥の少なくとも一部をレビューすることは、本明細書で説明されているように、又は当業界で知られている他の好適な方法により実行される。1つ又は複数の欠陥グループがニュイサンス欠陥に対応しているかどうかを判定することは、好適な方法でレビューの結果を使用して実行される。欠陥の1つ又は複数のグループが、ニュイサンス欠陥に対応する場合、1つ又は複数のグループを検査結果から除去し(フィルタリングして取り除き)、検査結果内のDOIのS/N比を高めることもできる。   In other embodiments, the method reviews at least some of the defects in one or more of the group to increase the S / N ratio of the results of the inspection process, and the inspection process in which the defects are detected Determining whether one or more of the group of defects corresponds to the nuisance defect by removing one or more groups corresponding to the nuisance defect from the result of. Reviewing at least some of the defects is performed as described herein or by other suitable methods known in the art. Determining whether one or more defect groups correspond to a nuisance defect is performed using the results of the review in a suitable manner. If one or more groups of defects correspond to a nuisance defect, one or more groups may be removed from the inspection result (filtered away) to increase the DOI S / N ratio in the inspection result it can.

上述のように、本明細書で説明されている実施形態は、都合のよいことに、設計データ空間における設計データと欠陥位置を使用して、ウェハ上で印刷されるような欠陥情報及び/又はバックグラウンド情報とは反対にビン範囲に従って欠陥を分ける。しかし、設計データ空間における設計データは、ビン範囲に従って欠陥を分けるために他の情報と組み合わせて使用される(例えば、ビン範囲に従って異なる複数のグループに分けられた欠陥の欠陥との間の分離距離を細かくする)。例えば、一実施形態では、ビン範囲に従って欠陥を分けることは、欠陥をビン範囲に従ってグループ分けする際に、それらのグループのそれぞれの設計データ空間における欠陥の位置に近接する設計データの部分が少なくとも類似し、それらのグループのそれぞれにおける欠陥の1つ又は複数の属性が少なくとも類似するようにグループ分けすることを含む。これらの欠陥の(複数の)属性は、本明細書で説明されている(複数の)欠陥属性を含む。それに加えて、(複数の)欠陥属性は、検査の結果から判定される(複数の)欠陥属性を含む。その際、ビン範囲によるグループ分けは、設計と欠陥の1つ又は複数の属性との組合せを使用して実行される。このように、この方法は、設計データと(複数の)欠陥属性に基づいて欠陥を複数のグループに分けることができる。したがって、少なくとも類似する設計データの部分の設計データ空間において配置されている欠陥の異なるタイプの欠陥を分けることができる。このようにビン範囲によるグループ分けは、都合のよいことに、設計データの領域内の異なる欠陥の機構とその異なる欠陥の機構が生じる率とを識別するために使用される。   As discussed above, the embodiments described herein advantageously use defect data and / or defect information as printed on the wafer using design data and defect locations in the design data space. Separate defects according to bin range as opposed to background information. However, the design data in the design data space is used in combination with other information to separate the defects according to the bin range (eg, the separation distance between the defects in the different groups divided according to the bin range) ). For example, in one embodiment, dividing defects according to bin ranges means that when grouping defects according to bin ranges, the portions of the design data that are close to the position of the defect in their respective design data space are at least similar. And grouping such that one or more attributes of the defects in each of those groups are at least similar. These defect attribute (s) include the defect attribute (s) described herein. In addition, the defect attribute (s) includes the defect attribute (s) determined from the result of the inspection. In doing so, the grouping by bin range is performed using a combination of the design and one or more attributes of the defect. Thus, this method can divide the defects into a plurality of groups based on the design data and the defect attribute (s). Thus, it is possible to separate different types of defects which are arranged in the design data space of at least a portion of similar design data. Thus, bin range grouping is advantageously used to distinguish between different defect features in the area of the design data and the rate at which the different defect features occur.

他の実施形態では、欠陥の位置に近接する設計データの部分は、欠陥が配置されている設計データを含む。言い換えると、ビン範囲に従って分けるために比較される設計データの部分は、欠陥の「背後にある」設計データを含む。このように、ビン範囲によるグループ分けは、欠陥が配置されている設計データにおけるジオメトリを使用することによるジオメトリのビン範囲によるグループ分けを含む。このようなビン範囲によるグループ分けは、正しいジオメトリがビン範囲によるグループ分けに使用される確率が比較的高くなるように欠陥配置が比較的高い座標精度で報告される欠陥について実行される。欠陥の「背後にある」設計データを使用することは、実施形態で使用される設計データがウェハ上で印刷されるような設計データではないため、本明細書で説明されている実施形態において可能である。それとは対照的に、ウェハ上の欠陥は、ウェハ上の同じ配置で、又は欠陥を囲む領域内で印刷された設計データを見えなくする可能性があり、このため、さらに、ウェハ上に印刷されるような設計データに基づいてビン範囲に従って欠陥を分ける方法の精度を低下させる。他の実施形態では、本明細書で説明されている実施形態に使用される欠陥の位置に近接する設計データの部分は、欠陥の位置の周りの設計データを含む。それに加えて、ビン範囲によるグループ分けは、欠陥が配置されているジオメトリと設計データ空間における欠陥の位置の周り、又は近接するジオメトリを使用して実行される。   In other embodiments, the portion of the design data proximate to the location of the defect includes design data where the defect is located. In other words, the portion of the design data that is compared to divide according to bin range includes design data “behind” the defect. Thus, grouping by bin range includes grouping by geometry bin range by using the geometry in the design data where the defect is located. Such binning grouping is performed on defects for which defect placement is reported with relatively high coordinate accuracy so that the probability that the correct geometry is used for binning grouping is relatively high. Using design data “behind” a defect is possible in the embodiments described herein because the design data used in the embodiments is not design data that is printed on the wafer. It is. In contrast, defects on the wafer can obscure design data printed at the same location on the wafer or in the area surrounding the defect, and thus are further printed on the wafer. The accuracy of the method of separating defects according to the bin range based on such design data is reduced. In other embodiments, the portion of the design data proximate to the defect location used in the embodiments described herein includes design data around the defect location. In addition, grouping by bin range is performed using geometry where the defect is located and geometry around or close to the position of the defect in the design data space.

上述のように、ビン範囲によるグループ分けは、設計データの部分の中の欠陥の位置に関係なく実行される。そのようなビン範囲によるグループ分けは、比較的低い精度で欠陥配置を報告する検査システムにより検出された欠陥について特に有利である。それに加えて、そのようなビン範囲によるグループ分けは、ビン範囲によるグループ分けの実質的に非常に正確な結果をもたらすが、特に高い欠陥度及び/又は特に高い欠陥率を示す設計データの部分などの重要情報をもたらす。しかし、追加の実施形態では、ビン範囲に従って欠陥を分けることは、欠陥をビン範囲に従ってグループ分けする際に、それらのグループのそれぞれにおける欠陥の位置に近接する設計データの部分が少なくとも類似し、部分内のポリゴンに関するそれらのグループのそれぞれにおける欠陥の位置が少なくとも類似するようにグループ分けすることを含む。このように、ビン範囲によるグループ分けは、設計データの部分と、設計データ空間における欠陥の位置及び欠陥の位置に近接する設計データの部分との組合せを使用して実行される。その際、ビン範囲によるグループ分けは、ジオメトリにおいて欠陥が配置される場所に一部基づいて実行される。言い換えると、ビン範囲によるグループ分けは、部分間位置に近接する設計データと組み合わせて欠陥の部分間位置に基づいて実行される。このようなビン範囲によるグループ分けは、好ましくは、欠陥の実質的に正確な部分間の位置がビン範囲によるグループ分けに使用されるように比較的高い座標精度で配置が報告される欠陥について実行される。このように、設計データの同じ部分に配置されるが、部分間位置が異なるため異なる形でデバイスに影響を及ぼす欠陥を分けることができる。例えば、そのようなビン範囲によるグループ分けを使用することで、設計データの一部における2つのフィーチャの間に配置され、したがって、デバイス内に空き部分を生じさせる確率が比較的高い欠陥を、2つのフィーチャのうちの1つに完全に収まる形で配置され、したがってデバイス内に空き部分を生じさせる確率がかなり低い欠陥から分けることができる。したがって、このようにビン範囲によるグループ分けは、都合のよいことに、設計データの領域に対し歩留まりの異なる影響を及ぼす欠陥と歩留まりに異なる影響を及ぼす欠陥が生じる率を識別するために使用される。   As described above, grouping by bin range is performed regardless of the position of the defect in the design data portion. Such binning grouping is particularly advantageous for defects detected by inspection systems that report defect placement with relatively low accuracy. In addition, such binning grouping yields a substantially very accurate result of binning grouping, such as parts of design data that exhibit a particularly high degree of defect and / or a particularly high defect rate, etc. Bring important information. However, in an additional embodiment, dividing defects according to bin range means that when grouping defects according to bin range, the portions of the design data that are close to the position of the defect in each of those groups are at least similar, Grouping so that the positions of the defects in each of those groups with respect to the inner polygons are at least similar. In this way, the grouping by bin range is performed using a combination of the design data portion and the position of the defect in the design data space and the portion of the design data close to the position of the defect. In doing so, grouping by bin range is performed based in part on where the defect is located in the geometry. In other words, grouping by bin range is performed based on the partial position of the defect in combination with design data close to the partial position. Such bin range grouping is preferably performed for defects whose placement is reported with relatively high coordinate accuracy so that positions between substantially accurate portions of the defect are used for bin range grouping. Is done. In this way, the defects that affect the device in different ways can be separated because they are arranged in the same part of the design data, but have different locations. For example, by using such bin range grouping, a defect that is placed between two features in a portion of the design data and thus has a relatively high probability of creating an empty portion in the device. It can be separated from defects that are placed in a way that fits perfectly in one of the features, and therefore has a much lower probability of creating voids in the device. Thus, grouping by bin range is thus conveniently used to identify the rate at which defects with different yields and defects with different yields occur in the design data area. .

いくつかの実施形態では、ビン範囲に従って分けるステップは、欠陥をビン範囲に従ってグループ分けする際に、それらのグループのそれぞれにおける欠陥の位置に近接する設計データの部分が少なくとも類似し、それらのグループのそれぞれにおける欠陥の位置に近接する設計データの部分に対するホット・スポット情報が少なくとも類似するようにグループ分けすることを含む。ホット・スポット情報は、本明細書で説明されているホット・スポット情報又は当業界で知られている他のホット・スポット情報のどれかを含む。ホット・スポット情報は、本明細書でさらに説明されているように設計データの異なる部分について決定される。このように、この方法は、設計データとポート・スポット情報との組合せを使用してビン範囲によるグループ分けを実行することができる。このような一例では、歩留まりに類似の影響を及ぼす設計データのホット・スポットは、方法が実行される前に上述のようにビン範囲に従って分けられる。したがって、欠陥は、設計データの類似性に基づいてビン範囲に従って分けられ、このビン範囲によるグループ分けの結果得られる欠陥のグループは、類似の歩留まり影響を有する欠陥のサブグループに分けられる。このような一例は、例えば、位置のいくつかが異なる設計データよりも上又は下に配置されている場合に、少なくとも類似している設計データのすべての部分が同じホット・スポット情報に関連付けられていない可能性がある。その際、設計データの少なくとも類似している部分に近接して配置されている欠陥を、設計データのそれぞれの部分に対するホット・スポット情報に基づいて分けることができる。このように、ウェハを加工するために使用されたプロセスの全体的な歩留まりをすばやく、かつ正確に評価することができる。それに加えて、ホット・スポット情報をビン範囲によるグループ分けに使用し、設計データの一部の類似性が正しく判定されたことをチェック又は検証することができる。例えば、少なくとも類似していると判定される設計データの部分が、少なくとも類似しているホット・スポット情報に関連付けられていない場合、設計データの部分に対応する欠陥は、ビン範囲に従って同じグループに分けられない。   In some embodiments, the step of binning according to bin ranges is such that when the defects are grouped according to bin ranges, the portions of the design data proximate to the position of the defect in each of those groups are at least similar. Grouping so that the hot spot information for portions of the design data proximate to the location of the defect in each is at least similar. Hot spot information includes any of the hot spot information described herein or other hot spot information known in the art. Hot spot information is determined for different portions of the design data as further described herein. Thus, this method can perform grouping by bin range using a combination of design data and port spot information. In one such example, design data hot spots that have a similar effect on yield are divided according to bin ranges as described above before the method is performed. Therefore, the defects are divided according to the bin range based on the similarity of the design data, and the defect groups obtained as a result of the grouping by the bin range are divided into defect subgroups having similar yield influences. An example of this is that all parts of at least similar design data are associated with the same hot spot information when, for example, some of the positions are located above or below different design data. There is no possibility. At that time, defects arranged close to at least similar parts of the design data can be classified based on hot spot information for the respective parts of the design data. In this way, the overall yield of the process used to process the wafer can be evaluated quickly and accurately. In addition, hot spot information can be used for grouping by bin range to check or verify that the similarity of some of the design data has been correctly determined. For example, if at least a portion of design data determined to be similar is not associated with at least similar hot spot information, the defects corresponding to the portion of design data are divided into the same group according to the bin range. I can't.

他の実施形態では、この方法は、グループの1つ又は複数の中の欠陥が、設計データ空間における欠陥の位置に近接する設計データの1つ又は複数の属性、欠陥の1つ又は複数の属性、又はそれらの何らかの組合せに基づいて系統的欠陥であるか又はランダム欠陥であるかを判定することを含む。このように、この方法は、欠陥をまとめて1つのグループに分類することを含む。例えば、系統的欠陥は、1グループとしてニュイサンス欠陥又は注目していない欠陥に分類される。このような分類は、個々の欠陥について実行される。欠陥が系統的欠陥又はランダム欠陥であるかどうかを判定するために使用される欠陥の(複数の)属性は、例えば、欠陥が複数のダイにおけるほぼ同じ配置に存在する場合、複数のダイにおける欠陥が(複数の)ほぼ同じ属性を有する場合、1つのダイにおける複数の欠陥の分布が規則正しく、及び/又はクラスタ化されている場合を含む。一例では、ウェハ上の1つのダイにのみ出現する欠陥は、ランダム欠陥として分類され、ほぼ同じ配置で多数のダイに出現する欠陥は、系統的欠陥として分類される。したがって、本明細書で説明されている方法は、欠陥に関する情報を使用して検査プロセス(インライン検査プロセス及び/又は電気的検査プロセス)によりウェハ上で検出された欠陥の原因を突き止めるために使用される。   In other embodiments, the method may include the one or more attributes of the design data in which one or more defects in the group are proximate to the position of the defect in the design data space, the one or more attributes of the defect. Or determining whether it is a systematic defect or a random defect based on some combination thereof. Thus, the method includes grouping defects together into a group. For example, systematic defects are classified as a nuisance defect or an unfocused defect as a group. Such classification is performed on individual defects. The defect attribute (s) used to determine if the defect is a systematic defect or a random defect is, for example, a defect in multiple dies if the defect is present in approximately the same location in multiple dies. Includes substantially the same attribute (s), including the case where the distribution of defects in a die is regular and / or clustered. In one example, defects that appear on only one die on the wafer are classified as random defects, and defects that appear on multiple dies in approximately the same arrangement are classified as systematic defects. Thus, the methods described herein are used to determine the cause of defects detected on a wafer by an inspection process (in-line inspection process and / or electrical inspection process) using information about the defects. The

いくつかの実施形態では、この方法は、1つ又は複数のグループの中の欠陥の少なくともいくつかのレビューの結果、設計データの1つ又は複数の属性、欠陥の1つ又は複数の属性、又はそれらの何らかの組合せに基づいて、欠陥の1つ又は複数のグループを分類することを含む。1つ又は複数のグループにおける欠陥の少なくともいくつかのレビューは、本明細書で説明されているように、又は当業界で知られている好適な方法により実行される。設計データの1つ又は複数の属性や欠陥の1つ又は複数の属性は、本明細書で説明されている(複数の)属性を含む。このように、実質的量の情報に基づいて欠陥をまとめて1つのグループに分類することができ、そうすることで、欠陥分類を比較的すばやく、比較的正確に実行することができる。   In some embodiments, the method may result from at least some review of defects in one or more groups, one or more attributes of design data, one or more attributes of defects, or Classifying one or more groups of defects based on some combination thereof. At least some reviews of defects in one or more groups are performed as described herein or by any suitable method known in the art. The one or more attributes of the design data and the one or more attributes of the defect include the attribute (s) described herein. In this way, defects can be grouped together into a group based on a substantial amount of information, so that defect classification can be performed relatively quickly and relatively accurately.

他の実施形態では、この方法は、本明細書で説明されているようにビン範囲に従って欠陥が分けられるグループが系統的又は潜在的系統的欠陥を含むかどうかを判定することを含む。このように、欠陥は、系統的又は潜在的系統的欠陥としてまとめて1つのグループに分類される。しかし、欠陥は、さらに、系統的又は潜在的系統的欠陥として個別に分類される。例えば、欠陥は、設計におけるポリゴンに関する欠陥の位置、及びホット・スポット、コールド・スポットなどがほぼ同じ位置に配置されているかどうかに基づいてこれらの実施形態において分類される。したがって、本明細書で説明されている方法は、設計データなどの情報を使用して検査プロセス(インライン検査プロセス及び/又は電気的検査プロセス)によりウェハ上で検出された欠陥の原因を突き止めるために使用される。   In other embodiments, the method includes determining whether a group into which defects are divided according to bin ranges as described herein includes systematic or potential systematic defects. In this way, defects are grouped together into one group as systematic or potential systematic defects. However, defects are further classified individually as systematic or potential systematic defects. For example, defects are classified in these embodiments based on the position of the defect with respect to the polygon in the design and whether hot spots, cold spots, etc. are located at approximately the same position. Accordingly, the method described herein uses information such as design data to locate the cause of defects detected on a wafer by an inspection process (in-line inspection process and / or electrical inspection process). used.

いくつかの実施形態では、この方法は、ビン範囲に従って分けるステップの結果を使用して時間の経過とともに系統的欠陥、潜在的系統的欠陥、又はそれらの何らかの組合せを監視することを含む。例えば、ビン範囲に従って分けるステップの結果を使用して、設計データにおける系統的問題を識別し、識別された系統的問題を、ウェハ及び/又は時間について再発に関して監視することができる。系統的及び/又は潜在的系統的欠陥を監視することは、本明細書で説明されている方法の結果を使用して実行される。   In some embodiments, the method includes monitoring systematic defects, potential systematic defects, or some combination thereof over time using the result of dividing according to bin ranges. For example, the results of the step according to bin ranges can be used to identify systematic problems in the design data, and the identified systematic problems can be monitored for recurrence over wafer and / or time. Monitoring systematic and / or potential systematic defects is performed using the results of the methods described herein.

それに加えて、系統的及び/又は潜在的系統的欠陥を監視することは、統計的プロセス管理(SPC)法に似た方法で実行される。例えば、系統的欠陥、潜在的系統的欠陥、ランダム欠陥、又はその何らかの組合せを監視することで、歩留まりベースのSPCを実行することができ、その場合、異なるSPC法及び/又はアルゴリズムが、異なるタイプの欠陥に使用される。このような一例では、SPCパラメータは、異なるタイプの欠陥を監視するために使用され、SPCパラメータは、異なるタイプの欠陥の潜在的歩留まり影響に基づいて、決定若しくは選択されるが、これは本明細書で説明されているように実行される。このように、異なるタイプの欠陥は、SPCについて同時に監視されるが、異なるSPCパラメータを使用する。他の実施形態では、検査により検出された欠陥の部分集合のみが、SPCに使用される。例えば、非ニュイサンス系統的及び/又は潜在的系統的欠陥は、そのプロセスが設計ベースのプロセス限界について監視されるようにSPCの目的に関して監視される。追加の例では、歩留まりに対し潜在的に大きな影響を及ぼすと判定される系統的欠陥のみが、これらの欠陥の変化により引き起こされる加工プロセスの歩留まりの変化を比較的早期に、正確に検出できるようにSPCについて監視される。それに加えて、系統的欠陥グループやランダム欠陥の歩留まりの影響を推定するために異なる方法を用いることで、歩留まり関係問題のより正確な予測、監視、制御を有利に進めることができる。このように、この方法は、加工の歩留まりを監視し、改善するために使用されるデバイスの加工に関する情報をもたらすことができる(例えば、時間の経過に含む系統的欠陥の増大、時間の経過に含む系統的欠陥の減少、時間の経過に含む系統的欠陥の変化など)。   In addition, monitoring systematic and / or potential systematic defects is performed in a manner similar to statistical process control (SPC) methods. For example, yield-based SPC can be performed by monitoring systematic defects, potential systematic defects, random defects, or some combination thereof, where different SPC methods and / or algorithms are of different types. Used for defects. In one such example, SPC parameters are used to monitor different types of defects, and SPC parameters are determined or selected based on the potential yield effects of different types of defects, which are Executed as described in the book. Thus, different types of defects are monitored simultaneously for SPC, but use different SPC parameters. In other embodiments, only a subset of defects detected by inspection is used for SPC. For example, non-nuisance systematic and / or potential systematic defects are monitored for SPC purposes so that the process is monitored for design-based process limits. In additional examples, only systematic defects that are determined to have a potentially significant impact on yield will be able to accurately and accurately detect machining process yield changes caused by these defect changes. SPC is monitored. In addition, more accurate prediction, monitoring, and control of yield related problems can be advantageously promoted by using different methods to estimate the impact of systematic defect groups and random defect yields. In this way, this method can provide information regarding the processing of devices used to monitor and improve processing yield (e.g., increasing systematic defects over time, over time). Including systematic defects, changes in systematic defects over time, etc.).

一実施形態では、この方法は、パターン・ベースの欠陥(例えば、系統的欠陥)の原因を突き止めることを含む。例えば、1つ又は複数のパターン・ベースの欠陥グループが支配的である場合、この方法は、同じ層及び同じデバイスについて多数の他のウェハのインライン検査データ及び/又は電気的検査データを取り込むことを含む。例えば、インライン検査データ及び/又は電気的検査データは、約100から約1000個までの他のウェハについて取り込まれる。このデータは、欠陥データベース又はファブ・データベースなどの記憶媒体から取り込まれる。このようなデータが利用可能でない場合、この方法は、系統的欠陥が検出されたウェハ上で実行されたプロセスにおいてすでに処理済みのウェハを検査(又は他のウェハを処理)し、次いでウェハを検査することによりそのような情報を生成することを含む。   In one embodiment, the method includes locating the cause of pattern-based defects (eg, systematic defects). For example, if one or more pattern-based defect groups are dominant, the method may include in-line inspection data and / or electrical inspection data of a number of other wafers for the same layer and the same device. Including. For example, in-line inspection data and / or electrical inspection data is captured for about 100 to about 1000 other wafers. This data is captured from a storage medium such as a defect database or a fab database. If such data is not available, the method will inspect wafers that have already been processed (or process other wafers) in the process performed on the wafer where the systematic defect was detected, and then inspect the wafer. Generating such information.

この方法は、さらに、追加のウェハ上で検出された欠陥をパターン・ベースでビン範囲に従って分けることを実行することを含むが、これは本明細書で説明されているように実行される。この方法は、1つ又は複数のパターン・ベース欠陥グループが追加のウェハについて支配的であるかどうかを判定することを含む。追加のウェハが、支配的なパターン・ベース欠陥の共通性を示している場合、この方法は、ウェハが共通の機器(又は処理ツール)を通じて処理されたかどうかを判定することを含む。このように、この方法は、機器共通性分析を実行することができる。この方法は、支配的なパターン・ベース欠陥グループが、特定の機器、特定のチャンバー(例えば、パラメータが何らかの理由でドリフトしている機器又はチャンバー)、又は特定のルートステップ(例えば、機器と2つ又はそれ以上のステップとの間の統合問題)に相関するかどうかを判定することを含む。支配的なパターン・ベース欠陥グループが特定の機器又は特定のチャンバーに相関する場合に、パターン・ベース欠陥グループの原因が分離され、場合によって識別されている。この方法は、データを積み重ねて注目するグループに対する空間シグネチャがあるかどうかを判定することを含む。空間シグネチャは、プロセス関係、OPC関係、又は設計関係の系統的問題、若しくはそれらの組合せの原因を絞り込むか、又は突き止めるうえで有用である。   The method further includes performing a pattern-based separation of defects detected on the additional wafer according to bin ranges, which is performed as described herein. The method includes determining whether one or more pattern-based defect groups are dominant for additional wafers. If the additional wafer exhibits common pattern-based defect commonality, the method includes determining whether the wafer has been processed through a common equipment (or processing tool). Thus, the method can perform device commonality analysis. This method has two dominant pattern-based defect groups: a specific instrument, a specific chamber (eg, an instrument or chamber whose parameters are drifting for some reason), or a specific root step (eg, an instrument and two Or whether it correlates with an integration problem between or more steps. If the dominant pattern-based defect group correlates to a specific instrument or a specific chamber, the cause of the pattern-based defect group is isolated and optionally identified. The method includes stacking data to determine if there is a spatial signature for the group of interest. Spatial signatures are useful in narrowing down or pinpointing the cause of process-related, OPC-related, or design-related systematic problems, or combinations thereof.

支配的なパターン・ベース欠陥グループが特定の機器又は特定のチャンバーに相関しない場合、この方法は、データ・マイニングを実行して、欠陥を他のプロセス因子に相関させることを試みることを含む。データ・マイニングは、ファブ・データベースなどの1つ又は複数の記憶媒体に格納される、欠陥や設計データに関する情報、及びデバイス加工時に生成される情報に基づいて当業界で知られている好適な方法により実行される。1つ又は複数のプロセス因子と欠陥の間に比較的強い相関が識別される場合、欠陥に相関する(複数の)プロセス因子は、欠陥の原因として識別される。1つ又は複数の他のプロセス因子と欠陥との間に比較的強い相関が識別されない場合、この方法は、潜在的POIについて設計の任意のパターン探索を実行することと、パターン依存欠陥の原因が突き止められるように新しいインライン・ホット・スポット・モニタをセットアップすることとを含む。しかし、プロセス条件が除外される場合、プロセスそれ自体又は設計それ自体を評価し、必要ならば調整して、問題を整理又は取り除く。それに加えて、系統的欠陥の(複数の)属性をプロセス・ウィンドウ・マッピングの結果と比較することにより、ありそうなソース及び/又は根本原因について推論することができる。   If the dominant pattern-based defect group does not correlate with a particular instrument or a particular chamber, the method includes performing data mining to attempt to correlate the defect with other process factors. Data mining is a preferred method known in the art based on information about defects and design data stored in one or more storage media, such as a fab database, and information generated during device processing. It is executed by. If a relatively strong correlation is identified between the one or more process factors and the defect, the process factor (s) that correlate with the defect are identified as the cause of the defect. If a relatively strong correlation is not identified between one or more other process factors and the defect, the method can perform an arbitrary pattern search of the design for potential POI and cause the pattern-dependent defect. Setting up a new inline hot spot monitor to be located. However, if process conditions are excluded, the process itself or the design itself is evaluated and adjusted if necessary to sort out or remove the problem. In addition, the likely source and / or root cause can be inferred by comparing the attribute (s) of the systematic defect with the results of the process window mapping.

この方法では、系統的及び/又は潜在的系統的欠陥に関する情報を使用して、データ整理を実行することができる。例えば、単一POIに対する完全ダイ・パターン・ベースの探索により、又は電気的機能試験やリソグラフィPWQ結果などの経験的技術から生成された50,000から200,000個を超えるホット・スポットがある。したがって、このデータを意味のある、タイムリーな方法で処理し、分析するために、データに対しデータ整理技術を実行する。このような一例では、パターン・ベースのホット・スポットについて、この方法は、ビン範囲に従ってホット・スポットを「そっくりな」グループに分けることを含む。例えば、それぞれのグループは、設計データにおける少なくとも類似しているパターンに近接して配置されている、及び/又は少なくとも類似している1つ又は複数の(複数の)属性を有する設計データに近接して配置されているホット・スポットを含む(例えば、設計の比較的低いパターン密度の領域内に配置されているホット・スポットをビン範囲によってグループ分けるすることができる)。その際、この方法は、設計コンテキスト及び/又は(複数の)設計属性に基づいてビン範囲に従ってホット・スポットを分けることを含む。追加の例では、PWQなどの経験的技術に関して、この方法は、歩留まり影響をほとんど又は全く有しない設計の配置に近接している欠陥をレビュー・サンプリングが実行される欠陥母集団から除去することを含む(コールド・スポット)。上述のようにデータ整理を実行することにより、本明細書でさらに説明されているように整理されたデータを使用することで、生成されるレビュー・サンプルを(例えば、歩留まりとのさらなる関連性について)改善することができる。   In this way, data reduction can be performed using information about systematic and / or potential systematic defects. For example, there are over 50,000 to over 200,000 hot spots generated by a full die pattern based search for a single POI or from empirical techniques such as electrical functional testing and lithographic PWQ results. Therefore, data reduction techniques are performed on the data in order to process and analyze this data in a meaningful and timely manner. In one such example, for pattern-based hot spots, the method includes dividing the hot spots into “similar” groups according to bin ranges. For example, each group is located in proximity to at least a similar pattern in the design data and / or is in proximity to design data having one or more attribute (s) that are at least similar. (E.g., hot spots that are located within a relatively low pattern density region of the design can be grouped by bin range). In doing so, the method includes separating hot spots according to bin ranges based on design context and / or design attribute (s). In an additional example, with respect to empirical techniques such as PWQ, this method can be used to remove defects that are close to the placement of designs that have little or no yield impact from the defect population on which review sampling is performed. Including (cold spot). By performing data reduction as described above, using the data organized as described further herein, review samples generated (eg, for further relevance to yield) ) Can be improved.

本明細書で説明されている方法及びシステムは、設計と検査結果の歩留まりベースの後処理(オンツール又はオフツールで実行される)とを組み合わせたCBIを含む。例えば、ニュイサンス欠陥、系統的欠陥、ランダム欠陥が識別された後、欠陥を何らかの形で整理することができる(例えば、欠陥オーガナイザー(DO)又はインライン欠陥オーガナイザー(iDO)を使用して)。一例では、結果は、データベースなどのデータ構造体に格納される。他の例では、上述のように、設計データ空間における欠陥の位置に近接する設計データの部分に基づいてビン範囲に従って欠陥がグループに分けられた後、それらのグループにおける欠陥を、さらに、設計データ空間における欠陥の位置に近接する設計データの1つ又は複数の属性、欠陥の1つ又は複数の属性、又はその何らかの組合せに基づいて分けることができる。これらの欠陥を、iDOを使用して設計データの1つ又は複数の属性及び/又は欠陥の1つ又は複数の属性に基づいて分けることができる。このように、設計ベースのビン範囲によるグループ分けは、本明細書で説明されている実施形態においてiDOと組み合わせて使用される。特に、設計ベースのビン範囲によるグループ分けの出力をiDOに入力することができる。   The methods and systems described herein include CBI that combines design and yield-based post-processing of inspection results (performed on-tool or off-tool). For example, after nuisance defects, systematic defects, and random defects have been identified, the defects can be organized in some way (eg, using a defect organizer (DO) or an inline defect organizer (iDO)). In one example, the results are stored in a data structure such as a database. In other examples, as described above, after defects are grouped according to bin ranges based on portions of the design data proximate to the position of the defects in the design data space, the defects in those groups are further designated as design data. Separation can be based on one or more attributes of the design data proximate to the position of the defect in space, one or more attributes of the defect, or some combination thereof. These defects can be separated using iDO based on one or more attributes of the design data and / or one or more attributes of the defects. As such, grouping by design-based bin range is used in combination with iDO in the embodiments described herein. In particular, the output of grouping by design-based bin range can be input to iDO.

設計データに基づいてビン範囲によるグループ分けられた欠陥をさらに分けるために使用される設計データの1つ又は複数の属性は、限定はしないが、設計データ空間における欠陥の位置に近接する設計データ内のパターン若しくは構造の1つ又は複数の属性、設計データ空間における欠陥の位置に近接するパターン密度、欠陥が配置されている機能ブロック、デバイスの1つ又は複数の属性(例えば、n−MOS又はp−MOS)を含む。ビン範囲に従って分けられた欠陥をさらに分けるために使用される、欠陥の1つ又は複数の属性は、限定はしないが、サイズ、形状、輝度、コントラスト、極性、テクスチャを含む。   One or more attributes of the design data used to further separate the grouped defects by bin range based on the design data include, but are not limited to, in the design data close to the position of the defect in the design data space. One or more attributes of the pattern or structure, pattern density proximate to the position of the defect in the design data space, functional block in which the defect is located, one or more attributes of the device (eg, n-MOS or p -MOS). One or more attributes of the defects used to further divide the defects divided according to the bin range include, but are not limited to, size, shape, brightness, contrast, polarity, texture.

設計ベースのビン範囲によるグループ分け及びiDOの結果は棒グラフで例示される。この棒グラフは、欠陥の総数と欠陥が検出された設計データにおけるパターンとの対比、及びパターンに応じて変わるサブグループ内の欠陥の数を例示することができる。上述のようにiDOと組み合わせて設計ベースのビン範囲によるグループ分けを使用することで、ランダム欠陥と系統的欠陥を分離し、ビン範囲に従って欠陥が分けられたグループを優先順位付けし、及び/又は設計データに加えられるべき変更を識別し、場合によっては優先順位付けする(例えば、欠陥グループの潜在的歩留まり影響を使用するが、これは本明細書でさらに説明されているように決定される)。特に、系統的欠陥とランダム欠陥とを分けるために設計ベースのビン範囲によるグループ分けにおいて与えられる値を、系統的(及び場合によってはランダム)欠陥をさらに分けるためにiDOを使用することにより大きくすることができる。それに加えて、系統的欠陥とランダム欠陥とを分けるために設計ベースのビン範囲によるグループ分けにおいて与えられる値を、系統的(及び場合によってはランダム)欠陥を分けるために場合によってはiDOと組み合わせて歩留まり関連性を使用することにより大きくすることができる。   Grouping by design-based bin range and iDO results are illustrated in a bar graph. This bar graph can exemplify the comparison between the total number of defects and the pattern in the design data in which the defect is detected, and the number of defects in the subgroup that varies depending on the pattern. Use design-based bin range grouping in combination with iDO, as described above, to separate random and systematic defects, prioritize groups with defects sorted according to bin range, and / or Identify and possibly prioritize changes to be made to the design data (e.g., using the potential yield impact of the defect group, which is determined as described further herein) . In particular, the value given in grouping by design-based bin ranges to separate systematic and random defects is increased by using iDO to further separate systematic (and possibly random) defects. be able to. In addition, the values given in the grouping by design-based bin ranges to separate systematic and random defects combined with iDO in some cases to separate systematic (and sometimes random) defects. It can be increased by using yield relevance.

このように、系統的欠陥母集団及びランダム欠陥母集団は、別々に処理される(例えば、系統的欠陥母集団及びランダム欠陥母集団は、独立にサンプリングされる)。系統的欠陥とランダム欠陥に対する異なる母集団又は異なる情報を使用して、系統的欠陥とランダム欠陥に対する別々の結果を生み出すことができる。例えば、系統的欠陥とランダム欠陥は、自動的に処理される、及び/又はユーザーによって使用される異なる棒グラフ又は他のグラフ若しくはテキスト表現で例示される。レビューのため欠陥をサンプリングした後、系統的欠陥、及び適宜、ランダム欠陥のいくつかを、好適なレビュー・システム(例えば、比較的高い倍率の光学的レビュー・システム又はSEM)を使用してレビューする。欠陥レビューの結果を使用して、系統的欠陥とランダム欠陥の両方の欠陥密度を正規化することができる。   Thus, the systematic defect population and the random defect population are processed separately (eg, the systematic defect population and the random defect population are sampled independently). Different populations or different information for systematic and random defects can be used to produce separate results for systematic and random defects. For example, systematic and random defects are exemplified in different bar graphs or other graphs or text representations that are automatically processed and / or used by the user. After sampling defects for review, systematic defects, and optionally some of the random defects, are reviewed using a suitable review system (eg, a relatively high magnification optical review system or SEM). . Defect review results can be used to normalize defect densities for both systematic and random defects.

本明細書で説明されている方法及びシステムは、ユーザーに多くのメリットを与える。例えば、これらの方法及びシステムは、効率的基準歩留まり改善、偏位検出の改善、レビュー・システム効率の向上、根本原因検出の効率向上、知識保持の改善を実現することができる。それに加えて、本明細書で説明されている実施形態の結果は、結果の消費者(例えば、デバイス・メーカーの消費者)にとって有用なさまざまなタイプの情報を含む。そのような他のタイプの情報は、プロセス・ツール所有者、設計者、インテグレーション・エンジニアなどの情報を含む。   The methods and systems described herein provide a number of benefits to the user. For example, these methods and systems can achieve efficient reference yield improvement, deviation detection improvement, review system efficiency improvement, root cause detection efficiency improvement, and knowledge retention improvement. In addition, the results of the embodiments described herein include various types of information that are useful to the resulting consumer (eg, the device manufacturer's consumer). Such other types of information include information such as process tool owners, designers, integration engineers, and the like.

さらに、90nm設計ルールとそれを超える歩留まり損失の50%を超える部分が系統的問題によって引き起こされると推定されている。そのため、系統的歩留まり問題は、90nm設計ルールにおいて有意であり、90nm未満の設計ルールでは支配的である。したがって、上で説明されているように系統的欠陥をニュイサンス欠陥とランダム欠陥から分離することで、それらの系統的問題の評価、分析、制御をうまく行うことができる。さらに、系統的欠陥の配置を設計データにおける機能ブロックの配置と比較することができる。このように、系統的欠陥を、1つ又は複数の機能ブロックと相関させることができ、この情報を使用してS/N比を改善することができる。特に、この方法は、S/N比を改善するために欠陥が配置されている機能ブロックに基づいて欠陥を分けることを含む。同様にして、この方法は、設計データが設計別に編成された階層セルに基づいて欠陥を分けることを含む。したがって、S/N比を改善するために、ビン範囲によるグループ分けられた欠陥及び/又はDBCを割り当てられた欠陥は、欠陥が配置されている機能ブロック(又は階層の任意のレベル)(例えば、メモリ又は論理回路)に基づいて分けられる。本明細書で説明されている実施形態において使用される設計データの部分は、セル構造又はセルの階層に対応しうる。   Furthermore, it is estimated that over 90% of the 90 nm design rule and beyond yield loss is caused by systematic problems. Therefore, the systematic yield problem is significant in the 90 nm design rule and is dominant in the design rule of less than 90 nm. Therefore, by separating systematic defects from nuisance and random defects as described above, these systematic problems can be successfully evaluated, analyzed and controlled. Furthermore, the arrangement of systematic defects can be compared with the arrangement of functional blocks in the design data. In this way, systematic defects can be correlated with one or more functional blocks and this information can be used to improve the signal-to-noise ratio. In particular, the method includes separating defects based on the functional block in which the defect is located to improve the S / N ratio. Similarly, the method includes partitioning defects based on hierarchical cells in which design data is organized by design. Thus, to improve the signal-to-noise ratio, defects grouped by bin ranges and / or defects assigned DBCs can be identified by the functional block (or any level of the hierarchy) in which the defect is located (e.g., Memory or logic circuit). The portion of design data used in the embodiments described herein may correspond to a cell structure or cell hierarchy.

機能ブロック毎の欠陥の割合は、本明細書で説明されている方法で決定される。このように、設計問題を含む機能ブロックは、それぞれの機能ブロックにおいて検出された、及び/又はビン範囲に従って機能ブロックに対応するグループに分けられた欠陥の割合に基づいて識別される。機能ブロック内に配置されている欠陥に関する追加の情報を使用して、それぞれのブロックにおける設計問題を識別することができる。上述の情報は、さらに、補正により欠陥をどれだけ排除できるかに基づいて補正の設計問題を選択し、及び/又は優先順位付けするためにも使用される。例えば、欠陥の約70%は、設計の4つの異なる機能ブロックにおける4つの設計問題により引き起こされると決定された場合、補正のためそれら4つの設計問題のみを選択するか、又は他が補正される前に補正のためそれら4つの設計問題を選択することができる(例えば、設計問題により引き起こされた欠陥の個数又は割合に基づいて設計問題を優先順位付けすることにより)。ユーザー(例えば、チップ設計者)は、使用するセル設計を選択し、またより少ない系統的欠陥を時間の推移に関して示すセル設計を使用することを選択することができ、セル設計に関するそのような情報は、本明細書で説明されている実施形態を使用して生成される。   The percentage of defects per functional block is determined by the method described herein. In this way, functional blocks containing design problems are identified based on the percentage of defects detected in each functional block and / or grouped into groups corresponding to the functional blocks according to bin ranges. Additional information regarding defects located within the functional blocks can be used to identify design issues in each block. The above information is also used to select and / or prioritize correction design issues based on how much defects can be eliminated by correction. For example, if it is determined that about 70% of the defects are caused by four design problems in four different functional blocks of the design, only those four design problems are selected for correction or others are corrected These four design issues can be selected for correction before (eg, by prioritizing design issues based on the number or percentage of defects caused by the design issues). A user (eg, a chip designer) can select a cell design to use, and can choose to use a cell design that shows fewer systematic defects over time, such information about the cell design Are generated using the embodiments described herein.

他の実施形態では、この方法は、設計データにおける1つ又は複数のPOIを優先順位付けすることと、優先順位付けステップの結果に基づいて1つ又は複数のPOIのうちの少なくとも1つを最適化することとを含む。そのような一実施形態では、(複数の)POIは、(複数の)POIで検出された欠陥の数に基づいて優先順位付けされる。それぞれのPOIにおいて検出された欠陥の数は、例えば、(複数の)POI又は(複数の)POIの1つ又は複数の属性を、グループに対応する設計データの部分と比較し、POIに(複数の)POI(又は(複数の)POIの(複数の)属性)に少なくとも類似している設計データの部分(及び/又は設計データの部分の1つ又は複数の属性)に対応するグループ内の欠陥の数を割り当てることにより、ビン範囲に従って分けるステップの結果から決定される。このように、最大数の欠陥が検出されたPOIは、最高優先度を割り当てられ、次に多い数の欠陥が検出されたPOIは、次の高い優先度を割り当てられ、というように続く。   In other embodiments, the method prioritizes one or more POIs in the design data and optimizes at least one of the one or more POIs based on the results of the prioritization step. Including. In one such embodiment, the POI (s) are prioritized based on the number of defects detected in the POI (s). The number of defects detected in each POI can be determined, for example, by comparing the POI (s) or one or more attributes of the POI (s) with the portion of the design data corresponding to the group, Defects in the group corresponding to a portion of the design data (and / or one or more attributes of the portion of the design data) that are at least similar to the POI (or attributes of the POI) Is determined from the result of the step of dividing according to the bin range. Thus, the POI with the highest number of defects detected is assigned the highest priority, the POI with the next highest number of defects detected is assigned the next highest priority, and so on.

他の実施形態では、この方法は、歩留まり最適化のため1つ又は複数の系統的欠陥タイプを優先順位付けすることを含む(例えば、プロセス・パラメータ、設計、OPCなど、又はその何らかの組合せを変更することにより)。このような一実施形態では、系統的欠陥タイプは、POI又はPOIのグループとして分類され、POIは、上で説明されているように決定される、POI上で、又はその近くで検出された欠陥の数に基づいて優先順位付けされる。優先度は、さらに、POIにおいて検出された(複数の)系統的欠陥のクリティカル度、設計におけるPOIの頻度、プロセス変動に対するPOIの感度を使用して系統的欠陥を優先順位付けすることにより高められる。   In other embodiments, the method includes prioritizing one or more systematic defect types for yield optimization (eg, changing process parameters, design, OPC, etc., or some combination thereof). By doing). In one such embodiment, systematic defect types are classified as POIs or groups of POIs, where POIs are determined as described above, and defects detected on or near the POI. Is prioritized based on the number of Priority is further enhanced by prioritizing systematic defects using the criticality of systematic defect (s) detected in the POI, the frequency of POI in the design, and the sensitivity of the POI to process variations. .

それに加えて、又はそれとは別に、(複数の)POIは、本明細書で説明されている(複数の)方法の(複数の)ステップの他の結果又はその組合せに基づいて優先順位付けされる。例えば、(複数の)POIを優先順位付けすることは、(複数の)POIで検出された1つ又は複数の欠陥について欠陥クリティカル度指数(DCI)を決定することと、1つ又は複数の欠陥に対するDCIに基づいて(複数の)POIを優先順位付けすることとを含む。DCIは、本明細書でさらに説明されているようにこの実施形態において決定される。他の例では、(複数の)POIを優先順位付けすることは、(複数の)POIで検出された1つ又は複数の欠陥についてKP値を決定することと、1つ又は複数の欠陥に対するKP値に基づいて(複数の)POIを優先順位付けすることとを含む。さらに他の例では、(複数の)POIにおいて、又は近接して検出された欠陥の数と(複数の)POIにおいて、又は近接して検出された欠陥の1つ又は複数に対するDCIの組合せに基づいて(複数の)POIを優先順位付けすることができる。このように、(複数の)POIを優先順位付けすることは、高い欠陥度を有する(複数の)POIに高い優先度が割り当てられるように(複数の)POIにより示される欠陥度に基づいて(複数の)POIを優先順位付けすることを含む。   In addition, or alternatively, the POI (s) are prioritized based on other results or combinations of the step (s) of the method (s) described herein. . For example, prioritizing POI (s) may include determining a defect criticality index (DCI) for one or more defects detected in the POI (s) and one or more defects. Prioritizing the POI (s) based on the DCI for. The DCI is determined in this embodiment as further described herein. In other examples, prioritizing the POI (s) may include determining a KP value for one or more defects detected in the POI (s) and a KP for the one or more defects. Prioritizing the POI (s) based on the value. In yet another example, based on the combination of the number of defects detected in or near the POI (s) and the DCI for one or more of the defects detected in or near the POI (s). And prioritize the POI (s). Thus, prioritizing the POI (s) is based on the degree of defect indicated by the POI (s) such that a high priority is assigned to the POI (s) having a high degree of defect ( Prioritizing POIs).

さらに、(複数の)POIは、場合によっては本明細書で説明されている他の結果と組み合わせて(複数の)POIの1つ又は複数の属性に基づいて識別され、及び/又は優先順位付けされる。(複数の)POIの1つ又は複数の属性は、例えば、(複数の)POIにおけるフィーチャの寸法、(複数の)POIにおけるフィーチャの密度、(複数の)POIに含まれるフィーチャの(複数の)タイプ、設計における(複数の)POIの位置、欠陥に対する(複数の)POIの歩留まり影響の受けやすさなど、又はそれらの何らかの組合せを含む。そのような一例では、欠陥による歩留まりの影響を受けやすい(複数の)POIは、歩留まりに対する欠陥の影響を受けにくい(複数の)POIに比べて高い優先度を割り当てられる。   Further, the POI (s) may be identified and / or prioritized based on one or more attributes of the POI (s), possibly in combination with other results described herein. Is done. The attribute (s) of the POI (s) can be, for example, the feature dimensions in the POI (s), the feature density in the POI (s), or the feature (s) in the POI Type, location of POI (s) in the design, yield sensitivity of POI (s) to defects, etc., or some combination thereof. In such an example, the POI (s) that are susceptible to yield due to defects are assigned higher priority than the POI (s) that are less susceptible to defects due to yield.

さらに、(複数の)POIは、場合によっては(複数の)POIの1つ又は複数の属性及び/又は本明細書で説明されている他の結果と組み合わせて設計の1つ又は複数の属性に基づいて優先順位付けされる。設計の1つ又は複数の属性は、例えば、冗長性、電気的接続性、電気的属性など、又はそれらの何らかの組合せを含む。特に、設計データにおけるセルは、セル内に含まれるパターンを超えるコンテキストを持つことができる。そのようなコンテキストは、例えば、セルの階層、冗長性(又はなし)などを含んでいてもよい。したがって、本明細書で説明されている実施形態で使用される1つ又は複数の属性は、(複数の)POIが配置されるセルのコンテキストを含み、これは設計データ空間における(複数の)POIの位置及び(複数の)POIの設計データ(その設計データが設計データにおけるセルに特有である場合)に基づいて決定される。そのような一例では、設計において冗長でない(複数の)POI(例えば、非アレイ)は、冗長である(複数の)POI(例えば、アレイ)に比べて高い優先度を割り当てられる。(複数の)POIは、さらに、セル間の接続の冗長性(例えば、ルーティング又は冗長ビア)に基づいて優先順位付けされる。設計のこのようなコンテキストは、当業界で知られている方法で取り込まれる、及び/又は決定される。   Further, the POI (s) may optionally be combined with one or more attributes of the POI (s) and / or other results described herein to one or more attributes of the design. Prioritized based on. One or more attributes of the design include, for example, redundancy, electrical connectivity, electrical attributes, etc., or some combination thereof. In particular, the cells in the design data can have a context beyond the patterns contained within the cells. Such contexts may include, for example, cell hierarchy, redundancy (or none), etc. Thus, the one or more attributes used in the embodiments described herein include the context of the cell in which the POI (s) is located, which is the POI (s) in the design data space. And the POI design data (if the design data is specific to the cell in the design data). In one such example, non-redundant POIs (eg, non-arrays) in the design are assigned higher priority than redundant (multiple) POIs (eg, arrays). The POI (s) are further prioritized based on connectivity redundancy between cells (eg, routing or redundant vias). Such context of design is captured and / or determined in a manner known in the art.

優先順位付けステップの結果に基づいてPOIのうちの少なくとも1つを最適化することは、(複数の)POIの(複数の)フィーチャの(複数の)寸法、(複数の)POIの(複数の)フィーチャの密度など、又はそれらの組合せなどのPOIの1つ又は複数の属性を変更することを含む。POIの1つ又は複数の属性は、POIに対応をする設計データを変更することにより変更される。好ましくは、(複数の)POIを変更して、(複数の)POIの欠陥度(例えば、(複数の)POIで検出された欠陥の数)を低減し、(複数の)POIで検出された欠陥の1つ又は複数の属性(例えば、DCI、KPなど)を変更し、及び/又は(複数の)POIが含まれるデバイスの歩留まりを高める。それに加えて、優先順位付けステップにより決定された高い優先度を有する(複数の)POIは、優先順位付けステップにより決定された低い優先度を有する(複数の)POIの前に変更され、最適化される。このように、最大の欠陥度及び/又は歩留まりに対し最大の影響を有する欠陥度を示す(複数の)POIは、低い欠陥度及び/又は歩留まりに対する影響の小さい欠陥度を示す(複数の)POIの前に変更され、及び/又は最適化される。その際、優先順位付けステップの結果は、歩留まりの最大の改善が得られるようにどの(複数の)POIが変更され、及び/又は最適化されるかを示し、それらのPOIは、他の(複数の)POIの前に変更され、及び/又は最適化される。   Optimizing at least one of the POIs based on the results of the prioritization step may include the feature (s) of the feature (s) of the POI (s), the (multiple) of the POI (s) ) Changing one or more attributes of the POI, such as feature density, or combinations thereof. One or more attributes of the POI are changed by changing design data corresponding to the POI. Preferably, the POI (s) is changed to reduce the degree of defect of the POI (s) (eg, the number of defects detected with the POIs) and detected with the POI (s) Change one or more attributes (eg, DCI, KP, etc.) of the defect and / or increase the yield of the device that includes the POI (s). In addition, the high-priority POI (s) determined by the prioritization step is modified and optimized before the low-priority POI (s) determined by the prioritization step. Is done. In this way, the POI (s) exhibiting the maximum defect degree and / or the defect degree having the greatest influence on the yield are POI (s) indicating the defect degree having a low defect degree and / or a small influence on the yield. And / or optimized prior to In doing so, the result of the prioritization step indicates which POI (s) are modified and / or optimized to obtain the greatest improvement in yield, and those POIs are It is modified and / or optimized before the POI (s).

したがって、(複数の)POIが歩留まりに最大の影響を及ぼすタイムリーな誘導がないと、設計データ及び/又は製造プロセスに加えられた変更が遅延し、その結果歩留まりの改善が遅れ、市場に出すまでの時間が長引くため、この実施形態は設計データを変更するために他のすでに使用されている方法やシステムよりも有利である。さらに、このステップで変更される(複数の)POIは、本明細書で説明されている実施形態においてビン範囲に従って分けられた欠陥の検出前にウェハ上に印刷される設計に含まれる(複数の)POIのみを含むが、(複数の)POIを最適化するように変更された(複数の)POIは、複数の設計に含まれる(複数の)POIを含む。例えば、複数の設計が(複数の)POIを含む場合、優先順位付け及び/又は本明細書で説明されている方法の他の結果に基づいて、異なる設計における(複数の)POIは、変更され、最適化され、これにより、異なる設計のそれぞれで加工されたデバイスの歩留まりを高めることができる。   Thus, without timely guidance that POI (s) have the greatest impact on yield, changes made to design data and / or manufacturing processes are delayed, resulting in delayed yield improvements and market launch. This embodiment is advantageous over other already used methods and systems for modifying design data because of the longer time to market. Further, the POI (s) modified in this step are included in the design (s) printed on the wafer prior to the detection of defects separated according to bin ranges in the embodiments described herein. The POI (s) that contain only the POI but have been modified to optimize the POI (s) include the POI (s) included in the designs. For example, if multiple designs include POI (s), POI (s) in different designs may be modified based on prioritization and / or other results of the methods described herein. Optimized, which can increase the yield of devices fabricated with each of the different designs.

追加の一実施形態では、この方法は、設計データにおける1つ又は複数のPOIを優先順位付けすることと、優先順位付けステップの結果に基づいて1つ又は複数のPOIの1つ又は複数のRETフィーチャを最適化することとを含む。この実施形態で(複数の)POIを優先順位付けすることは、上述のように実行される。このステップで最適化される(複数の)RETフィーチャは、設計に含まれる(複数の)RETフィーチャ(例えば、OPCフィーチャ)を含む。優先順位付けステップの結果に基づいて1つ又は複数のPOIの1つ又は複数のRETフィーチャを最適化することは、(複数の)RETフィーチャの1つ又は複数の属性を変更することを含む(例えば、(複数の)RETフィーチャの寸法、(複数の)RETフィーチャの形状、(複数の)POIにおけるフィーチャに関する(複数の)RETフィーチャの位置など)。このステップで変更される(複数の)RETフィーチャの1つ又は複数の属性は、好ましくは、(複数の)POIにおける欠陥度を下げ、及び/又は歩留まりを高める(複数の)RETフィーチャの(複数の)属性を含む。   In an additional embodiment, the method prioritizes one or more POIs in the design data and one or more RETs of the one or more POIs based on the results of the prioritization step. Optimizing features. Prioritizing the POI (s) in this embodiment is performed as described above. The RET feature (s) optimized in this step includes the RET feature (eg, OPC feature) included in the design. Optimizing one or more RET features of one or more POIs based on the results of the prioritization step includes changing one or more attributes of the RET feature (s). For example, the dimensions of the RET feature (s), the shape of the RET feature (s), the location of the RET feature (s) relative to the feature in the POI (s), etc. The attribute (s) of the RET feature (s) modified in this step are preferably the RET feature (s) of the RET feature (s) that reduce the degree of defect and / or increase the yield in the POI (s). Attribute).

それに加えて、この実施形態の優先順位付けステップの結果に基づいて1つ又は複数のRETフィーチャを最適化することは、他のPOIについて(複数の)RETフィーチャを最適化する前に最高の優先度を有するように決定されているPOIについて(複数の)RETフィーチャを最適化することを含む。このように、高い優先度を有する(複数の)POIの(複数の)RETフィーチャは、低い優先度を有する(複数の)POIの(複数の)RETフィーチャが変更される前に変更される。このように、最大の欠陥度及び/又は歩留まりに対し最大の影響を有する欠陥度を示す(複数の)POIの(複数の)RETフィーチャは、低い欠陥度及び/又は歩留まりに対する影響の小さい欠陥度を示す(複数の)POIの(複数の)RETフィーチャの前に変更され、及び/又は最適化される。その際、優先順位付けステップの結果は、歩留まりの最大の改善が得られるようにどの(複数の)POIが変更され、及び/又は最適化されるかを示し、それらのPOIの(複数の)RETフィーチャは、他の(複数の)POIのRETフィーチャ前に変更され、及び/又は最適化される。   In addition, optimizing one or more RET features based on the results of the prioritization step of this embodiment has the highest priority before optimizing the RET feature (s) for other POIs. Optimizing the RET feature (s) for the POI that has been determined to have degrees. Thus, the RET feature (s) with high priority POI (s) are changed before the RET feature (s) with low priority POI (s) are changed. Thus, the RET feature (s) of the POI (s) exhibiting the maximum defect degree and / or defect degree having the greatest influence on the yield is a defect degree having a low defect degree and / or a small influence on the yield. Is modified and / or optimized before the RET feature (s) of the POI (s) indicating In doing so, the results of the prioritization step indicate which POI (s) are modified and / or optimized to obtain the greatest improvement in yield, and for those POI (s) The RET feature is modified and / or optimized before the RET feature of the other POI (s).

したがって、(複数の)POIが歩留まりに最大の影響を及ぼすタイムリーな誘導がないと、設計に対する変更が遅延し、その結果、歩留まりの改善が遅れ、市場に出すまでの時間が長引くため、この実施形態は設計データを変更するために他のすでに使用されている方法やシステムよりも有利である。さらに、このステップで変更される(複数の)POIの(複数の)RETフィーチャは、本明細書で説明されている実施形態においてビン範囲に従って分けられた欠陥の検出前にウェハ上に印刷される設計に含まれる(複数の)POIの(複数の)RETフィーチャのみを含むが、変更され及び/又は最適化される(複数の)POIの(複数の)RETフィーチャは、複数の設計に含まれる(複数の)POIの(複数の)RETフィーチャを含む。例えば、複数の設計が同じ(複数の)RETフィーチャとともに(複数の)POIを含む場合、優先順位付け及び/又は本明細書で説明されている方法の他の結果に基づいて、異なる設計における(複数の)POIの(複数の)RETフィーチャは、変更され、最適化され、これにより、異なる設計のそれぞれで加工されたデバイスの歩留まりを高めることができる。   Therefore, without timely guidance where POI (s) have the greatest impact on yield, changes to the design are delayed, resulting in delayed yield improvement and longer time to market. Embodiments are advantageous over other already used methods and systems for modifying design data. Furthermore, the RET feature (s) of the POI (s) that are modified in this step are printed on the wafer prior to the detection of defects separated according to bin ranges in the embodiments described herein. Only the RET features of the POI (s) included in the design are included, but the RET features of the POI (s) that are modified and / or optimized are included in the designs Contains RET feature (s) of POI (s). For example, if multiple designs include (multiple) POIs with the same (multiple) RET feature (s) in different designs based on prioritization and / or other results of the methods described herein ( The RET feature (s) of the POI (s) can be modified and optimized, thereby increasing the yield of devices fabricated with each of the different designs.

いくつかの実施形態では、この方法は、欠陥配置に関する設計データを使用して加工されているデバイスの電気的特性をモデル化することと、モデル化の結果に基づいて欠陥配置における欠陥のパラメータ関連性を決定することを含む。このように、モデル化のステップの結果は、欠陥のパラメータ関連性を決定するために使用される。例えば、モデル化のステップの結果は、設計を使用して加工されるデバイスの1つ又は複数の電気的パラメータを欠陥がどのように変えるかを決定するために使用される。上述のようにパラメータ関連性が決定される欠陥は、系統的欠陥としてよい。パラメータ関連性は、本明細書で説明されている(複数の)方法の(複数の)ステップで使用される。例えば、パラメータ関連性は、場合によっては本明細書で説明されている他の情報と組み合わせて(例えば、1つ又は複数の欠陥属性、設計データの1つ又は複数の属性など)、欠陥のDCIを決定し、本明細書で説明されているように(複数の)POIを優先順位付けするといったことを行うために使用される。   In some embodiments, the method uses the design data regarding the defect placement to model the electrical characteristics of the device being processed and relates the defect parameters in the defect placement based on the modeling results. Including determining gender. Thus, the result of the modeling step is used to determine the parameter relevance of the defect. For example, the result of the modeling step is used to determine how a defect changes one or more electrical parameters of a device being processed using the design. The defects whose parameter relevance is determined as described above may be systematic defects. Parameter relevance is used in the step (s) of the method (s) described herein. For example, the parameter relevance may be combined with other information described herein (eg, one or more defect attributes, one or more attributes of design data, etc.) to determine the DCI of the defect. And prioritize the POI (s) as described herein.

この実施形態におけるデバイスの電気的特性をモデル化することは、当業界で知られている適切な方法又はシステムを使用して実行することができる。モデル化されるデバイスの電気的特性は、デバイスの1つ又は複数の電気的特性を含む。欠陥のパラメータ関連性は、モデル化された電気的特性と設計された通りの電気的特性を使用して決定される。例えば、モデル化された電気的特性を、設計された通りの電気的特性と比較して、欠陥が電気的特性を変える度合いを決定することができる。次いで、パラメータ関連性は、欠陥が電気的特性を変える度合いに基づいて決定される(例えば、電気的特性を大幅に変える欠陥は、電気的特性をあまり大きく変えない欠陥に比べてパラメータ関連性が強い)。パラメータ関連性は、デバイスのモデル化された電気的特性と一定範囲の好適な電気的特性とを使用して同様に決定される。例えば、モデル化された電気的特性は、この範囲と比較され、モデル化された電気的特性がこの範囲にあるか、又は範囲外にある場合に、パラメータ関連性を決定するために使用される。このような例では、モデル化された電気的特性が許容範囲の近くにあるか、又は外れている場合、欠陥は、モデル化された特性が許容範囲内にあった場合に比べてパラメータ関連性が強いと決定される。パラメータ関連性は、さらに、少なくとも一部は、限定はしないが、シミュレーション、光学検査結果、欠陥レビュー結果、電気的試験結果、又はそのなんらかの組合せを含む、多くの異なるソースからの情報に基づいて決定される。   Modeling the electrical characteristics of the device in this embodiment can be performed using any suitable method or system known in the art. The electrical characteristics of the device being modeled include one or more electrical characteristics of the device. The parameter relevance of the defect is determined using the modeled electrical characteristics and the designed electrical characteristics. For example, the modeled electrical characteristics can be compared with the designed electrical characteristics to determine the degree to which a defect changes the electrical characteristics. The parameter relevance is then determined based on the degree to which the defect changes the electrical characteristics (eg, a defect that significantly changes the electrical characteristics has a parameter relevance compared to a defect that does not change the electrical characteristics too much). strong). Parameter relevance is similarly determined using the modeled electrical characteristics of the device and a range of suitable electrical characteristics. For example, the modeled electrical property is compared to this range and used to determine the parameter relevance if the modeled electrical property is in or outside this range . In such an example, if the modeled electrical characteristic is near or outside the tolerance range, the defect is more parameter related than if the modeled characteristic was within the tolerance range. Is determined to be strong. Parameter relevance is further determined based at least in part on information from many different sources, including but not limited to simulation, optical inspection results, defect review results, electrical test results, or some combination thereof. Is done.

一実施形態では、この方法は、系統的欠陥と潜在的系統的欠陥について決定された、又はそれに関連するパラメータ関連性に基づいて系統的欠陥と潜在的系統的欠陥に優先度を割り当てることを含む。例えば、ホット・スポットの優先度又は重大度は、パラメータ関連性に基づいてランク付けされる。パラメータ関連性は、ホット・スポットにおける欠陥がデバイスの電気的パラメータに影響を及ぼす及ぼし方と程度を定めることができる。   In one embodiment, the method includes assigning priorities to systematic defects and potential systematic defects based on parameter relationships determined for or associated with systematic defects and potential systematic defects. . For example, hot spot priorities or severities are ranked based on parameter relevance. Parameter relevance can determine how and to what extent defects in the hot spot affect the electrical parameters of the device.

パラメータ関連性は、さらに、デバイスのパラメータ問題(例えば、歩留まり損失)を引き起こす可能性の高い欠陥を分離又は優先順位付けするために使用される。例えば、電気的試験結果又は抵抗、容量、タイミングなどのデバイスの電気的特性に関する他の情報を設計データ空間における欠陥の位置に近接する設計データの1つ又は複数の属性及び/又は欠陥の1つ又は複数の属性と組み合わせて使用して、どの欠陥がデバイスの電気的特性に影響を及ぼし、どの欠陥が影響を及ぼさないかを決定することができる。電気的試験結果又は電気的特性に関する他の情報は、この方法により(例えば、シミュレーションを使用して)決定されるか、又は他のソース(例えば、ネットリスト情報)から取り込まれる。このように、パラメータ問題を引き起こす可能性の高い欠陥を、パラメータ問題を引き起こす可能性の低い、又は引き起こすことがあり得ない欠陥から分けることができる。その際、デバイスのジオメトリの組成又は材料特性のみに影響を及ぼす欠陥は、その意図された目的に応じてデバイスが機能できる場合に影響を及ぼす欠陥から分けられる。それに加えて、電気的試験結果又はデバイスの電気的特性に関する他の情報を、設計データの1つ又は複数の属性及び/又は欠陥の1つ又は複数の属性と組み合わせて使用して、電気的欠陥をクリティカル・パラメータ欠陥(例えば、デバイスの電気的特性に著しい影響を及ぼす可能性のある電気的欠陥)と非クリティカル・パラメータ欠陥(例えば、デバイスの電気的特性に著しい影響を及ぼす可能性のない電気的欠陥)とに分けることができる。   Parameter relevance is further used to isolate or prioritize defects that are likely to cause device parameter problems (eg, yield loss). For example, one or more attributes of the design data and / or one of the defects that is close to the position of the defect in the design data space, such as electrical test results or other information about the electrical characteristics of the device such as resistance, capacitance, timing, etc. Or it can be used in combination with multiple attributes to determine which defects affect the electrical characteristics of the device and which defects do not. Other information regarding electrical test results or electrical characteristics is determined by this method (eg, using simulation) or is captured from other sources (eg, netlist information). In this way, defects that are likely to cause parametric problems can be separated from defects that are unlikely or likely to cause parametric problems. In so doing, defects that only affect the device's geometric composition or material properties are separated from defects that affect the device's ability to function according to its intended purpose. In addition, electrical defects may be used using electrical test results or other information regarding the electrical characteristics of the device in combination with one or more attributes of the design data and / or one or more attributes of the defects. Critical parameter defects (eg, electrical defects that can significantly affect the electrical characteristics of the device) and non-critical parameter defects (eg, electrical that do not significantly affect the electrical characteristics of the device) ).

いくつかの実施形態では、この方法は、欠陥(例えば、欠陥のうちの1つ又は複数)に対するDCIを決定することを含む。DCIは、設計データ空間における欠陥の位置に近接する設計データの1つ又は複数の属性、欠陥の1つ又は複数の属性、又はその何らかの組合せに基づいて決定される。例えば、設計データ空間における欠陥の位置に近接する設計データの1つ又は複数の属性、欠陥の1つ又は複数の属性、又はその何らかの組合せを使用して、設計ベースの欠陥の潜在的歩留まり影響を決定し、それにより欠陥データの値を大きくできる。特定の例では、設計データにおける欠陥のサイズと位置を使用してDCIを決定し、欠陥が電気的障害を引き起こす可能性を調べることができる。次いで、DCIを使用して、欠陥の歩留まり関連性を示すことができる。特に、欠陥サイズを使用して、欠陥がダイをだめにする、又は他の何らかの形でウェハ上に加工されるデバイスの1つ又は複数の電気的属性を変える可能性を調べることができる。例えば、欠陥サイズが大きくなり、パターンの複雑度が増大すると、欠陥がダイをだめにしたり、デバイスの1つ又は複数の電気的属性を変える可能性も高まる。したがって、欠陥がダイをだめにしたり、あるいはデバイスの1つ又は複数の電気的属性を変える可能性を欠陥サイズとパターン複雑度の関数として記述する関係を使用して、それぞれのウェハ上のそれぞれの欠陥の相対的リスクを決定することができる。それぞれの欠陥の相対的リスクは、検査直後に決定され、これにより、相対的リスクに基づいてより適切な決定を下すことができる。   In some embodiments, the method includes determining a DCI for a defect (eg, one or more of the defects). The DCI is determined based on one or more attributes of the design data proximate to the position of the defect in the design data space, one or more attributes of the defect, or some combination thereof. For example, using one or more attributes of design data proximate to the position of the defect in the design data space, one or more attributes of the defect, or some combination thereof, to reduce the potential yield impact of design-based defects. The value of the defect data can be increased. In a particular example, the size and location of the defect in the design data can be used to determine the DCI and investigate the possibility that the defect can cause an electrical failure. The DCI can then be used to show the defect yield relevance. In particular, the defect size can be used to investigate the possibility that the defect will spoil the die, or otherwise change one or more electrical attributes of the device being processed on the wafer. For example, as the defect size increases and the pattern complexity increases, the possibility that the defect will spoil the die or change one or more electrical attributes of the device. Thus, using a relationship that describes the possibility that a defect spoiles the die or alters one or more electrical attributes of the device as a function of defect size and pattern complexity, The relative risk of defects can be determined. The relative risk of each defect is determined immediately after inspection, so that a more appropriate decision can be made based on the relative risk.

それとは別に、DCIは、異なる欠陥サイズ及び場合によっては異なるタイプの欠陥(場合によってはダイ全体にわたる)について、欠陥がダイをだめにするか、又は1つ又は複数の電気的属性を変える確率を決定することを含む統計的方法を使用して決定されるが、これは欠陥に対するDCIを決定するために使用される。例えば、一実施形態では、この方法は、欠陥の1つ又は複数が、設計データ空間における欠陥の位置に近接する設計データの1つ又は複数の属性、欠陥の1つ又は複数の属性(欠陥サイズなど)、欠陥を検出するために使用される検査システムにより報告された欠陥の位置、検査システムの座標の不正確さ、又はそれらの何らかの組合せに基づいて設計データについて加工されたデバイス内に1つ又は複数の電気的障害を引き起こす(又はデバイスの1つ又は複数の電気的属性を変えて、それによりパラメータ電気的問題を引き起こす)確率を決定することとその確率に基づいて1つ又は複数の欠陥に対するDCIを決定することとを含む。この確率は、当業界で知られている適切な統計的方法を使用するこの方法で決定される。   Alternatively, DCI is the probability that a defect will spoil the die or change one or more electrical attributes for different defect sizes and possibly different types of defects (possibly across the die). This is determined using a statistical method that includes determining, which is used to determine the DCI for the defect. For example, in one embodiment, the method may include one or more attributes of the design data in which one or more of the defects are proximate to the position of the defect in the design data space, one or more attributes of the defect (defect size). One in a device that has been processed for design data based on the location of the defect reported by the inspection system used to detect the defect, inaccuracies in the coordinates of the inspection system, or some combination thereof. Or determining the probability of causing multiple electrical faults (or changing one or more electrical attributes of the device, thereby causing parametric electrical problems) and one or more defects based on that probability Determining the DCI for. This probability is determined in this way using appropriate statistical methods known in the art.

欠陥に対するDCIは、欠陥がレビューのため選択されるサンプリングなどのために本明細書で説明されている実施形態においてさまざまな方法により使用される。特に、それぞれの欠陥分類又は欠陥のグループについて、DCIは、共通に分類された欠陥又は共通にビン範囲に従って分けられた欠陥のランダム・サンプリングを実行する代わりに同じ分類で欠陥をサンプリングするために使用されるか、又はビン範囲に従って同じグループに分けられる。DCIをサンプリングに使用することで、DCIの分布を使用し、ダイをだめにするか、又は1つ又は複数の電気的属性を変える確率の高いか欠陥を判定することができ、またダイをだめにするか、又は1つ又は複数の電気的属性を変える確率の高い欠陥をより多くサンプリングすることができる。その際、歩留まりに影響を及ぼす可能性の高い欠陥をレビューのためより多くサンプリングすることができ、したがって、歩留まりに影響を及ぼす可能性の高い欠陥を識別し、分類するために特に有用な欠陥レビュー結果を生成することができる。DCIは、潜在的系統的欠陥や系統的欠陥をサンプリングするだけでなく、ランダム欠陥をもサンプリングするために使用される。   DCI for defects is used in various ways in the embodiments described herein, such as for sampling where defects are selected for review. In particular, for each defect classification or group of defects, DCI is used to sample defects with the same classification instead of performing a random sampling of commonly classified defects or commonly separated defects according to bin ranges. Or divided into the same group according to bin range. By using DCI for sampling, the distribution of DCI can be used to determine if a die is spoiled or has a high probability of changing one or more electrical attributes, and the die is spoiled. Or more defects with a high probability of changing one or more electrical attributes can be sampled. In doing so, defects that are likely to affect yield can be sampled more for review and, therefore, defect reviews that are particularly useful for identifying and classifying defects that are likely to affect yield Results can be generated. DCI is used not only to sample potential systematic and systematic defects, but also to sample random defects.

いくつかの実施形態では、この方法は、電気的障害密度マップ上の高密度ゾーンを決定することを含む。障害密度マップは、障害試験連鎖又は障害フリップフロップの「論理的ビットマップ」又は物理的変換を生成することにより出力される(スキャン・ベースの試験の一種である、構造試験により検出される)。スキャン・ベースの試験により見つかるすべての障害線又は領域は、試験対象ダイ(DUT)のグラフィカル・レンダリングでそのようなものとして示される。「論理的ビットマップ」及び「ビットマップ」という用語は、本明細書では互いに取り替えて使用される。同じ(複数の)層と設計の、異なるダイに対する論理的ビットマップを積層して(つまり、オーバーレイして)、ダイ上のそれぞれの点における障害の数を示し、障害密度マップを作成することができる。所定の値よりも大きい頻度で障害密度マップに現れる欠陥は、系統的欠陥としてみなせる。ダイ座標空間におけるホット・スポットに近接して見つかる欠陥は、歩留まりに影響する系統的欠陥又は系統的候補とみなせる。   In some embodiments, the method includes determining a high density zone on the electrical fault density map. The fault density map is output by generating a “logical bitmap” or physical transformation of fault test chains or fault flip-flops (detected by structural tests, a type of scan-based test). All fault lines or areas found by scan-based testing are shown as such in the graphical rendering of the die under test (DUT). The terms “logical bitmap” and “bitmap” are used interchangeably herein. Stacking (ie, overlaying) logical bitmaps for different dies of the same layer (s) and design to indicate the number of faults at each point on the die and create a fault density map it can. Defects that appear in the fault density map with a frequency greater than a predetermined value can be considered as systematic defects. Defects found close to hot spots in the die coordinate space can be considered systematic defects or systematic candidates that affect yield.

いくつかの実施形態では、インライン検査結果からの情報を使用して電気的検査プロセスの結果(例えば、ビットマップ)を分析し、電気的欠陥の原因がインライン検査結果から決定されるかどうかを判定することができる。インライン検査結果及び電気的検査結果を補正するために、本明細書で説明されているように異なる検査結果を互いにアラインさせることができる。それに加えて、異なる検査結果を、まず最初に、設計データにアラインさせ、次いで、検査結果を互いにアラインさせることができる。いずれの場合も、ビットマップ結果は、インライン検査結果でオーバーレイされる。   In some embodiments, information from the inline inspection results is used to analyze the results of the electrical inspection process (eg, a bitmap) to determine whether the cause of the electrical defect is determined from the inline inspection results can do. Different test results can be aligned with each other as described herein to correct in-line test results and electrical test results. In addition, different inspection results can be first aligned with the design data and then the inspection results can be aligned with each other. In either case, the bitmap results are overlaid with inline test results.

この方法は、さらに、インライン検査データ及び設計データに基づいてビットマップで電気的欠陥の原因を突き止めることを含む。それに加えて、異なる障害タイプやその候補配置又は経路を分析して、物理的欠陥とオーバーラップする電気的障害の数を決定することができる。これらの「ヒット」は、物理的欠陥が電気的欠陥の原因に関わる証拠となる。このように、障害タイプに対するヒットの比は、報告された物理的欠陥に対応するそのタイプの障害の数をそのタイプの障害の数で割った値として決定される。このヒット比を評価することで、障害タイプが報告された物理的欠陥に層化する傾向を有するかどうかを判定することができる。それに加えて、物理的欠陥のヒット比とインライン検査結果を使用して、電気的障害の原因となった同じタイプの物理的欠陥の数を決定することができる。このように、電気的障害を引き起こした同じタイプの欠陥の数を使用して、欠陥の歩留まりに有意性の統計的予測を決定することができる。   The method further includes locating the cause of electrical defects in the bitmap based on the in-line inspection data and the design data. In addition, different fault types and their candidate locations or paths can be analyzed to determine the number of electrical faults that overlap with physical defects. These “hits” provide evidence that the physical defect is responsible for the cause of the electrical defect. Thus, the ratio of hits to fault types is determined as the number of faults of that type corresponding to the reported physical defect divided by the number of faults of that type. By evaluating this hit ratio, it can be determined whether the failure type has a tendency to stratify on the reported physical defects. In addition, the hit ratio of physical defects and in-line inspection results can be used to determine the number of physical defects of the same type that caused an electrical failure. In this way, the number of defects of the same type that caused an electrical failure can be used to determine a statistical prediction of significance in defect yield.

物理的欠陥に関する追加の情報も、ビット障害の原因を突き止めるために使用される。このような情報は、限定はしないが、ビット障害の配置に対応する物理的欠陥のイメージ、物理的欠陥に対する分類結果、物理的欠陥に対するビン範囲によるグループ分けの結果、又はその何らかの組合せを含むことができ、これは、ビット障害が配置されているダイ全体のビットマップ・イメージ、複数のダイのビットマップ・イメージの積層(つまり、オーバーレイ)を示すイメージ(例えば、ダイ上の電気的障害の反復性を示す)、ビットマップ・パレート図、及びビットマップ結果に関する詳細情報(例えば、テーブル又はリストのデータ)などのビットマップ情報と組み合わせて使用される。   Additional information regarding physical defects is also used to determine the cause of the bit failure. Such information may include, but is not limited to, an image of physical defects corresponding to the placement of bit faults, classification results for physical defects, grouping results by bin range for physical defects, or some combination thereof. This can be a bitmap image of the entire die where bit faults are located, an image showing a stack (ie, overlay) of bitmap images of multiple dies (eg, repeated electrical faults on the die) Used) in combination with bitmap information, such as detailed information (e.g., table or list data) about bitmap results.

いくつかの実施形態では、この方法は、欠陥遷移テーブル(DTT)法を使用して、欠陥が検出されなかった、又はノンキラー又は非有意欠陥が検出されたホット・スポットを識別することを含む。一般に、DTTの複数の行は、異なる欠陥に対する検査結果を含み、DTTの異なる列は、異なる時刻に実行された検査により生成された検査結果を含む。検査結果は、時間順に列に配列される。このように、テーブルは、半導体製造プロセスにおいて異なる層でどの欠陥が再検出されたかを示す。テーブルは、さらに、異なる層で検出された欠陥に関する追加の情報を含むか、又はアクセス(例えば、リンク)を備えることもできる。このように、欠陥のイメージなどの追加の情報を使用して、欠陥が異なる層で変化したか、それはどのように変化したかを判定することができる。   In some embodiments, the method includes using a defect transition table (DTT) method to identify hot spots where no defects have been detected or non-killer or non-significant defects have been detected. In general, multiple rows of the DTT contain inspection results for different defects, and different columns of the DTT contain inspection results generated by inspections performed at different times. The inspection results are arranged in a sequence in time order. Thus, the table shows which defects have been redetected in different layers in the semiconductor manufacturing process. The table may further include additional information regarding defects detected at different layers, or provide access (eg, links). In this way, additional information such as an image of the defect can be used to determine if the defect has changed in different layers and how it has changed.

追加の実施形態では、この方法は、設計データの1つ又は複数の属性、欠陥の1つ又は複数の属性、又はそれらの何らかの組合せに基づいて欠陥の1つ又は複数に対するKP値を決定することを含む。同様にして、この方法は、1つ又は複数のグループに対応する設計データの1つ又は複数の属性、1つ又は複数のグループの欠陥の1つ又は複数の属性、又はそれらの何らかの組合せに基づいて欠陥の1つ又は複数のグループに対するKP値を決定することを含む。系統的欠陥に対するKP値は、歩留まり比などの系統的欠陥の追加の属性を決定するために使用される。それに加えて、KP値は、本明細書で説明されている追加のステップを実行するために使用される。例えば、系統的欠陥に対するKP値は、どの欠陥がレビューのために選択されたかを判定するために使用される。特に、比較的高いKP値を有する系統的欠陥が、レビューのため選択される。それに加えて、この方法は、系統的欠陥に対するKP値を監視することと、KP値が所定のKP値を超えた場合に出力信号を生成することを含む。出力信号は、自動化されたレポート、可視出力信号、可聴出力信号、又はユーザーにプロセスに関する潜在的問題を知らせるために使用される他の何らかの出力信号とすることができる。このように、出力信号は、アラーム信号とすることができる。   In additional embodiments, the method determines a KP value for one or more of the defects based on one or more attributes of the design data, one or more attributes of the defects, or some combination thereof. including. Similarly, the method is based on one or more attributes of design data corresponding to one or more groups, one or more attributes of defects in one or more groups, or some combination thereof. Determining KP values for one or more groups of defects. The KP value for systematic defects is used to determine additional attributes of systematic defects such as yield ratio. In addition, the KP value is used to perform the additional steps described herein. For example, the KP values for systematic defects are used to determine which defects have been selected for review. In particular, systematic defects with relatively high KP values are selected for review. In addition, the method includes monitoring the KP value for systematic defects and generating an output signal when the KP value exceeds a predetermined KP value. The output signal can be an automated report, a visual output signal, an audible output signal, or some other output signal used to inform the user of potential problems with the process. Thus, the output signal can be an alarm signal.

本明細書でさらに説明されているように、本明細書で説明されている方法及びシステムの利点の1つは、多数の異なるソースからの情報にまとめてアクセスし、相関させ、格納し、表示し、及び/又は処理することができるということにある。このような情報は、限定はしないが、GDSファイル内の情報、ウェハ上で実行されるプロセスに関する情報(通常WIPデータと呼ばれ、ファブ製造実行システム(MES)データベースなどのソースから取り込まれる)、インライン検査結果、インライン計量又は測定結果、電気的試験結果、ライン終了歩留まり情報を含む。このような情報は、系統的欠陥に関する歩留まり関係情報を決定するために使用される。さらに、系統的欠陥について決定された歩留まり比又は他の歩留まり関係情報は、歩留まり関係コンテキストを系統的欠陥に割り当てるために使用される。歩留まり関係コンテキスト情報と設計コンテキストの両方を、系統的欠陥に割り当てることができる。一実施形態では、設計コンテキストに基づいて欠陥を分類する代わりに、歩留まり制限コンテキストに基づいて系統的欠陥を分類することができる。   As described further herein, one of the advantages of the methods and systems described herein is to collectively access, correlate, store, and display information from a number of different sources. And / or can be processed. Such information includes, but is not limited to, information in the GDS file, information about processes performed on the wafer (usually called WIP data, taken from sources such as a fab manufacturing execution system (MES) database), Includes in-line inspection results, in-line weighing or measurement results, electrical test results, and line end yield information. Such information is used to determine yield relationship information regarding systematic defects. Further, the yield ratio or other yield relationship information determined for systematic defects is used to assign yield relationship context to systematic defects. Both yield relationship context information and design context can be assigned to systematic defects. In one embodiment, instead of classifying defects based on design context, systematic defects can be classified based on yield limiting context.

本明細書でさらに説明されているように、系統的欠陥に対するホット・スポット・ベースの検査は、検出された系統的欠陥や系統的欠陥に対応する設計コンテキストを含む検査結果を出力する。このように、設計データにおける周縁フィーチャを識別し、SPCアプリケーションに使用される。例えば、プロセスがプロセス限界からドリフトしてずれると、これらのフィーチャが最初に不具合を生じる傾向があるため、設計データにおける周縁フィーチャの配置を監視することで、SPCを実行することができる。したがって、SPCは、設計におけるすべてのフィーチャの代わりに設計における最も重要なフィーチャを含む設計におけるすべてのフィーチャの部分集合を監視することによりより速く実行され、プロセスの変化に最も敏感な設計におけるフィーチャがSPCにおいて監視されるためプロセスのドリフトをより速く検出することができる。同様にして、周縁フィーチャ情報を使用して、CD測定プロセスなどの計量プロセスのレシピーを生成することができる。CD測定プロセスは、当業界で知られている好適なCD測定プロセスであればどのようなものでもよい(例えば、CDSEM、散乱計CD測定など)。CD測定プロセスのレシピーを生成することは、CD測定がそのプロセスで実行されるウェハ上の配置(例えば、周縁フィーチャが印刷される配置)を決定することを含む。それに加えて、CD測定が実行されるウェハ上の配置で取り込まれたBFイメージなどのウェハの検査結果をレシピーに付けるか、又は計量システムに供給し、これにより、計量システムは結果を使用してウェハ上の配置に移動して測定することができる。   As described further herein, hot spot-based inspection for systematic defects outputs inspection results including detected systematic defects and design context corresponding to systematic defects. In this way, peripheral features in the design data are identified and used for SPC applications. For example, if the process drifts out of process limits, these features tend to fail first, so SPC can be performed by monitoring the placement of peripheral features in the design data. Thus, SPC runs faster by monitoring a subset of all features in the design, including the most important features in the design instead of all features in the design, and features in the design that are most sensitive to process changes. Process drift can be detected faster because it is monitored in the SPC. Similarly, peripheral feature information can be used to generate a recipe for a metering process, such as a CD measurement process. The CD measurement process can be any suitable CD measurement process known in the art (eg, CDSEM, scatterometer CD measurement, etc.). Generating a recipe for the CD measurement process includes determining an arrangement on the wafer where the CD measurement is performed in the process (eg, an arrangement in which peripheral features are printed). In addition, a wafer inspection result, such as a BF image captured at the location on the wafer where the CD measurement is performed, is attached to the recipe or fed to the weighing system, which allows the weighing system to use the result. It can be measured by moving to an arrangement on the wafer.

しかし、試験データを加えて、系統的欠陥に対応する設計の部分は、半導体製造プロセスの歩留まりの確率と系統的欠陥のKPに関係する。そのような一実施形態では、本明細書で説明されている検査システム又は他のシステムは、それぞれの個々のダイが得られる確率と歩留まりに影響を及ぼす可能性が最も高い欠陥などの系統的欠陥に対する歩留まり結果を出力することができる。系統的欠陥のKPは、SPCアプリケーションにも使用される。例えば、それぞれのダイが得られる確率やどの欠陥が歩留まりに影響を及ぼす可能性が最も高いかということを利用して、SPC監視アプリケーションとレビュー・サンプリングを改善することができる。このように、SPCは、コンテキスト・ベースの歩留まりに基づいて実行される。それに加えて、改善されたSPC監視とレビュー・サンプリングは、根本原因分析及び基準低減を改善することができる。   However, in addition to the test data, the portion of the design that corresponds to the systematic defect is related to the yield probability of the semiconductor manufacturing process and the KP of the systematic defect. In one such embodiment, the inspection system or other system described herein is a systematic defect such as a defect that most likely affects the probability and yield of each individual die. The yield result for can be output. Systematic defect KP is also used for SPC applications. For example, SPC monitoring applications and review sampling can be improved by taking advantage of the probability of each die being obtained and which defects are most likely to affect yield. Thus, SPC is performed based on context-based yield. In addition, improved SPC monitoring and review sampling can improve root cause analysis and baseline reduction.

他の実施形態では、この方法は、時間の推移に従って欠陥のグループに対するKP値を監視することと、監視の結果に基づいて欠陥のグループの有意性を決定することとを含む。例えば、時間の経過とともに、KP値が更新され続けると、低いKP値を有するホット・スポットは、排除されるか、又は条件付ホット・スポット、ウィーク・スポット、又はコールド・スポットにダウングレードされる。このように、識別された潜在的ホット・スポットに低い又はゼロのKP値を割り当てる(つまり、コールド・スポット)。他の実施形態では、この方法は、設計データに関連付けられている電気的障害密度に基づいて欠陥のグループに対するKP値を決定することを含む。このように、電気的障害密度マップ上の比較的高い障害密度ゾーンとオーバーレイしないと判定されているホット・スポットは、KPにおいてダウングレードされ、適宜、ホット・スポット・データベース及び/又は関連する検査レシピーから除去される。   In another embodiment, the method includes monitoring a KP value for a group of defects according to a time course and determining the significance of the group of defects based on the results of the monitoring. For example, as the KP value continues to be updated over time, hot spots with low KP values are eliminated or downgraded to conditional hot spots, weak spots, or cold spots. . Thus, a low or zero KP value is assigned to the identified potential hot spot (ie, a cold spot). In other embodiments, the method includes determining a KP value for the group of defects based on the electrical fault density associated with the design data. Thus, hot spots that have been determined not to overlay a relatively high fault density zone on the electrical fault density map are downgraded at the KP and, as appropriate, a hot spot database and / or associated inspection recipe. Removed from.

一実施形態では、この方法は、設計データにおける1つ又は複数のPOIに対するKP値を監視することと、ビン範囲に従って1つ又は複数のグループに分けられた欠陥の位置に近接する設計データの部分が1つ又は複数のPOIに対応する場合にグループの1つ又は複数に1つ又は複数のPOIに対するKP値を割り当てることとを含む。例えば、設計データにおける1つ又は複数のPOIに対するKP値を監視することは、時間の経過とともに1つ又は複数のPOIについて決定された電気的障害、電気的障害密度、電気的障害の他の(複数の)属性、又はその何らかの組合せ、及び時間の経過とともに1つ又は複数のPOIについて取り込まれた検査結果に基づいて実行される。電気的障害、電気的障害密度、電気的障害の他の属性は、当業界で知られている好適な方法又はシステムを使用して決定される。検査結果は、本明細書で説明されているように取り込まれる。KP値を監視することは、この実施形態の方法により実行されるが、KP値を監視することは、異なる方法又はシステムにより実行されてもよく、また上述の割り当てるステップは、その方法により実行される。それに加えて、KP値を監視することは、ビン範囲に従って分ける方法を実行する前にセットアップ・フェーズにおいて実行され、それにより、検査と検査との間の時間を短縮し、KP値を欠陥の1つ又は複数のグループに割り当てることができる。1つ又は複数のPOIに対するKP値をグループの1つ又は複数に割り当てることは、ビン範囲によるグループ分けられた欠陥の少なくともいくつかの位置に近接する設計データの部分を1つ又は複数のPOIに対応する設計データの部分と比較することを含む。1つのグループ内の欠陥の少なくともいくつかの位置に近接する設計データの部分が、比較するステップの結果に基づいて決定される、POIに対応する設計データの部分に少なくとも類似している場合に、POIに対応するKP値をそのグループ内の欠陥(例えば、欠陥のすべて)に割り当てることができる。   In one embodiment, the method includes monitoring a KP value for one or more POIs in the design data, and a portion of the design data proximate to the defect locations divided into one or more groups according to the bin range. Assigning a KP value for one or more POIs to one or more of the groups where. For example, monitoring the KP value for one or more POIs in the design data may be other than electrical faults, electrical fault densities, electrical faults determined for one or more POIs over time ( (Multiple) attributes, or some combination thereof, and based on test results captured for one or more POIs over time. The electrical failure, electrical failure density, and other attributes of the electrical failure are determined using suitable methods or systems known in the art. The test results are captured as described herein. Monitoring the KP value is performed by the method of this embodiment, but monitoring the KP value may be performed by a different method or system, and the assigning steps described above are performed by the method. The In addition, monitoring the KP value is performed in the setup phase before performing the method of dividing according to the bin range, thereby reducing the time between inspections and reducing the KP value to one of the defects. Can be assigned to one or more groups. Assigning a KP value for one or more POIs to one or more of the groups means that a portion of the design data close to at least some positions of the grouped defects by bin range is assigned to one or more POIs. Comparing with the corresponding part of the design data. A portion of design data proximate to at least some positions of defects within a group is at least similar to a portion of design data corresponding to a POI determined based on the result of the comparing step; A KP value corresponding to the POI can be assigned to defects in the group (eg, all of the defects).

本明細書で説明されている方法は、ホット・スポットに敏感な1つ又は複数の診断又は修復プロセスに対する情報を生成することを含む(例えば、ホット・スポットに対する高い信号と低いノイズを有する)。この情報を使用して、ホット・スポットに対する1つ又は複数の診断又は修復プロセスを自動化又は最適化することができる。1つ又は複数のプロセスをホット・スポット検証及び分析、新規学習の取り込み、検査対象外領域とニュイサンス欠陥フィルタリングの最適化、報告、設計限界とプロセス限界との区別に使用することができる。このように、この方法は、ウェハ検査、レチクル検査、光学検査、マクロ欠陥検査、電子ビーム検査、光学欠陥レビュー、SEM欠陥レビュー、偏光解析法やCDSEMなどの計量プロセス、欠陥分析プロセス、FIBプロセスや他のFAプロセス、欠陥修復プロセスなどの、診断プロセスと修復プロセスのレシピーを生成するために使用される。   The methods described herein include generating information for one or more diagnostic or repair processes that are sensitive to hot spots (eg, having high signal and low noise for hot spots). This information can be used to automate or optimize one or more diagnostic or repair processes for hot spots. One or more processes can be used for hot spot verification and analysis, incorporating new learning, optimizing non-inspected areas and nuisance defect filtering, reporting, and distinguishing between design and process limits. As described above, this method includes wafer inspection, reticle inspection, optical inspection, macro defect inspection, electron beam inspection, optical defect review, SEM defect review, ellipsometry, CDSEM and other metrology processes, defect analysis process, FIB process, Used to generate recipes for diagnostic and repair processes, such as other FA processes, defect repair processes, etc.

いくつかの実施形態では、この方法は、設計データにおいて1つ又は複数のPOIを優先順位付けすることと、優先順位付けステップの結果に基づいて設計データが印刷されるウェハ上で実行される1つ又は複数のプロセスを最適化することとを含む。1つ又は複数のPOIを優先順位付けすることは、本明細書で説明されているように実行される。この実施形態において1つ又は複数のプロセスを最適化することは、焦点、線量、露光ツール、レジスト、露光後ベーク(PEB)時間、PEB温度、エッチング時間、エッチング・ガス組成、エッチング・ツール、堆積ツール、堆積時間などの1つ又は複数のプロセスの1つ又は複数のパラメータを変更することを含む。好ましくは、(複数の)プロセスの(複数の)パラメータを変更して、(複数の)POIの欠陥度(例えば、(複数の)POIで検出された欠陥の数)を低減し、(複数の)POIで検出された欠陥の1つ又は複数の属性(例えば、DCI、KPなど)を変更し、及び/又は(複数の)POIが含まれるデバイスの歩留まりを高める。   In some embodiments, the method is performed on a wafer on which design data is printed based on the results of prioritizing one or more POIs in the design data and prioritizing steps. Optimizing one or more processes. Prioritizing one or more POIs is performed as described herein. Optimizing one or more processes in this embodiment includes focus, dose, exposure tool, resist, post-exposure bake (PEB) time, PEB temperature, etch time, etch gas composition, etch tool, deposition Including changing one or more parameters of one or more processes such as tools, deposition times, and the like. Preferably, the parameter (s) of the process (es) are changed to reduce the defect degree of the POIs (eg, the number of defects detected in the POIs), ) Modify one or more attributes (eg, DCI, KP, etc.) of the defects detected in the POI and / or increase the yield of the device containing the (multiple) POI.

それに加えて、1つ又は複数のプロセスの1つ又は複数のパラメータを、優先順位付けステップにより決定された最高優先度を有するPOIのみ又は優先順位付けステップにより決定された比較的高い優先度を有する(複数の)POIについて最適化することができる。このように、最大の欠陥性及び/又は最高の歩留まり影響を有する欠陥性を示す(複数の)POIに基づいて1つ又は複数のプロセスの1つ又は複数のパラメータを変更及び/又は最適化することができる。その際、優先順位付けステップの結果は、どの(複数の)POIを使用して1つ又は複数のプロセスの1つ又は複数のパラメータを変更及び/又は最適化すれば歩留まりの最大の改善をもたらすかを示している。   In addition, one or more parameters of one or more processes have only the POI with the highest priority determined by the prioritization step or a relatively high priority determined by the prioritization step. Optimize for POI (s). In this way, one or more parameters of one or more processes are changed and / or optimized based on the POI (s) exhibiting the highest defectivity and / or the defectivity with the highest yield effect. be able to. In doing so, the result of the prioritization step results in the greatest improvement in yield if any POI (s) is used to change and / or optimize one or more parameters of one or more processes. It shows.

したがって、(複数の)POIが歩留まりに最大の影響を及ぼす誘導がないと、歩留まり及び安定性に関してプロセスを最適化する有利な機会をタイミングよく識別又は作ることができず、その結果市場に出すまでの時間が長くなり、プロセス最適化の効率が低下するため、この実施形態は、プロセスを変更及び/又は最適化するうえで他のすでに使用されている方法及びシステムに比べて有利である。   Thus, without guidance that the POI (s) have the greatest impact on yield, it is not possible to identify or create a timely opportunity to optimize the process with respect to yield and stability, and as a result until it is brought to market. This embodiment is advantageous over other already used methods and systems for changing and / or optimizing the process because of the increased time required for the process and the efficiency of process optimization.

さらに、このステップで変更及び/又は最適化される(複数の)プロセスは、本明細書で説明されている実施形態においてビン範囲に従って分けられた欠陥の検出前にウェハ上に設計データにおける(複数の)POIを印刷するために使用されたプロセスのみを含むが、変更及び/又は最適化される1つ又は複数のプロセスは、(複数の)POIも含む他の設計データを印刷するために使用される(複数の)プロセスを含む。例えば、複数の設計が(複数の)POIを含む場合、優先順位付け及び/又は本明細書で説明されている方法の他の結果に基づいて、複数の設計を印刷するために使用される1つ又は複数のプロセスを変更し、最適化して、異なる設計のそれぞれで加工されたデバイスの歩留まりを高めることができる。   In addition, the process (s) modified and / or optimized in this step may be performed in the design data on the wafer prior to the detection of defects separated according to bin ranges in the embodiments described herein. One or more processes that contain only the process used to print the POI, but are modified and / or optimized, are used to print other design data that also contains the POI (s) Process (s) to be performed. For example, if multiple designs include POI (s), they are used to print multiple designs based on prioritization and / or other results of the methods described herein. One or more processes can be modified and optimized to increase the yield of devices fabricated with each of the different designs.

他の実施形態では、この方法は、ビン範囲によるグループ分けのステップの結果及び/又は本明細書で説明されている(複数の)方法の他の(複数の)ステップの他の結果に基づいてウェハ上で実行される、又はウェハ上で実行されるべきプロセスの1つ又は複数のパラメータを変更することを含む。このプロセスは、CMP、堆積(電気化学的堆積、原子層堆積、化学気相堆積、物理気相堆積)、リソグラフィ、エッチング、イオン注入、洗浄などの当業界で知られているプロセスを含む。ビン範囲に従って1つ又は複数のグループに分けられた欠陥が、ウェハの後続の処理の後にウェハ上で減らされるように、又は他のウェハの処理の後に他のウェハ上で減らされるようにビン範囲によるグループ分けの結果に基づいて、1つ又は複数のパラメータを変更することができる。   In other embodiments, the method is based on the results of the grouping step by bin range and / or other results of the other step (s) of the method (s) described herein. Including altering one or more parameters of a process to be performed on or to be performed on the wafer. This process includes processes known in the art such as CMP, deposition (electrochemical deposition, atomic layer deposition, chemical vapor deposition, physical vapor deposition), lithography, etching, ion implantation, cleaning, and the like. Bin range so that defects divided into one or more groups according to the bin range are reduced on the wafer after subsequent processing of the wafer or on other wafers after processing of other wafers One or more parameters can be changed based on the result of grouping by.

例えば、検査に先立ってウェハ上でエッチング・プロセスが実行された場合、変更された(複数の)パラメータを使用するエッチング・プロセスで処理された他のウェハがグループの1つ又は複数においてより少ない欠陥を示すか、又は比較的高いDCIでより少ない欠陥を示すか、又は比較的高いKP値などでより少ない欠陥を示すか、又はその何らかの組合せの形を示すように、好ましくはフィードバック制御技術を使用してエッチング・プロセスの1つ又は複数のパラメータを変更することができる。(複数の)パラメータをこのように変更することは、欠陥のグループの優先順位付け又はDCI及びKP値などの本明細書で説明されている他の情報に基づいて実行される。このように、プロセスは、歩留まりに対する最大の影響を有する欠陥のグループに基づいて変更される。   For example, if an etching process is performed on a wafer prior to inspection, the other wafers processed by the etching process using the modified parameter (s) have fewer defects in one or more of the group Preferably using feedback control techniques to show fewer defects with a relatively high DCI, or show fewer defects, such as a relatively high KP value, or some combination thereof Thus, one or more parameters of the etching process can be changed. Such modification of the parameter (s) is performed based on prioritization of the group of defects or other information described herein such as DCI and KP values. In this way, the process is modified based on the group of defects that has the greatest impact on yield.

他の例では、検査に先立ってウェハ上でエッチング・プロセスが実行された場合、変更された(複数の)パラメータを使用してウェハ上でエッチング後プロセスが実行された後、ウェハがグループの1つ又は複数においてより少ない欠陥を示すか、又は比較的高いDCIでより少ない欠陥を示すか、又は比較的高いKP値などでより少ない欠陥を示すか、又はその何らかの組合せの形を示すように、好ましくはフィードフォワード制御技術を使用してウェハ上で実行されるべきエッチング後プロセスの1つ又は複数のパラメータを変更することができる。エッチング後プロセス又は他の(複数の)プロセスの(複数の)パラメータも、上でさらに説明されているように変更される。   In another example, if an etch process was performed on the wafer prior to inspection, the wafer was grouped after the post-etch process was performed on the wafer using the modified parameter (s). Show fewer defects in one or more, show fewer defects with a relatively high DCI, show fewer defects, such as with a relatively high KP value, or show some form of combination thereof, Preferably, feedforward control techniques can be used to change one or more parameters of the post-etch process to be performed on the wafer. The parameter (s) of the post-etch process or other process (s) are also modified as described further above.

上述のようにプロセスの1つ又は複数のパラメータを変更することは、1つ又は複数のパラメータをどのように変更すべきかを決定することと、プロセスを実行するために使用されるレシピー内の1つ又は複数のパラメータの値を変更することとを含む。このような変更は、本明細書で説明されている方法及びシステムにより、例えば、ファブ・データベース、又はプロセスを実行するプロセス・ツールに結合された記憶媒体に収められているレシピーにアクセスし、レシピーに変更を直接加えることにより実行される。   Changing one or more parameters of the process as described above determines how one or more parameters should be changed, and one in the recipe used to execute the process. Changing the value of one or more parameters. Such changes can be made by accessing the recipe stored in a storage medium coupled to, for example, a fab database or a process tool that performs the process, using the methods and systems described herein. This is done by making a change directly to.

それとは別に、上述のようにプロセスの1つ又は複数のパラメータを変更することは、1つ又は複数のパラメータをどのように変更すべきかを決定することと、1つ又は複数のパラメータの値をプロセスを実行するために使用されるレシピー内の1つ又は複数のパラメータの値を変更するために使用される他の方法又はシステム(例えば、プロセスを実行するプロセス・ツールに結合されたファブ・データベース又はプロセッサ)に送ることとを含む。変更されるべき1つ又は複数のパラメータの値も、他の方法又はシステムによりプロセスを変更できるように、レシピー識別記号、プロセス・ツール識別記号、1つ又は複数のパラメータを変更する命令などの他の情報とともに送ることができる。   Alternatively, changing one or more parameters of the process, as described above, determines how to change one or more parameters and determines the value of one or more parameters. Other methods or systems used to change the value of one or more parameters in the recipe used to perform the process (eg, a fab database coupled to a process tool that performs the process) Or a processor). Other values such as recipe identification, process tool identification, instructions to change one or more parameters, so that the value of one or more parameters to be changed can also change the process by other methods or systems Can be sent along with the information.

一実施形態では、この方法は、ビン範囲に従って分けるステップの結果に基づいてウェハを検査するプロセスを変更することを含む。ウェハを検査するプロセスは、本明細書で説明されているビン範囲によるグループ分けの結果に基づいてこの実施形態において変更することができる。それに加えて、この実施形態では、ウェハを検査するプロセスの(複数の)パラメータを変更することができる。例えば、ビン範囲によるグループ分けのステップの結果に基づいて変更されるウェハを検査するためのプロセスの1つ又は複数のパラメータは、限定はしないが、検査対象領域(又はそれとは別に、検査対象外領域)、感度、インラインのビン範囲によるグループ分けプロセス、ウェハが検査される検査領域、又はその何らかの組合せを含む。特定の一例では、ビン範囲によるグループ分けの結果は、グループの1つ又は複数に含まれる欠陥の数を示し、検査対象領域は、比較的多数の欠陥を含む(複数の)グループ内の欠陥の設計データ空間における位置に対応するウェハ上の位置を含むように変更される。他の例では、ウェハを検査するプロセスは、ビン範囲によるグループ分けの結果に基づいてより多く又は異なる形で検査するように変更される。ウェハを検査するプロセスは、さらに、本明細書で説明されている(複数の)方法の(複数の)ステップの結果に基づいて変更される。   In one embodiment, the method includes changing the process of inspecting the wafer based on the result of the step of dividing according to the bin range. The process of inspecting a wafer can be modified in this embodiment based on the results of grouping by bin range as described herein. In addition, in this embodiment, the parameter (s) of the process for inspecting the wafer can be changed. For example, one or more parameters of a process for inspecting a wafer that is modified based on the result of a bin range grouping step may include, but are not limited to, an inspection area (or alternatively, Area), sensitivity, inline bin range grouping process, inspection area where wafers are inspected, or some combination thereof. In one particular example, the result of grouping by bin range indicates the number of defects contained in one or more of the groups, and the area to be inspected is the number of defects in the group (s) that contain a relatively large number of defects. It is changed to include a position on the wafer corresponding to the position in the design data space. In other examples, the process of inspecting a wafer is modified to inspect more or differently based on the results of grouping by bin range. The process of inspecting the wafer is further modified based on the results of the step (s) of the method (s) described herein.

本明細書で説明されているように、欠陥は、検査プロセスにより検出される。一実施形態では、この方法は、設計データにおける1つ又は複数のPOIが印刷されるウェハ上の配置をレビューすることと、レビューステップの結果に基づいて欠陥が1つ又は複数のPOIの配置のところで検出されているかどうかを判定することと、1つ又は複数の欠陥捕捉率を改善するように検査プロセスを変更することとを含む。この実施形態における配置をレビューすることは、当業界で知られている方法又はシステムを使用して実行される。このように、ウェハ上の配置をレビューすることは、欠陥がPOIの配置で検出されたかどうかを判定するためにPOIの配置のところで実行される。このような一実施形態では、この方法は、設計データにおける1つ又は複数のPOIの配置を識別するため任意のパターン探索、及び設計データにおける1つ又は複数のPOIの配置からウェハ上の1つ又は複数のPOIの配置を決定することとを含む。このようにして(複数の)POIの配置を決定することは、本明細書でさらに説明されているように実行される。   As described herein, defects are detected by an inspection process. In one embodiment, the method includes reviewing the placement on the wafer where the one or more POIs in the design data are printed and the placement of the one or more POIs with defects based on the results of the review step. By the way, determining whether it has been detected and modifying the inspection process to improve one or more defect capture rates. Reviewing the arrangement in this embodiment is performed using methods or systems known in the art. Thus, reviewing the placement on the wafer is performed at the POI placement to determine whether a defect has been detected in the POI placement. In one such embodiment, the method includes an arbitrary pattern search to identify the placement of one or more POIs in the design data, and one on the wafer from the placement of one or more POIs in the design data. Or determining the placement of a plurality of POIs. Determining the placement of the POI (s) in this way is performed as described further herein.

それに加えて、そのようないくつかの実施形態では、この方法は、レビューを補助するためにレビューステップにおいてヒットあり、及びヒットなしでPOIの配置を表示することを含む。その際、レビューの結果を使用して、欠陥が生じたが、検査システムにより捕捉されていない場所を決定する。したがって、(複数の)POIをレビューして、見逃した欠陥(又は捕捉されていない欠陥)を見つけて検査プロセスの変更又は最適化を実行すべき場所を知ることができる。   In addition, in some such embodiments, the method includes displaying POI placement with and without hits in the review step to assist in the review. In doing so, the results of the review are used to determine where defects have occurred but have not been captured by the inspection system. Thus, the POI (s) can be reviewed to find where missed defects (or uncaptured defects) should be found and where inspection process changes or optimizations should be performed.

レビューの結果に加えてこの情報に基づいて(例えば、欠陥の1つ又は複数の属性、設計データの1つ又は複数の属性など)、光学モード、集束角、入射角などの検査プロセスの1つ又は複数のパラメータを変更し、好ましくは、後続の検査で欠陥がより高い率でPOIの配置で取り込まれるようにする。このように、この方法は、POIにおける欠陥捕捉率の分析結果に基づくセットアップ・チューニングを含む。変更される検査プロセスの1つ又は複数のパラメータは、ルール・データベースを使用するなどの好適な方法により決定される。この実施形態で改善される1つ又は複数の欠陥捕捉率は、1つ又は複数のPOIにおける1つ又は複数の欠陥タイプに対する欠陥捕捉率を含む。同様にして、1つ又は複数の欠陥捕捉率を改善するため上述の実施形態は、1つ又は複数のPOIが印刷されるウェハ上の配置をレビューする代わりに、設計における1つ又は複数のホット・スポットの位置に対応するウェハ上の配置をレビューすることにより実行される。   Based on this information in addition to the results of the review (eg, one or more attributes of the defect, one or more attributes of the design data, etc.), one of the inspection processes such as optical mode, focusing angle, incident angle, etc. Alternatively, multiple parameters may be changed so that defects are preferably captured at a higher rate of POI placement in subsequent inspections. Thus, this method includes set-up tuning based on the analysis results of defect capture rate in POI. One or more parameters of the inspection process to be changed are determined by any suitable method, such as using a rules database. The one or more defect capture rates improved in this embodiment include defect capture rates for one or more defect types in one or more POIs. Similarly, in order to improve one or more defect capture rates, the above-described embodiments can provide one or more hots in the design instead of reviewing the placement on the wafer on which the one or more POIs are printed. • Performed by reviewing the placement on the wafer corresponding to the spot location.

さらに、上述の方法が複数のPOIについて実行される場合、POIは、本明細書でさらに説明されているように優先順位付けされ、検査プロセスは、最高の優先度又は高い優先度を有するPOIについて欠陥捕捉率を改善するように変更される。このように、検査プロセスは、最高優先度のPOI又はより高い優先度のPOIについて最適化される(そのような最適化の結果、低い優先度のPOIに対する検査プロセスの最適化が行われる可能性があるが)。   In addition, if the method described above is performed for multiple POIs, the POIs are prioritized as described further herein, and the inspection process is performed for the POI with the highest priority or high priority. Changed to improve defect capture rate. In this way, the inspection process is optimized for the highest priority POI or higher priority POIs (such optimization may result in inspection process optimization for lower priority POIs). There is).

他の実施形態では、この方法は、検査の結果に基づいて検査時にウェハを検査するプロセスを変更することを含む。このように、この方法は、その場のプロセス制御技術を使用して検査プロセスを変更することを含む。検査プロセスを変更するために使用される検査の結果は、本明細書で説明されている結果を含む。それに加えて、この実施形態において検査プロセスを変更することは、検査プロセスの1つ又は複数のパラメータを変更することを含む。   In other embodiments, the method includes changing the process of inspecting the wafer during inspection based on the results of the inspection. Thus, the method includes modifying the inspection process using in-situ process control techniques. The results of the inspection used to modify the inspection process include the results described herein. In addition, changing the inspection process in this embodiment includes changing one or more parameters of the inspection process.

さらに上で説明されているように、この方法は、検査レシピーを最適化すること含む。最適化される検査レシピーは、インライン検査レシピー及び/又は電気的検査レシピーを含む。一実施形態では、この方法は、ホット・スポット情報に基づいてウェハを検査するプロセスを変更することを含む。他の実施形態では、この方法は、ホット・スポット情報及び設計データに基づいてウェハを検査するプロセスを生成することを含む。それに加えて、この方法は、ホット・スポット情報及び/又は予測POIに基づいてウェハを検査するプロセスを変更又は生成することを含む。例えば、検査レシピーは、ホット・スポットとPOIの配置のみが検査され、及び/又は系統的ニュイサンス欠陥に対する配置が検査されないか、又はそのような配置において取り込まれたデータが他の何らかの方法で抑制されるように構成される。他の例では、上述のように、本明細書で説明されている方法の実施形態は、設計におけるホット・スポットを識別することを含む(例えば、系統的欠陥に基づいて)。このように、方法の実施形態は、ホット・スポットのソースであってよく、また設計におけるホット・スポットの配置は、フィードフォワード制御技術を使用して検査プロセスを変更するために使用される。   As further described above, the method includes optimizing the inspection recipe. The inspection recipe to be optimized includes an in-line inspection recipe and / or an electrical inspection recipe. In one embodiment, the method includes changing the process of inspecting the wafer based on the hot spot information. In other embodiments, the method includes generating a process for inspecting a wafer based on hot spot information and design data. In addition, the method includes modifying or generating a process for inspecting the wafer based on the hot spot information and / or the predicted POI. For example, an inspection recipe may only be inspected for hot spot and POI locations and / or not inspected for systematic nuisance defects, or the data captured in such locations may be suppressed in some other way. Configured to be In other examples, as described above, the method embodiments described herein include identifying hot spots in the design (eg, based on systematic defects). Thus, method embodiments may be a source of hot spots and the placement of hot spots in the design is used to modify the inspection process using feedforward control techniques.

この方法は、さらに、他の利用可能な情報に基づいてウェハを検査するプロセスを変更することを含む。このような一例では、この方法は、設計データ、検査結果、1つ又は複数のビットマップに加えてホット・スポット情報に基づいて検査レシピーを変更することを含む。このように、この方法で利用できる情報は、歩留まりに影響を及ぼさない欠陥を検出するための検査レシピーの感度を下げつつ、歩留まりに影響を及ぼすか、又は及ぼす可能性のある欠陥を検出するための検査レシピーの感度を最適化するために使用される。検査レシピーを生成し、最適化することは、さらに、本明細書でさらに説明されているように実行される(例えば、DOIの検出性に基づいて)。   The method further includes modifying the process of inspecting the wafer based on other available information. In one such example, the method includes modifying an inspection recipe based on hot spot information in addition to design data, inspection results, one or more bitmaps. Thus, the information available in this method is to detect defects that affect or may affect yield while reducing the sensitivity of inspection recipes to detect defects that do not affect yield. Used to optimize the sensitivity of inspection recipes. Generating and optimizing the inspection recipe is further performed as described further herein (eg, based on the detectability of the DOI).

いくつかの実施形態では、この方法は、設計データに基づいてウェハ上の欠陥を検出する感度を決定することを含む。このようないくつかの実施形態では、感度は、設計データの少なくとも2つの異なる部分に対応するウェハの少なくとも2つの異なる部分については異なる。それに加えて、この方法は、ウェハ上の「検査対象領域」(又は「領域を検査すべき場所」)を識別することを含む。検査結果は、検査対象外領域では取り込まれないか、又は欠陥検出は、検査対象外領域で取り込まれた検査結果について実行されない。しかし、この方法は、データ取り込みと欠陥検出が、検査対象外領域で実行される場合に、ビン範囲によるグループ分けなどの検査結果の追加の処理が実行される前に、検出された欠陥が検査対象領域内に配置されているか、又は検査対象外領域に配置されているかを判定することを含む。欠陥が検査対象外領域に配置されている場合、それらの欠陥に対して追加の処理は実行されない。このように、パターン・ベースのビン範囲によるグループ分けは、ビン範囲によるグループ分けのスループットを最適化するように設計データ内の敏感な領域に制約される。他の実施形態では、共通設計データ(例えば、パターン・グループ化又は他のコンテキスト・データ)により欠陥がグループ化された後、本明細書でさらに説明されているように、グループ化情報を使用して、カウント、ビン範囲によるグループ分け、監視、分析、サンプリング、レビュー、試験などを改善することができる。   In some embodiments, the method includes determining sensitivity to detect defects on the wafer based on the design data. In some such embodiments, the sensitivity is different for at least two different portions of the wafer that correspond to at least two different portions of the design data. In addition, the method includes identifying an “inspection area” (or “where the area should be inspected”) on the wafer. Inspection results are not captured in the non-inspection area, or defect detection is not performed on inspection results captured in the non-inspection area. However, in this method, when data acquisition and defect detection are performed in a non-inspection area, the detected defects are inspected before additional processing of inspection results such as grouping by bin range is performed. And determining whether it is arranged in the target area or in the non-inspection area. When defects are arranged in the non-inspection area, no additional processing is performed on these defects. Thus, grouping by pattern-based bin range is constrained to sensitive regions in the design data to optimize the grouping throughput by bin range. In other embodiments, grouping information is used as described further herein after defects are grouped by common design data (eg, pattern grouping or other contextual data). Count, bin grouping, monitoring, analysis, sampling, review, testing, and more.

この方法のこの実施形態では、ホット・スポット情報を使用する場合も使用しない場合もある。例えば、この方法は、設計データに関する知識に基づいて、歩留まりに対しクリティカルな、及び/又は歩留まりを下げる欠陥に弱い設計データの部分を識別することを含む。このように、設計データのそれらの部分における欠陥を検出する感度は、設計データの他の部分における欠陥を検出する感度よりも高い。この方法は、検査データを取り込む際に、検査データを設計データにアラインさせることを含むが、これは本明細書でさらに説明されるように実行される。次いで、設計データ空間における検査データの位置に基づいて検査プロセスの感度を変更することができる。そのような実施形態では、検査プロセスの感度は、リアルタイムで変更される。設計駆動検査又は測定レシピーの追加の例は、本明細書に全体が説明されているかのように参照により組み込まれている、Bevisの米国特許第6,886,153号、及びHamamatsuらの米国特許出願公開第US2003/0022401号として公開されている、2002年2月22日に出願された米国特許出願第10/082,593号に例示されている。本明細書で説明されている方法は、本特許及び本特許出願において説明されている(複数の)ステップを含む。   This embodiment of the method may or may not use hot spot information. For example, the method includes identifying portions of the design data that are critical to yield and / or vulnerable to defects that reduce yield based on knowledge about the design data. Thus, the sensitivity to detect defects in those portions of the design data is higher than the sensitivity to detect defects in other portions of the design data. The method includes aligning the inspection data with the design data as the inspection data is captured, which is performed as further described herein. The sensitivity of the inspection process can then be changed based on the position of the inspection data in the design data space. In such embodiments, the sensitivity of the inspection process is changed in real time. Additional examples of design-driven inspection or measurement recipes include Bevis, US Pat. No. 6,886,153, and Hamamatsu et al., Which are incorporated by reference as if set forth in full herein. Illustrated in US patent application Ser. No. 10 / 082,593, filed Feb. 22, 2002, published as Application Publication No. US2003 / 0022401. The method described herein includes the step (s) described in this patent and this patent application.

一実施形態では、この方法は、ビン範囲によるグループ分けステップの結果に基づいてレビューする欠陥の少なくともいくつかを選択することを含む。例えば、ビン範囲によるグループ分けステップの結果を使用して、本明細書で説明されているように欠陥のうちのどれが最もクリティカルであるかを判定し(例えば、欠陥に対するDCIを決定することにより)、レビューのため最もクリティカルな欠陥を選択することができる。他の例では、ビン範囲によるグループ分けの結果を使用して、本明細書でさらに説明されているように欠陥のうちのどれが系統的欠陥であるかを判定することができる。このように、この方法は、DOIが生じる傾向のある設計データの部分からのレビュー・サンプリングを含む。それに加えて、どの欠陥が系統的であるかに関する情報とさらに系統的欠陥がSEMなどのレビュー・システムから見えるかどうか及び/又は系統的欠陥が歩留まりに関連しているかどうかに関する情報を使用して、レビューする欠陥の少なくともいくつかを選択することができる(例えば、SEMから見える欠陥のみをレビュー用に選択するように)。このようにして欠陥を選択することは、レビュー時に欠陥を再配置することが困難である場合があり、また特にレビュー・システムがレビュー・システムから実際には見えない欠陥を探すのにかなりの時間を費やす場合に比較的時間がかかるため、特に有益である。レビューのため欠陥を選択した結果は、ウェハ上の選択された欠陥の配置及び本明細書で説明されている(複数の)方法の(複数の)ステップの他の結果を含む。   In one embodiment, the method includes selecting at least some of the defects to review based on the results of the bin range grouping step. For example, the result of the bin range grouping step is used to determine which of the defects are most critical as described herein (eg, by determining the DCI for the defect). ) The most critical defects can be selected for review. In other examples, the results of grouping by bin range can be used to determine which of the defects are systematic defects as described further herein. Thus, this method includes review sampling from portions of the design data that are prone to DOI. In addition, using information about which defects are systematic and also whether the systematic defects are visible to review systems such as SEM and / or whether the systematic defects are related to yield. , At least some of the defects to review can be selected (eg, only defects that are visible from the SEM are selected for review). Selecting defects in this way can make it difficult to relocate defects during review, and in particular a significant amount of time for the review system to look for defects that are not actually visible from the review system. This is particularly beneficial because it takes a relatively long time to spend. The results of selecting the defects for review include the placement of the selected defects on the wafer and other results of the step (s) of the method (s) described herein.

他の実施形態では、この方法は、ビン範囲によるグループ分けステップの結果に基づいてレビューする欠陥をサンプリングするプロセスを生成することを含む。したがって、この方法は、レビューのため欠陥を選択する代わりに、又はそれに加えて、レビューのため欠陥をサンプリングするのに使用される(その方法、他の方法、その方法を実行するように構成されたシステム、又は他のシステムにより)プロセスを生成することを含む。このようなプロセスは、レビューのため複数のウェハ上で検出された欠陥をサンプリングし、及び/又は複数のレビュー・システムにより実行されるレビューのため欠陥をサンプリングするのに使用される。サンプリングのプロセスは、比較的多数の欠陥を含むビン範囲に従って分けられた欠陥の一グループに対応する設計データの部分において検出された欠陥が、比較的少数の欠陥を含むビン範囲に従って分けられた欠陥のグループに対応する設計データの部分において検出された欠陥に比べて大量にサンプリングされるようにビン範囲によるグループ分けステップの結果に基づいて生成される。レビューのため欠陥をサンプリングするプロセスは、欠陥に対するDCI、欠陥に対するKP値など本明細書で説明されている(複数の)方法の(複数の)ステップの他の結果と組み合わせてビン範囲によるグループ分けステップの結果に基づいて生成される。   In other embodiments, the method includes generating a process for sampling defects to review based on the results of the binning grouping step. Thus, this method is used to sample defects for review instead of or in addition to selecting defects for review (the method, other methods, configured to perform the method). Generating a process) (by another system or other system). Such a process is used to sample defects detected on multiple wafers for review and / or to sample defects for review performed by multiple review systems. The sampling process is a process in which defects detected in a part of the design data corresponding to a group of defects divided according to a bin range containing a relatively large number of defects are divided according to a bin range containing a relatively small number of defects. It is generated based on the result of the grouping step by bin range so that a large amount of samples are sampled compared to the defects detected in the part of the design data corresponding to the groups. The process of sampling defects for review is grouped by bin ranges in combination with other results of the step (s) of the method (s) described herein, such as DCI for defects, KP values for defects, etc. Generated based on the result of the step.

他の実施形態では、この方法は、ホット・スポット情報に基づいてレビューのため欠陥を選択するプロセスを生成することを含む。レビューのため欠陥を選択するプロセスは、ホット・スポット情報だけでなく、その方法で利用できる他の情報にも基づいて生成される。例えば、レビューのため欠陥を選択するプロセスは、設計データ、欠陥の1つ又は複数の属性、1つ又は複数のビットマップ、ホット・スポット情報に基づいて生成される。好ましくは、レビューのため欠陥を選択するプロセスは、ホット・スポットで検出された欠陥、又は系統的欠陥などの特定のタイプの欠陥が、レビューのため選択され、その一方でコールド・スポットで検出された欠陥、及びニュイサンス欠陥などの他のタイプの欠陥が、レビューのために選択されないように生成される。このように、本明細書で説明されている方法は、歩留まりに影響を及ぼさないレビュー・サンプルから欠陥を大部分除外することによりレビュー・プロセスのスループットを高めつつ歩留まりに影響を及ぼすか、又は及ぼす可能性のある欠陥を大部分含む欠陥サンプルを出力することができる。   In other embodiments, the method includes generating a process for selecting defects for review based on hot spot information. The process of selecting defects for review is generated based not only on hot spot information, but also other information available in the method. For example, the process of selecting defects for review is generated based on design data, one or more attributes of the defects, one or more bitmaps, and hot spot information. Preferably, the process of selecting defects for review is such that a defect detected at a hot spot or a specific type of defect, such as a systematic defect, is selected for review while being detected at a cold spot. And other types of defects, such as nuisance defects, are generated so that they are not selected for review. Thus, the methods described herein affect or affect yield while increasing the review process throughput by largely excluding defects from review samples that do not affect yield. A defect sample containing most of the possible defects can be output.

他の実施形態では、この方法は、上述のように少なくとも類似する設計データにより欠陥がビン範囲に従って分けられた後、物理的欠陥レビューのCDSEM、光学、又は他の形態及び分類又は検証に対するより「情報の多い」レビュー・サンプルを作成することを目的としてビン範囲によるグループ分けの結果を使用することを含む。このような一実施形態では、この方法は、x軸上のパターン・グループの識別記号とy軸上のそれぞれのパターン・グループで検出された欠陥の数を例示する上述のようなパターン・グループ・パレート図を生成することを含む。このように、この図は、異なるパターンで検出された欠陥の数を示す。しかし、異なるパターンで検出された欠陥の数を示す他のデータは、本明細書で説明されている方法ステップで使用される。本明細書で説明されている実施形態は、さらに、電気的、系統的、及び/又はランダムなパレート図を生成することを含む。   In other embodiments, the method is more effective than CDSEM, optical, or other forms and classification or verification of physical defect review after defects are separated according to bin ranges by at least similar design data as described above. Including using binning grouping results for the purpose of creating “informative” review samples. In one such embodiment, the method includes pattern group identification as described above that illustrates the pattern group identification on the x-axis and the number of defects detected in each pattern group on the y-axis. Generating a Pareto chart. Thus, this figure shows the number of defects detected in different patterns. However, other data indicating the number of defects detected in different patterns is used in the method steps described herein. The embodiments described herein further include generating electrical, systematic, and / or random Pareto diagrams.

この方法は、この図に例示されている異なるパターンの1つ又は複数についてデータを分析してそれぞれのパターン・タイプで検出された1つ又は複数の物理的欠陥タイプを決定することを含む。パターン・グループにおいて複数の欠陥タイプが検出される。この方法は、さらに、この図に例示されている異なる空間シグネチャの1つ又は複数についてデータを分析して、ビン範囲に従って1つ又は複数の異なるシグネチャに対応する1つ又は複数のグループに分けられた欠陥の1つ又は複数の属性を決定することを含む。(複数の)欠陥属性は、限定はしないが、サイズやダイ配置(又はダイ識別記号)だけでなく当業界で知られている他の属性を含む。ダイ配置は、パターンが、エッジ、中心、3時方向の位置などのウェハの特定の配置、ゾーン、又は領域においてより高い出現頻度を有するかどうかを示す。   The method includes analyzing data for one or more of the different patterns illustrated in this figure to determine one or more physical defect types detected in each pattern type. Multiple defect types are detected in the pattern group. The method is further divided into one or more groups corresponding to one or more different signatures according to bin ranges by analyzing the data for one or more of the different spatial signatures illustrated in this figure. Determining one or more attributes of the defect. The defect attribute (s) include, but are not limited to, size and die placement (or die identification symbol) as well as other attributes known in the art. The die placement indicates whether the pattern has a higher appearance frequency in a particular placement, zone, or region of the wafer, such as edge, center, 3 o'clock position.

欠陥サンプリング・プランは、上述の分析ステップの結果から決定される。例えば、この方法は、強い信号が上述の分析ステップから発せられるかどうかを決定することを含む。この強い信号は、より高い割合で、又は低い割合で、どの欠陥(例えば、分析ステップにより決定されるどのパターン、またどの欠陥タイプ及び/又は属性)をサンプリングすべきかを示す。上述のサンプリング・プランは、電子ビーム・ベースのレビュー・システムや原子間力顕微鏡(AFM)又は走査型プローブ顕微鏡ベースのレビュー・システムなどの他の何らかの方法では比較的低速なレビュー・システムのスループットを高めるため特に有用である場合がある。   A defect sampling plan is determined from the results of the analysis steps described above. For example, the method includes determining whether a strong signal is emitted from the analysis step described above. This strong signal indicates which defects (eg, which pattern and which defect type and / or attribute are determined by the analysis step) should be sampled at a higher or lower rate. The sampling plan described above can reduce the throughput of review systems that are relatively slow in some other methods, such as electron beam based review systems, atomic force microscopes (AFM), or scanning probe microscope based review systems. May be particularly useful for enhancing.

本明細書で説明されている方法は、さらに、レビュー・レシピーを最適化するために使用される。例えば、一実施形態では、この方法は、ホット・スポット情報及び適宜の方法で利用可能な他の情報に基づいてウェハ上の欠陥をレビューするプロセスを変更することを含む。この情報に基づいて変更又は選択されるレビュー・レシピーのパラメータは、レビュー・プロセスのデータ収集パラメータとデータ処理パラメータを含む。この方法は、さらに、欠陥をレビューするために使用されるべきレビュー・システムのタイプ(例えば、光学又は電子ビーム)や欠陥をレビューするために使用されるべきレビュー・システムの製造とモデルなどの、レビュー・プロセスの追加のパラメータを選択することを含む。   The methods described herein are further used to optimize review recipes. For example, in one embodiment, the method includes modifying the process for reviewing defects on the wafer based on hot spot information and other information available in an appropriate manner. Review recipe parameters that are modified or selected based on this information include review process data collection parameters and data processing parameters. This method further includes the type of review system to be used to review the defects (e.g., optical or electron beam) and the manufacture and model of the review system to be used to review the defects, Including selecting additional parameters for the review process.

この方法は、さらに、レビューが実行されるべきウェハ上の配置を決定するのを支援するために使用される情報をレビュー・システムに供給することを含む。例えば、レビューされる欠陥の位置は、設計データ空間、ダイ空間、及び/又はウェハ空間においてレビュー・システムに報告される。それに加えて、欠陥及び/又は欠陥位置に関する他の情報をレビュー・システムに送ってもよい。例えば、欠陥位置に対応する設計データの部分に加えてインライン検査により生成される欠陥のイメージ又はオーバーレイをレビュー・システムに供給する。このように、レビュー・システムは、この情報の一部又は全部を使用して、レビュー時にウェハ上の選択された欠陥の配置を見つけることができる。それに加えて、本明細書で説明されている1つ又は複数の方法の1つ又は複数のステップの結果を、レビュー・システムに送ることができ、これにより、レビュー・システムはそれらの結果を使用して、エッジ配置誤差に基づいて自動欠陥位置特定(ADL)を実行する。さらに、この方法は、検査の結果と系統的識別記号に基づいてレビューのため測定又は試験する場所を決定することを含む(恐らくは歩留まり関連性及び/又はプロセス・ウィンドウ・マッピングを使用して)。レビューは、さらに、本明細書に全体が述べられていかのように参照により組み込まれている、2006年4月20日に米国特許出願公開第2006/0082763号として公開された2005年10月12日に出願された同一出願人による米国特許出願第11/249,144号においてTehらにより開示されているような方法やシステムを使用して実行される、ユーザー支援レビューを含む。したがって、ビン範囲によるグループ分けの方法(及び分類を本明細書でさらに説明されている欠陥に割り当てる方法)の使用事例は、系統的発見及びユーザー支援レビューを含む。   The method further includes providing information to the review system that is used to assist in determining the placement on the wafer on which the review is to be performed. For example, the location of the defect being reviewed is reported to the review system in design data space, die space, and / or wafer space. In addition, other information regarding defects and / or defect locations may be sent to the review system. For example, in addition to the portion of the design data corresponding to the defect location, an image or overlay of the defect generated by in-line inspection is supplied to the review system. In this way, the review system can use some or all of this information to find the placement of selected defects on the wafer during review. In addition, the results of one or more steps of one or more methods described herein can be sent to the review system so that the review system can use those results. Then, automatic defect position identification (ADL) is executed based on the edge placement error. In addition, the method includes determining where to measure or test for review based on the results of the test and systematic identification (possibly using yield association and / or process window mapping). The review is further published on Oct. 20, 2005, published as US Patent Application Publication No. 2006/0082763 on April 20, 2006, which is incorporated by reference as if set forth in its entirety herein. Includes user-assisted review performed using methods and systems such as those disclosed by Teh et al. In commonly-assigned US patent application Ser. No. 11 / 249,144. Thus, use cases for bin range grouping methods (and methods for assigning classifications to defects further described herein) include systematic discovery and user-assisted review.

一実施形態では、この方法は、ビン範囲によるグループ分けステップの結果に基づいてウェハの計量プロセスを変更することを含む。例えば、計量プロセスは、ビン範囲によるグループ分けステップの結果から判定されるような最もクリティカルな欠陥が計量プロセスにおいて測定されるように変更される。したがって、計量プロセスを変更することは、計量プロセスにおいて測定が実行されるウェハ上の配置を変更することを含む。それに加えて、測定のため選択された欠陥のBFイメージ及び/又はSEMイメージなどの検査及び/又はレビューの結果を計量システムに送ることで、それらの結果を使用して、測定が実行される場所を決定することができる。例えば、計量プロセスは、ウェハ上の欠陥の近似的配置のイメージを生成することを含み、このイメージを欠陥に対する検査及び/又はレビューの結果と比較することで、計量システムは、必要ならば、正しいウェハ配置で、したがって正しい欠陥について測定が実行されるようにウェハ上の位置を補正する。このように、測定は、ウェハ上の実質的に正確な配置で実行される。計量プロセスを変更することは、さらに、実行される測定の(複数の)タイプ、測定が実行される(複数の)波長、測定が実行される(複数の)角度など、又はそれらの何らかの組合せなどの計量プロセスの他の1つ又は複数のパラメータを変更することを含む。計量プロセスは、CD測定計量プロセスなどの当業界で知られている好適な計量プロセスを含む。   In one embodiment, the method includes altering the wafer weighing process based on the result of the bin range grouping step. For example, the weighing process is modified so that the most critical defects as determined from the result of the binning grouping step are measured in the weighing process. Thus, changing the metrology process includes changing the arrangement on the wafer where measurements are performed in the metrology process. In addition, by sending inspection and / or review results, such as a BF image and / or SEM image of the defect selected for measurement, to the metrology system, the results are used to perform the measurement. Can be determined. For example, the metrology process includes generating an image of an approximate placement of defects on the wafer, and comparing this image with the results of inspection and / or review for defects, the metrology system is correct if necessary. The position on the wafer is corrected so that measurements are performed on the wafer placement and thus for the correct defects. Thus, the measurement is performed with a substantially accurate placement on the wafer. Changing the weighing process may further include the type (s) of measurement to be performed, the wavelength (s) at which the measurement is performed, the angle (s) at which the measurement is performed, or some combination thereof Changing one or more other parameters of the metering process. The metering process includes any suitable metering process known in the art, such as a CD measurement metering process.

他の実施形態では、この方法は、ビン範囲によるグループ分けステップの結果に基づいてウェハに対する計量プロセスのサンプリング・プランを変更することを含む。したがって、この方法は適応サンプリングを含む。例えば、計量プロセスのサンプリング・プランは、ビン範囲によるグループ分けステップの結果から判定されるような最もクリティカルな、より多くの欠陥が計量プロセスにおいて測定されるように変更される。このように、最もクリティカルな欠陥は、計量プロセスにおいてより大量にサンプリングされ、これにより、最もクリティカルな欠陥に関するより多くの情報を有利に出力することができる。計量プロセスは、当業界で知られている計量プロセスを含む。それに加えて、計量プロセスは、SEMなどの当業界で知られている好適な計量システムを含む。さらに、計量プロセスは、プロファイル、厚さ、CDなどのウェハ上に形成される欠陥又はフィーチャの好適な属性の当業界で知られている好適な測定を実行することを含む。   In another embodiment, the method includes changing the sampling plan of the metrology process for the wafer based on the result of the grouping step by bin range. The method thus includes adaptive sampling. For example, the sampling plan for the weighing process is modified so that the most critical, more defects as determined from the result of the bin range grouping step are measured in the weighing process. In this way, the most critical defects are sampled more in the weighing process, which can advantageously output more information about the most critical defects. The metering process includes a metering process known in the art. In addition, the metering process includes a suitable metering system known in the art, such as SEM. In addition, the metrology process includes performing suitable measurements known in the art of suitable attributes of defects or features formed on the wafer, such as profile, thickness, CD, and the like.

同様にして、この方法は、ホット・スポット情報や、適宜の方法で利用可能な他の情報に基づいて欠陥を分析するか(例えば、計量若しくは組成分析)、又はウェハ上の欠陥を修復するためのプロセスを変更することを含む。例えば、この方法は、欠陥の組成を分析する電子分散型X線分光法(EDS又はEDX)などのプロセス又は欠陥修復又はFAのFIBプロセスを変更することを含む。欠陥を分析又は修復するプロセスは、他のプロセスを変更することに関して本明細書で説明されているように変更される。例えば、分析又は修復プロセスは、選択された欠陥の配置においてのみ実行されるように変更されるが、これは本明細書で説明されているように選択される。それに加えて、分析又は修復プロセスの1つ又は複数のパラメータは、本明細書で説明されている(複数の)方法の(複数の)ステップの他の結果に基づいて選択され、変更される。このような結果は、例えば、欠陥分類、欠陥根本原因、欠陥サイズ、欠陥クリティカル度(分析及び/又は修復が実行される際の精度を示す)、歩留まり影響、分析及び/又は修復が実行される場合を示す欠陥に近接する設計データの1つ又は複数の属性(フィーチャの寸法、フィーチャの密度、階層、冗長性など)、さらに分析及び/又は修復が実行される際の精度などを含む。計量ツール用のレシピーを生成する方法及びシステムの追加の例は、本明細書において全体が説明されているかのように参照により組み込まれる、McGheeらの米国特許第6,581,193号において例示されている。本明細書で説明されている方法及びシステムは、本特許において説明されている追加の(複数の)ステップを実行するように構成される。   Similarly, this method can analyze defects based on hot spot information or other information available in an appropriate manner (eg, metrology or composition analysis), or repair defects on the wafer. Including changing the process. For example, the method includes modifying processes such as electron dispersive X-ray spectroscopy (EDS or EDX) to analyze the composition of defects or defect repair or FIB processes of FA. The process of analyzing or repairing defects is modified as described herein with respect to modifying other processes. For example, the analysis or repair process is modified to be performed only on selected defect placements, which are selected as described herein. In addition, one or more parameters of the analysis or repair process are selected and changed based on other results of the step (s) of the method (s) described herein. Such results can include, for example, defect classification, defect root cause, defect size, defect criticality (indicating accuracy when analysis and / or repair is performed), yield impact, analysis and / or repair. One or more attributes of the design data in proximity to the indicating defect (feature dimensions, feature density, hierarchy, redundancy, etc.), as well as the accuracy with which analysis and / or repair is performed. Additional examples of methods and systems for generating recipes for metering tools are illustrated in McGhee et al. US Pat. No. 6,581,193, which is incorporated by reference as if described in full herein. ing. The methods and systems described herein are configured to perform the additional step (s) described in this patent.

いくつかの実施形態では、この方法は、設計データの1つ又は複数の属性に基づいて欠陥の根本原因を突き止めることを含む。他の実施形態では、この方法は、欠陥がビン範囲に従って分けられた1つ又は複数のグループの根本原因を突き止めることを含む。例えば、一実施形態では、この方法は、1つ又は複数のグループの中の欠陥の少なくともいくつかのレビューの結果、設計データの1つ又は複数の属性、欠陥の1つ又は複数の属性、又はそれらの何らかの組合せに基づいて欠陥のグループの1つ又は複数の根本原因を突き止めることを含む。このように、この方法は、欠陥の根本原因を、個別に又はまとめて1つのグループとして決定することを含む。欠陥又は欠陥のグループの根本原因は、例えば、欠陥の組成を測定することにより欠陥を分析するために使用されるEDSシステムなどの診断システムから得られる分析結果に基づいて決定される。このようなEDSシステムの一例は、本明細書に全体が説明されているかのように参照により組み込まれる、Wangらの米国特許第6,777,676号において例示されている。   In some embodiments, the method includes locating the root cause of the defect based on one or more attributes of the design data. In other embodiments, the method includes locating the root cause of one or more groups in which the defects are separated according to bin ranges. For example, in one embodiment, the method may result from at least some review of defects in one or more groups, one or more attributes of design data, one or more attributes of defects, or Locating one or more root causes of the group of defects based on some combination thereof. Thus, the method includes determining the root cause of defects individually or collectively as a group. The root cause of a defect or group of defects is determined based on analysis results obtained from a diagnostic system such as, for example, an EDS system used to analyze the defect by measuring the defect composition. An example of such an EDS system is illustrated in Wang et al., US Pat. No. 6,777,676, which is incorporated by reference as if fully set forth herein.

根本原因フェーズは、系統的欠陥のソース、原因、及び/又は補正を識別することを含む。根本原因フェーズは、設計空間、ウェハ空間、レチクル空間、試験空間、プロセス空間の間の相関を使用してマルチソース空間において実行される。例えば、一実施形態では、この方法は、1つ又は複数のグループにおける欠陥の少なくともいくつかを実験プロセス・ウィンドウの結果にマッピングすることにより欠陥のグループの1つ又は複数の根本原因を突き止めることを含む。実験プロセス・ウィンドウの結果は、その方法、他の方法、その方法を実行するように構成されているシステム、又はその方法を実行するように構成されているシステム以外のシステムにより生成される。それに加えて、PWQ法又は他の好適な実験を使用し(例えば、1つ又は複数の異なるパラメータとともに異なるウェハ上でエッチング・プロセスを実行する)、PWQ法又は他の実験の後にウェハ上で欠陥を検出することで、実験プロセス・ウィンドウの結果を取り込むことができる。実験プロセス・ウィンドウの結果は、検査及び/又はウェハ上で検出された欠陥のレビューにより取り込まれた結果を含む。例えば、実験プロセス・ウィンドウの結果は、欠陥のイメージ、設計データ空間における欠陥の位置に近接する設計データの部分、本明細書で説明されているように決定される、設計データ空間における欠陥の位置、又は本明細書で説明されている他の検査及び/又は欠陥レビュー結果を含む。   The root cause phase includes identifying the source, cause, and / or correction of systematic defects. The root cause phase is performed in multi-source space using the correlation between design space, wafer space, reticle space, test space, process space. For example, in one embodiment, the method includes locating one or more root causes of a group of defects by mapping at least some of the defects in the one or more groups to the results of an experimental process window. Including. Experimental process window results are generated by the method, other methods, systems configured to perform the methods, or systems other than systems configured to perform the methods. In addition, the PWQ method or other suitable experiment is used (eg, performing an etching process on different wafers with one or more different parameters) and defects on the wafer after the PWQ method or other experiment Can be used to capture the results of the experimental process window. The results of the experimental process window include results captured by inspection and / or review of defects detected on the wafer. For example, the results of the experimental process window may include the image of the defect, the portion of the design data proximate to the position of the defect in the design data space, the position of the defect in the design data space determined as described herein. Or other inspection and / or defect review results described herein.

欠陥の少なくともいくつかを実験プロセス・ウィンドウの結果にマッピングすることは、検査プロセスの結果を使用して実行される。例えば、実験プロセス・ウィンドウの結果が設計データ空間における欠陥の位置に近接する設計データの部分やウェハ上の欠陥のイメージを含む場合、欠陥を実験プロセス・ウィンドウの結果にマッピングすることは、ビン範囲に従うグループのうちの1つ又は複数に分けられた欠陥のイメージを設計データ空間においてビン範囲に従って分けられた欠陥の位置に近接する設計データに少なくとも類似している設計データに近接して検出された欠陥に対する実験プロセス・ウィンドウの結果におけるイメージと比較することを含む。他の例では、実験プロセス・ウィンドウの結果が設計データ空間における欠陥の位置を含む場合、ビン範囲に従って分けられた欠陥をこの実施形態における実験プロセス・ウィンドウの結果にマッピングすることは、実験プロセス・ウィンドウの結果における設計データ空間内の欠陥の位置を設計データ空間におけるビン範囲に従って分けられた欠陥の位置と比較することを含む。   Mapping at least some of the defects to the results of the experimental process window is performed using the results of the inspection process. For example, if the experimental process window results include a portion of the design data close to the position of the defect in the design data space or an image of the defect on the wafer, mapping the defect to the experimental process window result is a bin range. An image of a defect divided into one or more of the groups according to is detected in the design data space close to design data that is at least similar to the design data close to the position of the defect divided according to the bin range Comparing with the image in the result of the experimental process window for the defect. In another example, if the experimental process window result includes the position of the defect in the design data space, mapping the defects separated according to the bin range to the experimental process window result in this embodiment is Comparing the position of the defect in the design data space in the window result with the position of the defect divided according to the bin range in the design data space.

このように、マッピングするステップの結果は、プロセス・ウィンドウ空間において、欠陥の検出に先立ってウェハ上で実行されたプロセスが実行された場所を示す。特に、マッピングの結果が、ビン範囲に従って分けられた欠陥と実験プロセス・ウィンドウの結果に含まれている欠陥とが少なくとも類似しており、少なくとも類似する設計データに近接して配置されていることを示している場合、実験プロセス・ウィンドウの結果内に含まれている欠陥が検出されたプロセス・ウィンドウ内の1つ又は複数のパラメータの値が、ビン範囲に従って分けられた欠陥と相関し、ビン範囲に従って分けられた欠陥の根本原因として決定されるか、又はビン範囲に従って分けられた欠陥の根本原因を突き止めるために使用される。   Thus, the result of the mapping step indicates where in the process window space the process performed on the wafer prior to the detection of the defect was performed. In particular, the mapping results show that the defects separated according to the bin range and the defects included in the results of the experimental process window are at least similar and are located at least close to similar design data. If indicated, the value of one or more parameters in the process window in which defects included in the results of the experimental process window were detected correlates with the defects separated according to the bin range, and the bin range As the root cause of the defects divided according to or used to locate the root cause of the defects divided according to the bin range.

他の実施形態では、この方法は、1つ又は複数のグループにおける欠陥の少なくともいくつかをシミュレートされたプロセス・ウィンドウの結果にマッピングすることにより欠陥のグループの1つ又は複数の根本原因を突き止めることを含む。シミュレートされたプロセス・ウィンドウの結果は、上述の実験プロセス・ウィンドウの結果に類似する結果を含む。ただし、物理的ウェハ上で実験を実行するのではなく、プロセスの1つ又は複数のパラメータのさまざまな値でウェハ上に設計データをどのように印刷するかを示すイメージをシミュレートすることにより、シミュレートされたプロセス・ウィンドウの結果を取り込む。プロセスは、設計データに対応するデバイスの加工に含むプロセスを含む。例えば、この実施形態は、系統的欠陥配置に関するパターニング・プロセス(例えば、リソグラフィ又はエッチング)をモデル化することを含み、またそのようなモデル化の結果を使用して、系統的欠陥の根本原因を突き止めることができる。シミュレートされたプロセス・ウィンドウの結果は、当業界で知られている好適な方法又はシステムを使用して生成される。例えば、KLA−Tencorから市販されているPROLITHソフトウェアにより、シミュレートされたプロセス・ウィンドウの結果を生成することができる。それに加えて、シミュレートされたプロセス・ウィンドウの結果は、その方法、他の方法、その方法を実行するように構成されているシステム、又はその方法を実行するように構成されているシステム以外のシステムにより生成される。この実施形態において根本原因を突き止めることは、実験プロセス・ウィンドウの結果に関して上述のように実行される。   In other embodiments, the method locates one or more root causes of a group of defects by mapping at least some of the defects in the one or more groups to the result of a simulated process window. Including that. The simulated process window results include results similar to the experimental process window results described above. However, rather than performing experiments on a physical wafer, by simulating an image that shows how design data is printed on a wafer with various values of one or more parameters of the process, Capture the results of a simulated process window. The process includes a process included in processing a device corresponding to the design data. For example, this embodiment includes modeling a patterning process (eg, lithography or etching) for systematic defect placement and uses the results of such modeling to determine the root cause of systematic defects. I can find out. The simulated process window results are generated using any suitable method or system known in the art. For example, PROLITH software commercially available from KLA-Tencor can generate simulated process window results. In addition, the result of the simulated process window is the result of the method, other methods, systems configured to perform the method, or systems other than systems configured to perform the method. Generated by the system. Locating the root cause in this embodiment is performed as described above with respect to the results of the experimental process window.

根本原因フェーズは、系統的欠陥のソース及び/又は補正を決定することを含む。系統的欠陥に対する可能な1つのソースは、プロセス・ウィンドウ・シフトである。それに加えて、ホット・スポット・シグネチャに関する知識から、プロセス・ウィンドウ内でプロセスが動作している場所に関する情報が得られる。根本原因フェーズは、さらに、プロセス・ウィンドウを拡大するようにプロセスを改善する最も有意な機会を決定することを含む。さらに、根本原因フェーズは、レチクル設計を改善するうえで最も有意な系統的問題を決定することを含む。根本原因フェーズは、さらに、次世代技術を改善し、及び/又は実装するうえで最も有意な系統的問題を決定することを含む。   The root cause phase includes determining the source and / or correction of systematic defects. One possible source for systematic defects is process window shift. In addition, knowledge of the hot spot signature provides information about where the process is operating within the process window. The root cause phase further includes determining the most significant opportunity to improve the process to expand the process window. In addition, the root cause phase involves determining the most significant systematic issues in improving reticle design. The root cause phase further includes determining the most significant systematic issues in improving and / or implementing next generation technology.

いくつかの実施形態では、この方法は、欠陥のグループの1つ又は複数の影響を受けるウェハ上に形成されるダイの割合を決定することを含む。例えば、グループ内の欠陥が少なくとも1回検出されたウェハ上の検出されたダイの数を決定し、グループ内の欠陥が少なくとも1回検出された検査されたダイの数を検査されたダイの総数で除算することにより、割合を決定することができる。グループ内の欠陥が少なくとも1回検出されたウェハ上の検出されたダイの数は、欠陥の設計データ空間位置、ウェハ上で印刷されたダイの設計データ空間位置、欠陥を検出するために使用される検査プロセスに関する情報に基づいて決定される。これらのステップの結果に100を掛けて、この割合を得ることができる。特定の一例では、ビン範囲に従って1つのグループに分けられた欠陥が300ある場合、このグループ内の欠陥は、ウェハ上の5つのダイに配置され、ウェハ上に6000個のダイがあり、割合は、[(5)(100)]/(6000)又は0.083%として決定される。したがって、この割合は、欠陥のグループに対するダイ影響限界性を反映する。このような割合は、欠陥の複数のグループについて決定され、これらの割合のそれぞれ(又は少なくともいくつか)は、この方法により生成される棒グラフなどのチャート内に表示される。したがって、このチャートは、欠陥がビン範囲に従って分けられたグループに応じて変わるダイ影響限界性を示している。このようなチャートは、本明細書でさらに説明されているように構成される、ユーザー・インターフェイスに表示される。この方法は、さらに、この実施形態において決定された割合に基づいて欠陥の1つ又は複数のグループを優先順位付けすることを含む。このような優先順位付けは、本明細書でさらに説明されているように実行され、そのような優先順位付けの結果は、本明細書でさらに説明されているように使用される。   In some embodiments, the method includes determining the percentage of dies that are formed on one or more affected wafers of the group of defects. For example, determining the number of detected dies on a wafer in which a defect in the group is detected at least once, and determining the number of inspected dies in which the defect in the group is detected at least once. The ratio can be determined by dividing by. The number of detected dies on the wafer in which a defect in the group is detected at least once is used to detect the design data space position of the defect, the design data space position of the die printed on the wafer, and the defect. Determined based on information about the inspection process. The result of these steps can be multiplied by 100 to get this percentage. In one specific example, if there are 300 defects grouped according to bin range, the defects in this group are placed on 5 dies on the wafer, there are 6000 dies on the wafer, and the percentage is , [(5) (100)] / (6000) or 0.083%. Thus, this percentage reflects the die impact limit for groups of defects. Such percentages are determined for multiple groups of defects, and each (or at least some) of these percentages is displayed in a chart such as a bar graph generated by the method. The chart thus shows the die impact limit that varies depending on the group in which the defects are divided according to the bin range. Such a chart is displayed in a user interface that is configured as described further herein. The method further includes prioritizing one or more groups of defects based on the percentage determined in this embodiment. Such prioritization is performed as described further herein, and the results of such prioritization are used as further described herein.

他の実施形態では、この方法は、グループの少なくとも1つに対応する設計データにおける1つ又は複数のPOIを決定することと、ビン範囲に従って1つ又は複数のPOIに対応するグループの少なくとも1つに分けられた欠陥の数とウェハ上の1つ又は複数のPOIの配置の数との比を決定することとを含む。これらのグループのうちの少なくとも1つに対応する設計データにおける1つ又は複数のPOIは、本明細書で説明されているように決定される。ウェハ上の1つ又は複数のPOIのすべてのインスタンスが、欠陥を検出するために使用される検査プロセスで検査されない場合、この実施形態で使用されるウェハ上の1つ又は複数のPOIの配置の数は、ウェハ上の1つ又は複数のPOIの検査された配置の数である。このように、この方法は、ウェハ上で印刷されたPOIの配置の数(又はウェハ上のPOIの検査された配置の数)と比較した欠陥がウェハ上で検出されたPOIの比又は割合を決定することにより限界性分析を実行することを含む。このような実施形態では、ウェハ上のPOIの配置の数は、任意のパターン探索により識別される。それに加えて、ウェハ上のPOIの検査された配置の数は、任意のパターン探索により、また任意のパターン探索の結果や検査プロセスに関する情報を使用して識別され、これによりウェハ上のPOIの検査された配置の数を決定することができる。それに加えて、本明細書で説明されている方法は、ウェハ上のPOIの配置を識別し、POIの面積を決定する任意のパターン探索を含む。次いで、POIの面積とウェハ上のPOIの配置の数(又はウェハ上のPOIの検査された配置の数)を使用して、POIによる欠陥密度を決定する。この方法は、さらに、この実施形態において決定された比に基づいて1つ又は複数のPOIを優先順位付けすることを含む。このような優先順位付けは、本明細書でさらに説明されているように実行され、そのような優先順位付けの結果は、本明細書で説明されているように使用される。   In other embodiments, the method includes determining one or more POIs in the design data corresponding to at least one of the groups and at least one of the groups corresponding to the one or more POIs according to the bin range. Determining the ratio of the number of defects divided into the number of one or more POI placements on the wafer. One or more POIs in the design data corresponding to at least one of these groups are determined as described herein. If all instances of one or more POIs on the wafer are not inspected by the inspection process used to detect defects, the placement of one or more POIs on the wafer used in this embodiment The number is the number of inspected placements of one or more POIs on the wafer. Thus, this method calculates the ratio or percentage of POIs in which defects were detected on the wafer compared to the number of POI arrangements printed on the wafer (or the number of inspected arrangements of POI on the wafer). Including performing a marginal analysis by determining. In such an embodiment, the number of POI placements on the wafer is identified by an arbitrary pattern search. In addition, the number of POI inspected locations on the wafer is identified by any pattern search and using information about the results of any pattern search and the inspection process, thereby inspecting the POI on the wafer. The number of placements made can be determined. In addition, the methods described herein include an optional pattern search that identifies the placement of the POI on the wafer and determines the area of the POI. The POI defect density is then determined using the area of the POI and the number of POI placements on the wafer (or the number of POI placements tested on the wafer). The method further includes prioritizing the one or more POIs based on the ratio determined in this embodiment. Such prioritization is performed as described further herein, and the results of such prioritization are used as described herein.

追加の実施形態では、この方法は、グループの少なくとも1つに対応する設計データにおける1つ又は複数のPOIを決定することと、ビン範囲に従って1つ又は複数のPOIに対応するグループの少なくとも1つに分けられた欠陥の数と設計データにおける1つ又は複数のPOIの配置の数(又は欠陥を検出するために使用される検査プロセス実行中に設計データにおける1つ又は複数のPOIのすべての配置が検査されるわけではない場合に設計データにおける1つ又は複数のPOIの検査された配置の数)との比を決定することとを含む。このように、この方法は、設計におけるPOIの配置の数(又は設計におけるPOIの検査された配置の数)と比較したPOIに対応するグループ内の欠陥の数の比又は割合を決定することにより限界性分析を実行することを含む。このような実施形態では、設計データにおけるPOIの配置の数は、任意のパターン探索により識別される。それに加えて、設計データにおけるPOIの検査された配置の数は、上述のように決定される。本明細書でさらに説明されているように、グループの少なくとも1つに対応する1つ又は複数のPOIを決定することができる。この方法は、さらに、この実施形態において決定された比に基づいてPOIの1つ又は複数を優先順位付けすることを含む。このような優先順位付けは、本明細書でさらに説明されているように実行され、そのような優先順位付けの結果は、本明細書で説明されているように使用される。   In an additional embodiment, the method includes determining one or more POIs in the design data corresponding to at least one of the groups and at least one of the groups corresponding to the one or more POIs according to the bin range. The number of defects and the number of one or more POI placements in the design data (or all placements of one or more POIs in the design data during the execution of the inspection process used to detect the defects) Determining the ratio to the number of tested placements of one or more POIs in the design data. Thus, the method determines the ratio or percentage of the number of defects in a group corresponding to the POI compared to the number of POI placements in the design (or the number of POI placements examined in the design). Including performing a marginal analysis. In such an embodiment, the number of POI arrangements in the design data is identified by an arbitrary pattern search. In addition, the number of POI examined locations in the design data is determined as described above. As described further herein, one or more POIs corresponding to at least one of the groups can be determined. The method further includes prioritizing one or more of the POIs based on the ratio determined in this embodiment. Such prioritization is performed as described further herein, and the results of such prioritization are used as described herein.

他の実施形態では、この方法は、グループの少なくとも1つに対応する設計データにおけるPOIを決定することと、ビン範囲に従ってグループの少なくとも1つに分けられた欠陥が配置されているウェハ上に形成されたダイの割合を決定することと、その割合に基づいてPOIに優先度を割り当てることとを含む。このように、この方法は、欠陥の影響を受けるダイの割合に基づいて限界性分析を実行することを含む。例えば、ビン範囲によるグループ分けられた欠陥の数は、ウェハ上で設計データを印刷するために使用されるレチクル上のPOIの設計インスタンスの数とレチクルがウェハ上に印刷される回数とで除算される。このステップの結果に100を掛けて、この割合を得ることができる。特定の例では、ビン範囲によるグループに分けられた欠陥が300個ある場合、POIの2000個の設計インスタンスはレチクル上のグループに対応し、レチクルは、ウェハ上に1000回印刷され、ビン範囲によるグループ分けられた欠陥が配置されるウェハ上に形成されるダイの割合は、[(300)(100)]/[(2000)(1000)]又は0.015%に等しく、これは、本質的に欠陥のこのグループに対するウェハ・ベースの限界性である。   In another embodiment, the method determines a POI in design data corresponding to at least one of the groups and forms on the wafer where the defects divided into at least one of the groups according to the bin range are located. Determining a percentage of the die that has been made and assigning a priority to the POI based on the percentage. Thus, the method includes performing a criticality analysis based on the percentage of dies affected by the defect. For example, the number of defects grouped by bin range is divided by the number of POI design instances on the reticle used to print design data on the wafer and the number of times the reticle is printed on the wafer. The The result of this step can be multiplied by 100 to obtain this percentage. In a specific example, if there are 300 defects grouped by bin range, the 2000 design instances of POI correspond to groups on the reticle, and the reticle is printed 1000 times on the wafer, depending on the bin range. The percentage of dies formed on the wafer where the grouped defects are placed is equal to [(300) (100)] / [(2000) (1000)] or 0.015%, which is essentially There is a wafer-based limit to this group of defects.

このように、この方法は、欠陥が少なくとも1回検出されたウェハ上の検査されたダイの数により系統的欠陥を優先順位付けすることを含む。例えば、ダイにおけるPOIの設計インスタンスの1%に対してダイにおけるPOIの設計インスタンスの10%で系統的欠陥が出現した場合により高い優先度をPOIに割り当てることができる。他の例では、ウェハ上で欠陥が検出されたダイが多い場合の欠陥のグループには、ウェハ上で欠陥が検出されたダイが少ない場合の欠陥のグループに比べて高い優先度を割り当てることができる。それに加えて、この方法は、ビン範囲に従って異なるグループに分けられた欠陥が配置されているウェハ上に形成されたダイの割合を示す棒グラフなどのチャートを生成することを含む。したがって、このようなチャートは、欠陥の異なるグループに対するダイ・ベースの限界性をグラフで示す。このようなチャートは、本明細書で説明されているように構成される、ユーザー・インターフェイスに表示される。このような優先順位付けの結果は、本明細書で説明されているように使用される。   Thus, the method includes prioritizing systematic defects by the number of inspected dies on the wafer where the defects have been detected at least once. For example, a higher priority can be assigned to a POI when a systematic defect appears in 10% of the POI design instances in the die versus 1% of the POI design instances in the die. In another example, a defect group with a high number of dies with defects detected on the wafer may be assigned a higher priority than a defect group with a low number of dies with defects detected on the wafer. it can. In addition, the method includes generating a chart, such as a bar graph, showing the percentage of dies formed on the wafer where the defects grouped in different groups according to the bin range are located. Thus, such a chart graphically illustrates the die base limit for different groups of defects. Such a chart is displayed on a user interface configured as described herein. Such prioritization results are used as described herein.

さらに他の実施形態では、この方法は、グループの1つ又は複数に含まれる欠陥が検出されるウェハ上で全設計インスタンスの数によりグループの1つ又は複数を優先順位付けすることを含む。この実施形態で使用されるウェハ上の全設計インスタンスの数は、ウェハ上の設計インスタンスのすべてが欠陥を検出するために使用される検査プロセスの実行時に検査されない場合にウェハ上の全検査設計インスタンスの数としてよい。このように、この方法は、ウェハ上の全設計インスタンスの数(又は全検査設計インスタンスの数)により知られている系統的欠陥を優先順位付けすることを含む。その際、この方法は、ウェハ・ベースの限界性に基づいて知られている系統的欠陥を優先順位付けすることを含む。例えば、ウェハ上で欠陥が検出された設計インスタンスの数が多い場合の欠陥のグループには、ウェハ上で欠陥が検出された設計インスタンスの数が少ない場合の欠陥のグループに比べて高い優先度を割り当てることができる。このような優先順位付けは、さらに、欠陥が検出されたウェハ上の設計インスタンス(又は検査された設計インスタンス)の配置の割合に基づいて実行される。例えば、検出され、ビン範囲によるグループに分けられた欠陥の数を、ウェハ上の設計インスタンスの総数(又は検査された設計インスタンスの総数)で除算することができる。このステップの結果に100を掛けて、上述の割合を得る。それに加えて、この方法は、欠陥の異なるグループが検出されたウェハ上の設計インスタンスの数(又は検査された設計インスタンスの数)を示す棒グラフなどのチャートを生成することを含む。このようなチャートは、本明細書で説明されているように構成される、ユーザー・インターフェイスに表示される。このような優先順位付けは、本明細書で説明されているようにさらに実行され、そのような優先順位付けの結果は、本明細書で説明されているように使用される。   In yet another embodiment, the method includes prioritizing one or more of the groups by the number of total design instances on a wafer in which a defect included in one or more of the groups is detected. The number of all design instances on the wafer used in this embodiment is the total number of design instances on the wafer if not all of the design instances on the wafer are inspected during the execution of the inspection process used to detect defects. The number of Thus, the method includes prioritizing systematic defects that are known by the number of all design instances on the wafer (or the number of all inspection design instances). In doing so, the method includes prioritizing known systematic defects based on wafer-based marginality. For example, a defect group with a large number of design instances with defects detected on the wafer has a higher priority than a defect group with a small number of design instances with defects detected on the wafer. Can be assigned. Such prioritization is further performed based on the percentage of placement of design instances (or inspected design instances) on the wafer where a defect is detected. For example, the number of defects detected and grouped by bin range can be divided by the total number of design instances on the wafer (or the total number of design instances inspected). Multiply the result of this step by 100 to obtain the above ratio. In addition, the method includes generating a chart, such as a bar graph, that indicates the number of design instances (or the number of design instances inspected) on the wafer in which different groups of defects were detected. Such a chart is displayed on a user interface configured as described herein. Such prioritization is further performed as described herein, and the results of such prioritization are used as described herein.

いくつかの実施形態では、この方法は、グループの1つ又は複数内で欠陥が少なくとも1回検出されたウェハ上に設計データを印刷するために使用される、レチクル上の設計インスタンスの数によりグループの1つ又は複数を優先順位付けすることを含む。この実施形態で使用されるレチクル上の設計インスタンスの数は、検査された設計インスタンスの数である。このように、この方法は、欠陥が少なくとも1回見つかったレチクル上の設計インスタンスの数により知られている系統的欠陥を優先順位付けすることを含む。例えば、レチクル上で欠陥が検出された設計インスタンスの数が多い場合の欠陥のグループには、レチクル上で欠陥が検出された設計インスタンスの数が少ない場合の欠陥のグループに比べて高い優先度が割り当てられる。それに加えて、この方法は、欠陥の異なるグループが検出されたレチクル上の設計インスタンスの数を示す棒グラフなどのチャートを生成することを含む。このようなチャートは、本明細書で説明されているように構成される、ユーザー・インターフェイスに表示される。このような優先順位付けは、本明細書で説明されているようにさらに実行される。それに加えて、このような優先順位付けの結果は、本明細書で説明されているように使用される。   In some embodiments, the method includes grouping according to the number of design instances on the reticle that are used to print design data on a wafer that has been detected at least once in one or more of the groups. Prioritizing one or more of the. The number of design instances on the reticle used in this embodiment is the number of design instances examined. Thus, the method includes prioritizing systematic defects that are known by the number of design instances on the reticle in which the defects are found at least once. For example, a defect group with a large number of design instances with defects detected on the reticle has a higher priority than a defect group with a small number of design instances with defects detected on the reticle. Assigned. In addition, the method includes generating a chart, such as a bar graph, that indicates the number of design instances on the reticle in which different groups of defects have been detected. Such a chart is displayed on a user interface configured as described herein. Such prioritization is further performed as described herein. In addition, such prioritization results are used as described herein.

他の実施形態では、この方法は、ビン範囲に従ってグループの1つ又は複数に分けられた欠陥が検出されたレチクル上の配置の数、及びビン範囲に従ったグループの1つ又は複数に分けられた欠陥の位置に近接する設計データの部分に少なくとも類似しているレチクル上に印刷される設計データの部分の総数に基づいてグループの1つ又は複数に対するレチクル・ベースの限界を決定することを含む。この実施形態で使用されるレチクル上の配置の数は、検査された配置の数を含む。例えば、レチクル・ベースの限界性は、グループ内の少なくとも1つの欠陥が検出された積層レチクル・マップにおける配置の数をレチクル上の設計インスタンスの総数で除算することにより決定される。このステップの結果に100を掛けて、欠陥が検出された、グループに対応する、設計インスタンスの配置の割合を求めることができる。特定の一例では、300個の欠陥がビン範囲に従って1つのグループに分けられる場合、レチクル上のそのグループに対応するPOIに対し2000個の設計インスタンスがあり、ビン範囲によるグループ分けられた欠陥は、レチクルにおける50個の配置で検出され(積層レチクル・マップから決定される)、欠陥のこのグループに対するレチクル・ベースの限界性は、[(50)(100)]/(2000)又は2.5%に等しい。それに加えて、この方法は、異なるグループ内の欠陥が検出された配置のレチクル・ベースの限界性又は割合を示す棒グラフなどのチャートを生成することを含む。このようなチャートは、本明細書でさらに説明されているように構成される、ユーザー・インターフェイスに表示される。この方法は、さらに、これらのグループの1つ又は複数について決定されたレチクル・ベースの限界性に基づいて欠陥のグループのうちの1つ又は複数を優先順位付けすることを含む。例えば、比較的高いレチクル・ベースの限界性を示すグループは、低いレチクル・ベースの限界性を示す欠陥のグループに比べて高い優先度が割り当てられる。このような優先順位付けは、本明細書で説明されているようにさらに実行され、そのような優先順位付けの結果は、本明細書で説明されているように使用される。   In other embodiments, the method is divided into one or more of the number of placements on the reticle in which defects detected in one or more of the groups according to the bin range were detected, and one or more of the groups according to the bin range. Determining a reticle-based limit for one or more of the groups based on the total number of portions of the design data printed on the reticle that are at least similar to the portion of the design data proximate to the location of the defect. . The number of placements on the reticle used in this embodiment includes the number of placements examined. For example, reticle-based marginality is determined by dividing the number of placements in the stacked reticle map where at least one defect in the group is detected by the total number of design instances on the reticle. The result of this step can be multiplied by 100 to determine the percentage of design instance placement corresponding to the group in which the defect was detected. In one particular example, if 300 defects are grouped according to the bin range, there are 2000 design instances for the POI corresponding to that group on the reticle, and the grouped defects by bin range are: Detected at 50 locations on the reticle (determined from the stacked reticle map), the reticle-based marginality for this group of defects is [(50) (100)] / (2000) or 2.5% be equivalent to. In addition, the method includes generating a chart, such as a bar graph, showing the reticle-based marginality or percentage of the arrangement in which defects in different groups were detected. Such a chart is displayed in a user interface that is configured as described further herein. The method further includes prioritizing one or more of the groups of defects based on the reticle-based marginality determined for one or more of these groups. For example, a group that exhibits a relatively high reticle-based marginality is assigned a higher priority than a group of defects that exhibit a low reticle-based marginality. Such prioritization is further performed as described herein, and the results of such prioritization are used as described herein.

上述の実施形態のステップは、上述のような欠陥のグループ、又はビン範囲によるグループ分けられた個々の欠陥について実行される。   The steps of the above-described embodiments are performed for a group of defects as described above, or for individual defects grouped by bin range.

上述の方法の実施形態はそれぞれ、本明細書で説明されている(複数の)方法の他の(複数の)ステップを含む。それに加えて、上述の方法の実施形態はそれぞれ、本明細書で説明されているシステムにより実行される。   Each of the method embodiments described above includes other step (s) of the method (s) described herein. In addition, each of the above-described method embodiments is performed by the system described herein.

上で詳細に説明されているように、ビン範囲に従って欠陥を分ける方法の実施形態は、DCIを決定することを含む。それに加えて、いくつかの方法は、ウェハ上で検出された1つ又は複数の欠陥についてDCIを決定することを含み、またウェハ上で検出された欠陥をビン範囲に従って分けることを含む場合もあれば、含まない場合もある。例えば、ウェハ上で検出された欠陥についてDCIを決定するコンピュータ実施方法の一実施形態は、欠陥がウェハに加工されているデバイスの1つ又は複数の電気的属性を変える確率を、設計データ空間における欠陥の位置に近接する、デバイスに対する設計データの1つ又は複数の属性に基づいて決定することを含む。欠陥がデバイスの1つ又は複数の電気的属性を変える確率は、欠陥がデバイスの1つ又は複数の電気的パラメータを変え、及び/又はそのデバイスのダイをだめにする確率としてよい。設計データの1つ又は複数の属性は、本明細書で説明されている(複数の)設計データ属性を含む。この確率は、さらに、欠陥の1つ又は複数の属性(例えば、欠陥サイズ)と組み合わせて設計データの1つ又は複数の属性に基づいて決定される。それに加えて、この確率は、欠陥の1つ又は複数の属性、欠陥を検出するために使用される検査システムにより報告される欠陥の配置や、検査システムの座標の不正確と組み合わせて設計データの(複数の)属性に基づいて決定される。   As described in detail above, an embodiment of a method for classifying defects according to bin ranges includes determining DCI. In addition, some methods include determining DCI for one or more defects detected on the wafer, and may include separating the defects detected on the wafer according to bin ranges. For example, it may not be included. For example, one embodiment of a computer-implemented method for determining DCI for defects detected on a wafer is to determine the probability that a defect will change one or more electrical attributes of a device being processed on the wafer in the design data space. Determining based on one or more attributes of design data for the device proximate to the location of the defect. The probability that a defect changes one or more electrical attributes of the device may be the probability that the defect changes one or more electrical parameters of the device and / or spoils the device die. The one or more attributes of the design data include the design data attribute (s) described herein. This probability is further determined based on one or more attributes of the design data in combination with one or more attributes (eg, defect size) of the defects. In addition, this probability is combined with one or more attributes of the defect, the placement of the defect reported by the inspection system used to detect the defect, and inaccuracies in the coordinates of the inspection system. Determined based on attribute (s).

特定の一例では、確率を決定することは、設計データにおける欠陥に対するクリティカル領域などの設計データの1つ又は複数の属性を決定することを含む。このように、クリティカル領域、報告された欠陥サイズ、報告された欠陥配置を使用して、欠陥がデバイスの1つ又は複数の電気的属性を変える確率を決定することができる。例えば、欠陥サイズが大きくなり、パターンの複雑度が増大すると、欠陥がデバイスの1つ又は複数の電気的属性を変える確率も高くなる。したがって、デバイスの1つ又は複数の電気的属性をだめにしたり変えたりする可能性を欠陥サイズとパターン複雑度の関数として記述する関係を使用して、それぞれのウェハ上のそれぞれの欠陥の相対的リスクを決定することができる。   In one particular example, determining the probability includes determining one or more attributes of the design data, such as a critical area for defects in the design data. In this way, the critical area, reported defect size, reported defect placement can be used to determine the probability that a defect will change one or more electrical attributes of the device. For example, as the defect size increases and the pattern complexity increases, the probability that the defect will change one or more electrical attributes of the device also increases. Thus, using a relationship describing the possibility of spoiling or changing one or more electrical attributes of the device as a function of defect size and pattern complexity, the relative of each defect on each wafer Risk can be determined.

他の例では、欠陥がデバイスの1つ又は複数の電気的属性を変えるかどうかを判定するために、設計データ空間における欠陥の位置に近接する設計データと、設計データにおける欠陥の位置の確率と、モデルへの入力としての欠陥サイズとを使用して、その確率を決定する。このように、この確率は、欠陥が設計レイアウト内の特定のスポットに配置されている場合に欠陥がデバイスの1つ又は複数の電気的属性を変える確率である。   In another example, to determine whether a defect changes one or more electrical attributes of the device, design data proximate to the position of the defect in the design data space, and the probability of the position of the defect in the design data, Using the defect size as an input to the model, the probability is determined. Thus, this probability is the probability that the defect will change one or more electrical attributes of the device if the defect is located at a particular spot in the design layout.

この方法は、さらに、欠陥がデバイスの1つ又は複数の電気的属性を変える確率に基づいて、欠陥に対するDCIを決定することも含む。例えば、DCIは、少なくとも大まかに、この確率に相関する指数である。一例では、比較的高い確率が決定される欠陥については高いDCIが決定される。言い換えると、DCIは、デバイスの1つ又は複数の電気的属性を変える比較的高い確率を有する欠陥に対してはクリティカル度が高いことを示すということである。DCIは、DCIと確率との間の関係を記述する好適な方法、アルゴリズム、データ構造、ルールなど、又はその何らかの組合せを使用して確率から決定される。本明細書で説明されている方法は、実験結果(例えば、検査、計量、レビュー、試験、又はそれらの何らかの組合せの結果)、シミュレーション結果、経験的データ、設計に関する情報、履歴データ、又はそれらの何らかの組合せを使用して、そのような方法、アルゴリズム、データ構造体、ルールなどを生成することを含む。それに加えて、DCIは、好適なフォーマット(数値、英数字、テキスト文字列など)を持つことができる。ユーザーがDCIの値を簡単に理解できるような形でDCIを表現することができる。例えば、DCIに1から10までの間の値を割り当てる。10は最高のDCI、1は最低のDCIである。さらに、又はそれとは別に、本明細書で説明されている実施形態の1つ又は複数などの方法又はシステムでDCIを使用し本明細書において説明されているステップの1つ又は複数を実行できるような形でDCIを表現することができる。   The method further includes determining a DCI for the defect based on the probability that the defect changes one or more electrical attributes of the device. For example, DCI is an index that at least roughly correlates with this probability. In one example, a high DCI is determined for defects for which a relatively high probability is determined. In other words, DCI indicates a high degree of criticality for defects that have a relatively high probability of changing one or more electrical attributes of the device. The DCI is determined from the probability using a suitable method, algorithm, data structure, rule, etc., or some combination thereof that describes the relationship between the DCI and the probability. The methods described herein may include experimental results (eg, results of inspection, metrology, review, testing, or some combination thereof), simulation results, empirical data, design information, historical data, or Using any combination to generate such methods, algorithms, data structures, rules, and the like. In addition, DCI can have a suitable format (numeric, alphanumeric, text string, etc.). The DCI can be expressed in such a way that the user can easily understand the value of the DCI. For example, a value between 1 and 10 is assigned to DCI. 10 is the highest DCI and 1 is the lowest DCI. Additionally or alternatively, DCI may be used in a method or system, such as one or more of the embodiments described herein, to perform one or more of the steps described herein. DCI can be expressed in various forms.

この方法は、さらに、DCIを記憶媒体に格納することを含む。格納するステップは、本明細書で説明されている方法の実施形態の他の結果に加えてDCIを格納することをも含む。DCIは、当業界で知られている方法により格納される。記憶媒体としては、本明細書で説明されている記憶媒体又は当業界で知られている他の好適な記憶媒体を含む。本明細書で説明されているような方法又はシステムの実施形態のどれかにおいて、DCIは、格納された後、記録媒体の中のDCIにアクセスし、利用することができる。さらに、DCIを、「永久的に」、「半永久的に」、又は一時的に何らかの期間の間、格納しておくことができることに留意されたい。それに加えて、DCIを格納することは、本明細書で説明されている他の方法で実行される。   The method further includes storing the DCI on a storage medium. The storing step also includes storing the DCI in addition to other results of the method embodiments described herein. The DCI is stored by methods known in the art. Storage media includes the storage media described herein or other suitable storage media known in the art. In any of the method or system embodiments as described herein, the DCI can be accessed and utilized after being stored in the recording medium. Furthermore, it should be noted that DCI may be stored “permanently”, “semi-permanently”, or temporarily for some period of time. In addition, storing the DCI is performed in other ways as described herein.

一実施形態では、DCIが決定される欠陥はランダム欠陥を含む。他の実施形態では、DCIが決定される欠陥は、系統的欠陥を含む。このように、DCIは、ランダム欠陥と系統的欠陥の両方について決定される。欠陥は、本明細書でさらに説明されているようにランダム欠陥又は系統的欠陥として決定される。それに加えて、この方法の実施形態は、上で、欠陥についてDCIを決定することを含むものとして説明されているが、この方法は、1つの欠陥、いくつかの欠陥、又はウェハ上で検出されたすべての欠陥についてDCIを決定することを含むと理解されるべきである。この方法においてDCIが決定される(複数の)欠陥は、ユーザーによって選択される。それとは別に、この方法でDCIが決定される(複数の)欠陥は、方法により選択される(例えば、(複数の)欠陥の1つ又は複数の属性、設計データ空間における(複数の)欠陥の(複数の)位置に近接する設計データの1つ又は複数の属性、(複数の)欠陥及び/又は本明細書で説明されている設計データに関する他の情報、又はこれらの何らかの組合せに基づいて)。   In one embodiment, the defect for which DCI is determined includes a random defect. In other embodiments, the defects for which DCI is determined include systematic defects. Thus, DCI is determined for both random and systematic defects. Defects are determined as random defects or systematic defects as further described herein. In addition, although embodiments of this method are described above as including determining the DCI for defects, this method can be detected on a single defect, several defects, or a wafer. It should be understood to include determining the DCI for all defects. The defect (s) for which DCI is determined in this way is selected by the user. Alternatively, the defect (s) for which the DCI is determined in this way are selected by the method (eg, one or more attributes of the defect (s), the defect (s) in the design data space). Based on one or more attributes of the design data proximate to the location (s), the defect (s) and / or other information about the design data described herein, or some combination thereof .

いくつかの実施形態では、1つ又は複数の電気的属性はデバイスの機能を含む。このように、DCIは、欠陥がデバイスの不具合又は機能不全の原因となる確率に基づいて決定される。他の実施形態では、デバイスの1つ又は複数の電気的属性は、デバイスの1つ又は複数の電気的パラメータを含む。このように、DCIは、欠陥がデバイスの1つ又は複数の電気的パラメータを変える確率に基づいて決定される。その際、この確率は、欠陥が電気的パラメータ問題を引き起こす確率である。電気的パラメータの問題は、電気的試験における電気的欠陥としてみなせない場合があるが、これは、欠陥がデバイスの電気的性能を変えることを示す指標であり、欠陥が持続する場合に他のウェハ上に時間の経過とともに電気的欠陥を引き起こし始める可能性がある。(複数の)電気的パラメータは、デバイスの速度、駆動電流、信号品位、配電などの当業界で知られている(複数の)電気的パラメータを含む。   In some embodiments, the one or more electrical attributes include device functionality. Thus, DCI is determined based on the probability that a defect will cause a malfunction or malfunction of the device. In other embodiments, the one or more electrical attributes of the device include one or more electrical parameters of the device. Thus, DCI is determined based on the probability that a defect will change one or more electrical parameters of the device. This probability is then the probability that the defect causes an electrical parameter problem. The problem of electrical parameters may not be considered as an electrical defect in electrical testing, but this is an indication that the defect will change the electrical performance of the device, and other wafers if the defect persists It can begin to cause electrical defects over time. The electrical parameter (s) includes electrical parameter (s) known in the art such as device speed, drive current, signal quality, power distribution and the like.

一実施形態では、設計データの1つ又は複数の属性は、冗長度、ネット・リスト、又はそれらの何らかの組合せを含む。他の実施形態では、設計データの1つ又は複数の属性は、設計データにおけるフィーチャの寸法、設計データにおけるフィーチャの密度、又はそれらの何らかの組合せを含む。このような属性は、上で説明されているように確率を決定するために使用される。追加の実施形態では、設計データの1つ又は複数の属性は、デバイスの複数の設計層に対する設計データの1つ又は複数の属性を含む。このように、この確率は、欠陥に対する多層コンテキスト情報に基づいて決定されるが、これは、欠陥がデバイスを通じて伝播することにより設計の1つ又は複数の層に影響を及ぼす場合に、またウェハ上に形成されるデバイスは、典型的には、多数の層で形成されるため、有利である。したがって、欠陥は、デバイスの複数の層上に印刷される設計データを変え、これらの層のいずかの層、又は層の一部、又は層の全部に変化があれば、デバイスの1つ又は複数の電気的属性が変化する。その際、この確率を決定するために設計データの1つ又は複数の属性を使用し、デバイスの1つ又は複数の層に欠陥がどのような影響を及ぼしうるかに基づいて確率を決定して、それにより、場合によっては、その確率やその確率から決定されたDCIが潜在的パラメータ問題を強く示し、高い歩留まり関連性を有するようにすることができる。   In one embodiment, the one or more attributes of the design data include redundancy, a net list, or some combination thereof. In other embodiments, the one or more attributes of the design data include feature dimensions in the design data, feature density in the design data, or some combination thereof. Such attributes are used to determine probabilities as described above. In additional embodiments, the one or more attributes of the design data include one or more attributes of the design data for multiple design layers of the device. Thus, this probability is determined based on multi-layer context information for the defect, which can also occur if the defect affects one or more layers of the design by propagating through the device and on the wafer. Devices formed in are typically advantageous because they are typically formed of multiple layers. Thus, a defect changes the design data printed on multiple layers of the device, and if there is a change in any of these layers, or part of the layers, or all of the layers, Or several electrical attributes change. In doing so, it uses one or more attributes of the design data to determine this probability, determines the probability based on how the defect can affect one or more layers of the device, Thereby, in some cases, the probability and DCI determined from the probability can strongly indicate a potential parameter problem and have a high yield relevance.

いくつかの実施形態では、確率を決定することは、設計データに対する電気的試験結果と設計データの1つ又は複数の属性との間の相関を使用して確率を決定することを含む。例えば、この方法は、データ・マイニングを実行して、設計データの1つ又は複数の属性と電気的試験結果との間に相関があるかどうかを判定することを含む。特に、ウェハ上に印刷される、線幅、間隔などの設計データの1つ又は複数の属性を測定し、ウェハに対する電気的試験結果を用いて、設計データの(複数の)属性と電気的試験結果との間の相関を決定することができる。電気的試験結果は、ウェハ上に形成された1つ又は複数のデバイスの1つ又は複数の電気的属性の測定結果を含むか、又は(複数の)デバイスの1つ又は複数の電気的属性を決定するために使用される。したがって、この相関は、設計データの1つ又は複数の属性と1つ又は複数の電気的属性との間の相関として決定される。電気的試験結果は、当業界で知られている方法又はシステムを使用して得られた適切な電気的試験結果を含む。欠陥は、本明細書で説明されている実施形態によりランダム欠陥として識別される。そのような相関を使用して、系統的欠陥とランダム欠陥の両方に対する確率を決定することができる。このような相関を使用して確率を決定することは、相関と、設計データ空間における欠陥の位置に近接して配置されている設計データの1つ又は複数の属性と、を使用することで比較的迅速に確率を決定できるため有利である。   In some embodiments, determining the probability includes determining the probability using a correlation between an electrical test result for the design data and one or more attributes of the design data. For example, the method includes performing data mining to determine if there is a correlation between one or more attributes of the design data and the electrical test results. In particular, one or more attributes of the design data printed on the wafer, such as line width, spacing, etc. are measured and the electrical test results for the wafer are used to determine the attribute (s) and electrical test of the design data. A correlation between the results can be determined. The electrical test results may include measurements of one or more electrical attributes of one or more devices formed on the wafer, or may include one or more electrical attributes of the device (s). Used to determine. Thus, this correlation is determined as a correlation between one or more attributes of the design data and one or more electrical attributes. Electrical test results include suitable electrical test results obtained using methods or systems known in the art. Defects are identified as random defects according to the embodiments described herein. Such correlation can be used to determine probabilities for both systematic and random defects. Using such a correlation to determine the probability compares using the correlation and one or more attributes of the design data that are located close to the location of the defect in the design data space. This is advantageous because the probability can be determined quickly.

他の実施形態では、確率を決定することは、設計データ空間内に欠陥が位置する確率と組み合わせた設計データの1つ又は複数の属性、欠陥を検出するために使用される検査システムにより報告される欠陥の位置、検査システムの座標の不正確さ、欠陥のサイズ、検査システムの欠陥サイズ誤差、又はそれらの何らかの組合せに基づいて確率を決定することを含む。そのような一実施形態では、欠陥は、ランダム欠陥を含む。このように、検査システムによって報告される欠陥サイズ、欠陥の配置、検査システムの座標の不正確さを使用して、ランダム欠陥に対するDCIを決定することができる。上述のように欠陥サイズ、欠陥サイズ誤差、報告された欠陥配置、座標の不正確さを使用してDCIを決定することは、ランダム欠陥のサイズと配置が比較的予測できない場合があるため有利である。したがって、DCIを決定するのにこのような情報を使用することで、DCIの精度を高めることができる。   In other embodiments, determining the probability is reported by the inspection system used to detect one or more attributes of the design data combined with the probability that the defect is located in the design data space. Determining the probability based on the location of the defect, the inaccuracy of the inspection system coordinates, the size of the defect, the defect size error of the inspection system, or some combination thereof. In one such embodiment, the defect includes a random defect. In this manner, the defect size reported by the inspection system, the placement of defects, and the inaccuracy of the inspection system coordinates can be used to determine the DCI for random defects. Determining DCI using defect size, defect size error, reported defect placement, and coordinate inaccuracy as described above is advantageous because the size and placement of random defects may be relatively unpredictable. is there. Therefore, the accuracy of DCI can be improved by using such information to determine DCI.

追加の実施形態では、確率を決定することは、欠陥の1つ又は複数の属性と組み合わせて設計データの1つ又は複数の属性に基づいて確率を決定することを含む。そのような一実施形態では、欠陥は系統的欠陥を含む。このように、系統的欠陥属性を使用して、系統的欠陥に対するDCIを決定することができる。欠陥は、本明細書で説明されている実施形態により系統的欠陥として識別される。本明細書で説明されている実施形態では設計データ空間における系統的欠陥の位置を比較的高い精度で決定することができるため、系統的欠陥の1つ又は複数の属性は、欠陥に対するDCIを決定するために使用される。   In additional embodiments, determining the probability includes determining the probability based on the one or more attributes of the design data in combination with the one or more attributes of the defect. In one such embodiment, the defects include systematic defects. In this way, systematic defect attributes can be used to determine DCI for systematic defects. Defects are identified as systematic defects by the embodiments described herein. Since the embodiments described herein can determine the location of systematic defects in the design data space with relatively high accuracy, one or more attributes of the systematic defects determine the DCI for the defects. Used to do.

一実施形態では、DCIを決定することは、欠陥に割り当てられた分類と組み合わせて確率に基づいて欠陥に対するDCIを決定することを含む。例えば、DCIは、確率に基づいて決定され、次いで、DCIは、欠陥分類に基づいて修正され、これによりDCIを改善することができる。このような一例では、欠陥分類が、欠陥が短絡欠陥であることを示している場合、欠陥に対するDCIを変えて、変えられたDCIが欠陥について、最初に決定されたDCIよりも高いクリティカル度を示すようにすることができる。異なる例では、欠陥分類が、欠陥が部分的短絡欠陥であることを示している場合、欠陥に対し決定されたDCIを変えて、変えられたDCIが欠陥について、最初に決定されたDCIよりも低いクリティカル度を示すようにすることができる。この実施形態で使用される欠陥の分類は、本明細書で説明されている実施形態により、又は欠陥を分類するために当業界で知られている他の方法若しくはシステムを使用して、決定されるか、又は欠陥に割り当てられる。それに加えて、DCIは、本明細書で説明されている(複数の)方法の(複数の)ステップの他の結果(例えば、欠陥に対するKP値)又は他の利用可能な情報(例えば、ホット・スポット情報)を使用して修正される。   In one embodiment, determining the DCI includes determining the DCI for the defect based on the probability in combination with the classification assigned to the defect. For example, DCI can be determined based on probability, and then DCI can be modified based on defect classification, thereby improving DCI. In one such example, if the defect classification indicates that the defect is a short-circuit defect, the DCI for the defect is changed so that the changed DCI has a higher criticality for the defect than the initially determined DCI. As shown. In a different example, if the defect classification indicates that the defect is a partial short circuit defect, the DCI determined for the defect is changed so that the changed DCI is greater than the initially determined DCI for the defect. A low criticality can be indicated. The classification of defects used in this embodiment is determined according to the embodiments described herein, or using other methods or systems known in the art to classify defects. Or assigned to a defect. In addition, the DCI may be the result of other step (s) of the method (s) described herein (eg, KP value for defects) or other available information (eg, hot Corrected using spot information).

いくつかの実施形態では、この方法は、設計データ空間における検査データの位置を決定することにより設計データ空間における欠陥の位置に近接する設計データを決定することを含むが、これは本明細書で説明されているように実行される。他の実施形態では、この方法は、欠陥のアライメントにより設計データ空間における欠陥の位置に近接する設計データを決定することを含むが、これは本明細書で説明されているように実行される。追加の実施形態では、この方法は、少なくとも一部は、欠陥を検出するために使用される検査システムにより報告された欠陥の位置、検査システムの座標の不正確さ、設計データの1つ又は複数の属性、欠陥サイズ、検査システムの欠陥サイズ誤差、又はそれらの何らかの組合せに基づいて欠陥の位置に近接する設計データを決定することを含むが、これは本明細書でさらに説明されているように実行される。このように、設計データ空間における欠陥の位置に近接する設計データは、少なくとも一部は、欠陥の報告された配置と検査システムの座標精度の範囲内で欠陥の位置特定が可能と思われる配置に基づいて決定される。欠陥の位置特定が可能と思われる配置を超える設計データも、同様にして決定される。   In some embodiments, the method includes determining design data proximate to a defect location in the design data space by determining the location of the inspection data in the design data space, which is described herein. Performed as described. In other embodiments, the method includes determining design data proximate to the position of the defect in the design data space by defect alignment, which is performed as described herein. In additional embodiments, the method includes, at least in part, the location of the defect reported by the inspection system used to detect the defect, inaccuracies in the coordinates of the inspection system, one or more of the design data. Determining design data proximate to the location of the defect based on the attributes of the defect, the defect size, the defect size error of the inspection system, or some combination thereof, as further described herein. Executed. In this way, at least part of the design data that is close to the position of the defect in the design data space is an arrangement that is likely to be able to locate the defect within the reported accuracy of the defect and the coordinate accuracy of the inspection system. To be determined. Design data that exceeds the location where defect location is likely to be possible is determined in a similar manner.

一実施形態では、この方法は、欠陥に対する設計データの歩留まりの感度に基づいてDCIを修正することを含む。このように、DCIは、設計における領域(例えば、セル又は機能ブロック)内の歩留まり影響の感度に基づいて修正される。例えば、この方法は、本明細書で説明されているように実行される、設計データ空間における欠陥の位置を決定することを含み、またこの位置に配置されている欠陥による、及び/又はこの位置に近接する設計データにおける欠陥による歩留まり感度が決定される。このような歩留まり感度は、本明細書で説明されている実施形態を使用して決定される。例えば、この方法は、設計データの1つ又は複数の属性の異なる値について設計データ空間における位置に関して設計データを使用して加工されるデバイスの電気的特性をモデル化することを含み、これは欠陥による1つ又は複数の属性の変化方法に基づいて選択される。このようなモデル化は、本明細書で説明されているように実行され、モデル化された電気的特性は、設計データの1つ又は複数の属性の値が変化するときに歩留まりがどのように変化するかを決定するために使用され、このことを用いて、その位置に配置されている欠陥及び/又はこの位置に近接する設計データにおける欠陥に対する設計データの歩留まり感度を決定することができる。このように、設計データ空間における欠陥の位置は、欠陥に対する設計データの歩留まり感度を決定するために使用される。欠陥に対する設計データの歩留まり感度が比較的高い場合、その欠陥に対するDCIを修正し、修正されたDCIが最初に決定されていたDCIに比べて高いクリティカル度を示すようにすることができる。同様に、欠陥に対する設計データの歩留まり感度が比較的低い場合、その欠陥に対するDCIを修正し、修正されたDCIが最初に決定されていたDCIに比べて低いクリティカル度を示すようにすることができる。   In one embodiment, the method includes modifying the DCI based on design data yield sensitivity to defects. Thus, the DCI is modified based on the sensitivity of yield effects within a region (eg, cell or functional block) in the design. For example, the method may be performed as described herein, including determining a position of a defect in the design data space, and / or due to a defect located at this position Yield sensitivity due to defects in the design data close to. Such yield sensitivity is determined using the embodiments described herein. For example, the method includes modeling electrical characteristics of a device being processed using the design data with respect to position in the design data space for different values of one or more attributes of the design data, which includes defects. Is selected based on the method of changing one or more attributes. Such modeling is performed as described herein, and the modeled electrical characteristics are used to determine how the yield is when the value of one or more attributes of the design data changes. This can be used to determine if it changes, and this can be used to determine the yield sensitivity of the design data for defects located at that location and / or for defects in the design data close to this location. Thus, the position of the defect in the design data space is used to determine the yield sensitivity of the design data to the defect. If the yield sensitivity of the design data for a defect is relatively high, the DCI for that defect can be modified so that the modified DCI exhibits a higher degree of criticality than the DCI that was originally determined. Similarly, if the design data yield sensitivity to a defect is relatively low, the DCI for that defect can be modified so that the modified DCI exhibits a lower degree of criticality than the DCI originally determined. .

上でさらに説明されているように、DCIは、本明細書で説明されている実施形態でさまざまな方法により使用できる。例えば、一実施形態では、この方法は、欠陥に対し決定されたDCIに基づいてウェハ上で実行されるプロセスを変えることを含む。このような一実施形態では、プロセスは、計量プロセスであるか、又はウェハ上の1つ又は複数の測定を含む。このように、この方法は、少なくとも一部はDCIに基づいて測定プロセスを適合させることを含む。他の実施形態では、プロセスは、欠陥レビュー・プロセスである。その際、この方法は、少なくとも一部はDCIに基づいて欠陥レビュー・プロセスを適合させることを含む。上述のようにこのプロセスを変更することは、プロセスの1つ又は複数のパラメータを変更することを含む。それに加えて、このような変更は、本明細書でさらに説明されているように実行される。   As further described above, DCI can be used in a variety of ways in the embodiments described herein. For example, in one embodiment, the method includes changing the process performed on the wafer based on the DCI determined for the defect. In one such embodiment, the process is a metrology process or includes one or more measurements on the wafer. Thus, the method includes adapting the measurement process based at least in part on DCI. In other embodiments, the process is a defect review process. In doing so, the method includes adapting the defect review process based at least in part on the DCI. Changing this process as described above involves changing one or more parameters of the process. In addition, such changes are performed as described further herein.

他の実施形態では、この方法は、欠陥に対し決定されたDCIに基づいて欠陥を検出するために使用されるプロセスを変えることを含む。欠陥を検出するために使用されるプロセスを変更することは、本明細書でさらに説明されているようなプロセスの1つ又は複数のパラメータを変更することを含む。それに加えて、DCIに基づいて欠陥を検出するために使用されるプロセスを変更することは、フィードバック制御技術を使用して実行される。そのような一例では、欠陥に対するDCIが、その欠陥が比較的クリティカルであることを示している場合、欠陥を検出するために使用されるプロセスは、DCIが決定された欠陥に対応する欠陥が潜在的に配置されるウェハ上の1つ又は複数の配置が、それらの配置を検査するためにすでに使用されている感度よりも高い感度で検査されるように変更される。プロセスの他の(複数の)パラメータも、同様に変えられる。   In other embodiments, the method includes changing the process used to detect the defect based on the DCI determined for the defect. Changing the process used to detect the defect includes changing one or more parameters of the process as further described herein. In addition, changing the process used to detect defects based on DCI is performed using feedback control techniques. In one such example, if the DCI for a defect indicates that the defect is relatively critical, the process used to detect the defect is a potential defect corresponding to the defect for which the DCI was determined. One or more arrangements on the wafers that are to be placed are modified so that they are inspected with a higher sensitivity than is already used to inspect those arrangements. The other parameter (s) of the process can be varied as well.

いくつかの実施形態では、この方法は、欠陥について決定されたDCIに基づいてデバイスが加工される追加のウェハの検査用のプロセスを生成することを含む。このように、この方法は、欠陥が検出されたすでに使用されているプロセスを変更する代わりに、全く新しい検査プロセスを生成することを含む。新しい検査プロセスは、追加のウェハの1つ又は複数の層について生成される。例えば、プロセスは、DCIが決定された欠陥が検出された層について生成される。しかし、そのような検査プロセスは、さらに、追加のウェハの1つ又は複数の他の層についても生成される。例えば、欠陥に対するDCIが、欠陥が比較的クリティカルであることを示している場合、ウェハ上のその後に形成された層を検査するプロセスは、DCIが決定された欠陥により引き起こされる可能性のある欠陥が潜在的に配置されるその後に形成された層上の1つ又は複数の配置が比較的高い感度で検査されるように検査プロセスの1つ又は複数のパラメータを選択することにより生成される。プロセスの他の(複数の)パラメータも、同様に選択される。追加のウェハを検査するプロセスを生成することも、本明細書でさらに説明されているように実行される。   In some embodiments, the method includes generating a process for inspection of additional wafers on which the device is processed based on the DCI determined for defects. Thus, the method includes creating a completely new inspection process instead of changing the already used process where the defect was detected. A new inspection process is generated for one or more layers of the additional wafer. For example, a process is generated for a layer in which a defect with a determined DCI is detected. However, such an inspection process is also generated for one or more other layers of the additional wafer. For example, if the DCI for a defect indicates that the defect is relatively critical, the process of inspecting subsequently formed layers on the wafer may be caused by the defect for which the DCI is determined. Is generated by selecting one or more parameters of the inspection process such that one or more arrangements on the subsequently formed layer are potentially inspected with relatively high sensitivity. Other parameter (s) of the process are selected as well. Creating a process for inspecting additional wafers is also performed as described further herein.

一実施形態では、DCIを決定するためのコンピュータ実施方法は、欠陥を検出するために使用される検査システムにより実行される。このように、この方法は、オンツールで実行される。他の実施形態では、DCIを決定するためのコンピュータ実施方法は、欠陥を検出するために使用される検査システム以外のシステムにより実行される。その際、この方法は、オフツールで実行される。この方法をオフツールで実行するために使用されるシステムは、本明細書でさらに説明されているように構成される。   In one embodiment, the computer-implemented method for determining DCI is performed by an inspection system used to detect defects. Thus, this method is performed on-tool. In other embodiments, the computer-implemented method for determining DCI is performed by a system other than an inspection system used to detect defects. The method is then performed off-tool. The system used to perform this method off-tool is configured as described further herein.

欠陥に対するDCIは、欠陥がレビューのため選択されるサンプリングなどのために本明細書で説明されている実施形態においてさまざまな方法により使用される。例えば、ビン範囲に従って欠陥が分けられたそれぞれのグループについて、DCIは、グループ化された欠陥のランダム・サンプリングを実行する代わりにサンプリングに使用される。それに加えて、欠陥について決定されたDCIは、デバイスの1つ又は複数の電気的属性を変える高い確率を有する欠陥、1つ又は複数の電気的属性を変える高い確率を有する欠陥のどれを大量にサンプリングするかを決定するために使用される。DCIは、系統的欠陥だけでなくランダム欠陥をもサンプリングするために使用される。   DCI for defects is used in various ways in the embodiments described herein, such as for sampling where defects are selected for review. For example, for each group in which defects are separated according to bin ranges, DCI is used for sampling instead of performing random sampling of grouped defects. In addition, the DCI determined for a defect can be a large number of defects that have a high probability of changing one or more electrical attributes of the device, and defects that have a high probability of changing one or more electrical attributes. Used to determine whether to sample. DCI is used to sample not only systematic defects but also random defects.

上述のDCIを決定するための方法の実施形態はそれぞれ、本明細書で説明されている(複数の)方法の他の(複数の)ステップを含む。それに加えて、上述のDCIを決定するための方法の実施形態はそれぞれ、本明細書で説明されているシステムの実施形態により実行される。   Each of the method embodiments for determining DCI described above includes other step (s) of the method (s) described herein. In addition, each of the method embodiments for determining DCI described above is performed by the system embodiments described herein.

他の実施形態は、ウェハ上に形成されたメモリ・バンクのメモリ修復指数(MRI)を決定するコンピュータ実施方法に関係する。メモリ・ダイは、メモリ・バンク(多くの場合、多数のメモリ・バンク)を含む。それぞれのメモリ・バンクは、アレイ・ブロック領域(又はロー領域)と冗長性領域を含む。冗長性領域は、多数の行と多数の列を含み、メモリ・バンクを修復するために使用される。メモリ・バンクに含まれる行と列の数は、ユーザー定義であってよい。アレイ・ブロック領域は、一般的に正方形又は矩形であってよい。冗長行は、アレイ・ブロック領域の片側にそって形成され、冗長列は、アレイ・ブロック領域の他の隣接する側にそって形成される。メモリ・バンクは、さらに、冗長行に隣接する行デコーダ、冗長列に隣接する列デコーダ、列デコーダに隣接するセンス・アンプも備える。この方法は、さらに、それぞれのアレイ・ブロック領域に対する冗長行と列、センス・アンプ、デコーダの配置を決定することを含む。このような配置は、当業界で知られている方法又はシステムを使用して決定される。   Another embodiment relates to a computer-implemented method for determining a memory repair index (MRI) of a memory bank formed on a wafer. A memory die includes a memory bank (often a large number of memory banks). Each memory bank includes an array block area (or row area) and a redundancy area. The redundancy area includes a number of rows and a number of columns and is used to repair the memory bank. The number of rows and columns included in the memory bank may be user defined. The array block area may be generally square or rectangular. Redundant rows are formed along one side of the array block region, and redundant columns are formed along the other adjacent side of the array block region. The memory bank further includes a row decoder adjacent to the redundant row, a column decoder adjacent to the redundant column, and a sense amplifier adjacent to the column decoder. The method further includes determining the placement of redundant rows and columns, sense amplifiers, and decoders for each array block region. Such an arrangement is determined using methods or systems known in the art.

この方法は、メモリ・バンクのアレイ・ブロック領域内に配置されている欠陥に基づいてメモリ・バンクを修復するのに必要な冗長行と冗長列の個数を決定することを含む。例えば、いくつかの実施形態では、この方法は、アレイ・ブロック領域内に配置されている欠陥のうちどれがメモリ・バンク内のビットにエラーを引き起こすかを判定することと、それらのビットのエラーの原因となる欠陥の配置に基づいてエラーを起こすビットの位置を判定することとを含む。それとは別に、この方法は、アレイ・ブロック領域内の欠陥のうちどれがメモリ・バンク内のビットにエラーを引き起しうるかを判定することと、それらのビットのエラーの原因となりうる欠陥の配置に基づいてエラーを起こしうるビットの位置を決定することとを含む。アレイ・ブロック領域内の欠陥のうちどれが、ビットのエラーを引き起こすか、又は引き起こす可能性があるかを決定することは、欠陥の1つ又は複数の属性を使用して実行されるが、これは本明細書で説明されている(複数の)欠陥属性、及び/又は本明細書で説明されている(複数の)方法の1つ又は複数の他のステップの結果を含む。例えば、報告された欠陥配置、欠陥を検出するために使用される検査システムの座標精度、欠陥サイズ、欠陥システムの欠陥サイズの不正確さは、場合によって本明細書で説明されているように決定される、欠陥に対するDCIと組み合わせて、また場合によっては、メモリ・バンクに対する相関する検査及び/又は電気的試験結果とさらに組み合わせて、欠陥がビット・エラーの原因となるか、又は原因となる可能性があるかを判定するために使用される。   The method includes determining the number of redundant rows and redundant columns required to repair the memory bank based on defects located in the array block area of the memory bank. For example, in some embodiments, the method includes determining which of the defects located in the array block area cause an error in the bits in the memory bank and the error in those bits. Determining the position of the bit causing the error based on the arrangement of the defect causing the error. Alternatively, this method determines which of the defects in the array block area can cause errors in the bits in the memory bank and the placement of the defects that can cause errors in those bits. Determining a position of a bit that may cause an error. Determining which of the defects in the array block area cause or can cause a bit error is performed using one or more attributes of the defect. Includes the defect attribute (s) described herein and / or the results of one or more other steps of the method (s) described herein. For example, the reported defect placement, the coordinate accuracy of the inspection system used to detect the defect, the defect size, and the inaccuracy of the defect size of the defect system are optionally determined as described herein. In combination with DCI for defects, and in some cases, further in combination with correlated inspection and / or electrical test results for memory banks, defects can cause or cause bit errors. Used to determine if there is sex.

このような一実施形態では、メモリ・バンクを修復するのに必要な冗長行の個数と冗長列の個数を決定することは、エラーを起こすビットの位置を使用して実行される。このステップは、それとは別に、エラーを起こしうるビットの位置を使用して実行される。例えば、個々のエラー・ビットは、必ずしも、一対一ベースで、冗長行と冗長列で置き換えられない。代わりに、個々のエラー・ビットが、同じ論理行又は論理列にそって互いに「隣接」している場合、その行又は列全体が、利用可能な冗長行又は冗長列による置き換えの候補となる。したがって、エラーを起こすか、又は起こす可能性のあるビットの位置を使用して、どのエラー・ビットが同じ論理行又は論理列にそって互いに「隣接」しているかを判定することができ、これを用いて、メモリ・バンクを修復するために必要な冗長行と冗長列の数を決定することができる。このように、この方法は、エラーを起こしたビットにより消費される冗長性の量を決定し、及び/又は監視するために使用される、予測ビット・エラー推定を含む。   In one such embodiment, determining the number of redundant rows and redundant columns needed to repair the memory bank is performed using the location of the bit causing the error. This step is performed separately using bit positions that can cause errors. For example, individual error bits are not necessarily replaced on a one-to-one basis with redundant rows and columns. Instead, if individual error bits are “adjacent” to each other along the same logical row or column, that entire row or column is a candidate for replacement by an available redundant row or column. Thus, the position of the bit that is or can cause an error can be used to determine which error bits are "adjacent" to each other along the same logical row or column, Can be used to determine the number of redundant rows and columns needed to repair a memory bank. As such, the method includes a predictive bit error estimate that is used to determine and / or monitor the amount of redundancy consumed by an errored bit.

それに加えて、2つのメモリ・ビットは、レイアウト内では物理的に互いに隣接しているが、異なる論理行又は論理列に属していることがある。言い換えると、物理的隣接性は、論理的又は電気的隣接性に相関しない。例えば、論理行1が256ビットを備える場合、これら256個のビットは、必ずしも、バンク又はセグメントの物理的レイアウトにおいて互いに隣り合わない。その際、物理(又はトポロジー的)アドレスは、それぞれのデバイスについて異なっていてもよいマッピング関数を通じて論理(又は電気的)アドレスに変換される。このようなマッピングは、当業界で知られている好適な方法又はシステムを使用して実行される。例えば、KLA−Tencorから市販されているKlarity Bitmapは、トポロジー的アドレス−電気的アドレス間マッピングを作成するためのグラフィックを使用する、又は他の何らかの使いやすい手段を提供する。したがって、この方法でこのようなマッピング関数を使用することにより、メモリ・バンクの修復性を正確に反映するMRIを決定することが可能である。   In addition, the two memory bits may be physically adjacent to each other in the layout, but may belong to different logical rows or logical columns. In other words, physical adjacency does not correlate with logical or electrical adjacency. For example, if logical row 1 comprises 256 bits, these 256 bits are not necessarily adjacent to each other in the physical layout of the bank or segment. In so doing, physical (or topological) addresses are converted to logical (or electrical) addresses through a mapping function that may be different for each device. Such mapping is performed using any suitable method or system known in the art. For example, Klarity Bitmap, commercially available from KLA-Tencor, uses graphics to create topological address-to-electrical address mapping, or provides some other easy-to-use means. Therefore, by using such a mapping function in this way, it is possible to determine an MRI that accurately reflects the repairability of the memory bank.

アレイ・ブロック領域内に配置されている欠陥は、メモリ・バンクの検査の結果において、又は結果から識別される。例えば、検査では、アレイ・ブロック領域と冗長性領域の両方において(又はメモリ・バンク全体にわたって)欠陥を検出することができ、欠陥は、欠陥の配置に基づいてアレイ・ブロック領域内の欠陥と冗長領域内の欠陥とに分けられるが、これは本明細書で説明されている実施形態により決定される。アレイ・ブロック領域、冗長性領域、デコーダ領域、センス・アンプ領域内の欠陥を分離することで、そのような分離を使用して修復可能な欠陥を修復不可能な欠陥から分けることができるため、検査結果の価値が高まる。それに加えて、これらの欠陥を、ロー領域、冗長性領域、デコーダ領域、センス・アンプ領域内の欠陥に分けることは、ルール・ベース又は領域ベースとすることができる。   Defects located in the array block area are identified in or from the results of the memory bank inspection. For example, the inspection can detect defects in both the array block area and the redundancy area (or across the entire memory bank), and the defects are redundant with the defects in the array block area based on the placement of the defects. Divided into defects in the region, this is determined by the embodiments described herein. By isolating defects in the array block area, redundancy area, decoder area, and sense amplifier area, defects that can be repaired using such isolation can be separated from defects that cannot be repaired, The value of the test result is increased. In addition, dividing these defects into defects in the row region, the redundancy region, the decoder region, and the sense amplifier region can be rule-based or region-based.

この方法は、さらに、メモリ・バンクを修復するのに必要な冗長行の個数をメモリ・バンクの利用可能な冗長行の個数と比較することを含む。それに加えて、この方法は、メモリ・バンクを修復するのに必要な冗長列の個数をメモリ・バンクの利用可能な冗長列の個数と比較することを含む。いくつかの実施形態では、冗長行の個数を比較することは、メモリ・ダイのそれぞれのバンクごとに別々に実行され、冗長列の個数を比較することは、メモリ・ダイのそれぞれのバンクごとに別々に実行される。冗長行の数を比較し、冗長列の数を比較することは、好適な方法で実行される。   The method further includes comparing the number of redundant rows required to repair the memory bank with the number of available redundant rows in the memory bank. In addition, the method includes comparing the number of redundant columns required to repair the memory bank with the number of available redundant columns in the memory bank. In some embodiments, comparing the number of redundant rows is performed separately for each bank of the memory die, and comparing the number of redundant columns is performed for each bank of the memory die. Executed separately. Comparing the number of redundant rows and comparing the number of redundant columns is performed in a suitable manner.

他の実施形態では、この方法は、メモリ・バンクの冗長行とメモリ・バンクの冗長列内に配置されている欠陥に基づいて利用可能な冗長行の個数及び利用可能な冗長列の個数を決定することを含む。冗長行と冗長列内に配置されている欠陥は、上述のように識別される。上述のように利用可能な冗長部分の量を決定することは、冗長部分に欠陥が十分ある場合、メモリ・バンク障害が発生しうるため、有利なことであると考えられる。それに加えて、冗長部分に一部欠陥がある場合、メモリ・バンクの修復に利用できる冗長部分の量が少なくなり、またエラーの数が欠陥のない冗長部分の量を超える場合、メモリ・バンクは修復可能でない場合がある。利用可能な冗長部分の量は、さらに、上でさらに説明されているようにそれぞれのバンクはそれ専用の冗長行と冗長列の集合を有し、それぞれのバンク内のエラー・ビットは同じバンク内の利用可能な冗長行又は冗長列でしか置き換えられないため、ダイ内の個々のメモリ・バンクについて決定される。   In another embodiment, the method determines the number of available redundant rows and the number of available redundant columns based on the defects located in the redundant rows of the memory bank and the redundant columns of the memory bank. Including doing. Defects located in redundant rows and columns are identified as described above. Determining the amount of available redundant portion as described above may be advantageous because a memory bank failure can occur if the redundant portion is sufficiently defective. In addition, if the redundant part is partially defective, the amount of redundant part available for repairing the memory bank is reduced, and if the number of errors exceeds the amount of redundant part without defect, the memory bank It may not be repairable. The amount of available redundancy is further determined by the fact that each bank has its own set of redundant rows and columns, as described further above, and error bits within each bank are within the same bank. Is determined for each individual memory bank in the die.

利用可能な冗長部分の量は、さらに、冗長性領域に配置されている欠陥、及び冗長性領域内に配置されている欠陥の1つ又は複数の属性に基づいて決定される。このステップで使用される1つ又は複数の属性は、本明細書で説明されている(複数の)欠陥属性を含む。利用可能な冗長部分を決定することは、さらに、又はそれとは別に、本明細書で説明されている(複数の)方法の(複数の)ステップの結果を使用して実行される。例えば、冗長性領域内の欠陥の報告された欠陥サイズ、欠陥を検出するために使用される検査システムの座標精度、及び欠陥に割り当てられた分類を使用して、欠陥が冗長性領域内に障害を引き起こすかどうかを判定することができるが、これは利用可能な冗長部分の量を決定するために使用される。   The amount of available redundancy is further determined based on the defects located in the redundancy area and one or more attributes of the defects located in the redundancy area. The attribute or attributes used in this step include the defect attribute (s) described herein. Determining the available redundancy is performed using the results of the step (s) of the method (s) described herein in addition or separately. For example, using the reported defect size of the defect in the redundancy area, the coordinate accuracy of the inspection system used to detect the defect, and the classification assigned to the defect, the defect fails in the redundancy area. Can be determined, but this is used to determine the amount of available redundancy.

この方法は、さらに、冗長行の個数を比較した結果と冗長列の個数を比較した結果とに基づいてメモリ・バンクのMRIを決定することを含む。MRIは、メモリ・バンクが修復可能かどうかを示すものである。例えば、エラー・ビットを修復するために必要な冗長行及び/又は列の数が、利用可能な冗長行及び/又は列の数よりも多い場合、メモリ・バンクは修復可能でなく、ダイは修復できない。MRIを、そのような比較に基づいて決定し、メモリ・バンクが修復可能かどうかを示す値をMRIに割り当てることができる。例えば、メモリ・バンクが修復可能である場合に、MRIに第1の値を割り当て、メモリ・バンクが修復可能でない場合に、MRIに第2の値を割り当てることができる。MRIに対する異なる値は、好適なフォーマットで表現される(例えば、ユーザーにとって値がわかりやすいように、及び/又は本明細書で説明されている方法を実施形態により値を使用できるように)。好適なフォーマットとしては、限定はしないが、数値、英数字、テキスト文字列などがある。   The method further includes determining the MRI of the memory bank based on the result of comparing the number of redundant rows and the result of comparing the number of redundant columns. MRI indicates whether the memory bank can be repaired. For example, if the number of redundant rows and / or columns required to repair an error bit is greater than the number of available redundant rows and / or columns, the memory bank is not repairable and the die is repaired Can not. An MRI can be determined based on such a comparison and a value can be assigned to the MRI that indicates whether the memory bank is repairable. For example, a first value may be assigned to the MRI if the memory bank is repairable and a second value may be assigned to the MRI if the memory bank is not repairable. Different values for the MRI are expressed in a suitable format (eg, so that the values are easy for the user to understand and / or so that the methods described herein can be used according to embodiments). Suitable formats include, but are not limited to, numeric values, alphanumeric characters, text strings, and the like.

この方法は、さらに、MRIを記憶媒体に格納することを含む。格納するステップは、本明細書で説明されている(複数の)方法の実施形態の他の結果に加えてMRIを格納することを含む。MRIは、当業界で知られている方法により格納される。それに加えて、記憶媒体としては、本明細書で説明されている記憶媒体又は当業界で知られている他の好適な記憶媒体が考えられる。本明細書で説明されているような方法又はシステムの実施形態のどれかにおいて、MRIが格納された後、記録媒体の中のMRIにアクセスし、利用することができる。さらに、結果を、「永久的に」、「半永久的に」、一時的に何らかの期間の間、格納しておくことができる。MRIを格納することは、さらに、又はそれとは別に、本明細書で説明されているように実行される。   The method further includes storing the MRI on a storage medium. The storing step includes storing the MRI in addition to other results of the method embodiment (s) described herein. The MRI is stored by methods known in the art. In addition, the storage medium may be the storage medium described herein or other suitable storage medium known in the art. In any of the method or system embodiments as described herein, after the MRI is stored, the MRI in the recording medium can be accessed and utilized. Furthermore, the results can be stored “permanently”, “semi-permanently”, temporarily for some period of time. Storing the MRI is performed in addition or separately as described herein.

したがって、上で説明されている方法の実施形態は、MRIを使用してメモリ喪失の早期検出に使用されるが、これは多くの理由から有利であり、またさまざまな方法で使用される。例えば、一実施形態では、この方法は、ダイ内に形成される複数のメモリ・バンクに対するMRIを決定することと、複数のメモリ・バンクに対するMRIに基づいてダイの修復歩留まりを予測することとを含む。ダイ内のメモリ・バンクについて決定されたMRIに基づいてダイの修復歩留まりを予測することは、ダイのそれぞれのバンク又はセグメントが修復に利用可能な冗長行と冗長列の対応する集合を有するため、有利である。特定のバンク又はセグメント内でエラーを起こしているビットは、利用可能な対応する冗長行又は冗長列のみで置き換えられる。したがって、一方のバンクで冗長性を「使い果たす」可能性があるが、ダイ内の他のバンクには冗長性が残っている可能性がある。この場合、ダイは、もはや完全には修復できないが、それは、少なくとも1つのバンク又はセグメントが修復可能でないからである。その際、この方法は、ダイ内のメモリ・バンクに対するMRIに基づいて、ダイ上で実行される修復プロセスの歩留まりを決定することができる。それに加えて、MRIは、そのダイの中のメモリ・バンクについて決定されているMRIに基づいてそのダイについて決定されるが、これはダイが修復可能であるかどうかを示す。例えば、メモリ・バンクに対するMRIが、メモリ・バンクのどれかが修復可能でないことを示している場合、MRIは、メモリ・ダイが修復可能でないことを示す値であると判断される。   Thus, although the method embodiments described above are used for early detection of memory loss using MRI, this is advantageous for a number of reasons and is used in a variety of ways. For example, in one embodiment, the method includes determining MRI for a plurality of memory banks formed in the die and predicting a die repair yield based on the MRI for the plurality of memory banks. Including. Predicting the repair yield of a die based on the MRI determined for the memory banks in the die, since each bank or segment of the die has a corresponding set of redundant rows and redundant columns available for repair, It is advantageous. Bits causing errors in a particular bank or segment are replaced only with the corresponding redundant row or column available. Thus, one bank may “run out” of redundancy, but redundancy may remain in other banks in the die. In this case, the die can no longer be fully repaired because at least one bank or segment is not repairable. The method can then determine the yield of the repair process performed on the die based on the MRI for the memory banks in the die. In addition, the MRI is determined for the die based on the MRI determined for the memory bank in the die, which indicates whether the die can be repaired. For example, if the MRI for a memory bank indicates that any of the memory banks is not repairable, the MRI is determined to be a value indicating that the memory die is not repairable.

他の実施形態では、この方法は、ウェハ上の1つ又は複数のダイのそれぞれのメモリ・バンクに対するMRIを決定することと、それぞれのメモリ・バンクに対するMRIに基づいて1つ又は複数のダイのメモリ歩留まりを決定することとを含む。これらのステップは、上述のように実行される。方法のこの実施形態は、ダイ−ダイ・メモリ歩留まりを決定するために使用される。それに加えて、1つ又は複数のダイに対するメモリ歩留まりを使用して、ウェハに対するメモリ歩留まりを決定することができる。   In other embodiments, the method includes determining the MRI for each memory bank of one or more dies on the wafer and determining the MRI for one or more dies based on the MRI for each memory bank. Determining a memory yield. These steps are performed as described above. This embodiment of the method is used to determine die-to-die memory yield. In addition, the memory yield for one or more dies can be used to determine the memory yield for the wafer.

他の実施形態では、この方法は、メモリ歩留まり予測をメモリ外部の歩留まり予測と組み合わせて、全歩留まり予測を決定することを含む。   In other embodiments, the method includes combining the memory yield prediction with a yield prediction outside the memory to determine a total yield prediction.

追加の実施形態では、この方法は、ウェハ上の1つ又は複数のダイに対する1つ又は複数のメモリ歩留まりに、少なくとも一部は基づいてウェハの配置を実行することを含む。例えば、本明細書で説明されている方法を使用して、ウェハのインライン配置を実行し、それにより、WIPプランニングを改善(例えば、効率化)し、生産コストを削減することができる。例えば、何らかの所定のしきい値よりも低いメモリ歩留まりを有するダイの数を決定し、使用して、ウェハ上で修復を実行すべきか、ウェハを作り直すべきか、ウェハをスクラップにすべきかなどを決定することができる。このような一例では、所定のしきい値よりも低いメモリ歩留まりを有するダイの数を、他の所定のしきい値と比較することができ、ウェハ上で修復が実行されるべきかどうかを判断するために必要な最低ウェハ・ベース歩留まりを表すために両方のしきい値を選択することができる。例えば、しきい値は、ウェハの推定値がウェハを完成させるコストを超えない最低メモリ歩留まりに対応するように(例えば、ユーザーにより、又は本明細書で説明されている1つ又は複数の実施形態により)選択される。他の実施形態では、この方法は、ウェハ上の1つ又は複数のダイに対するメモリ歩留まりに基づいてウェハに対するメモリ歩留まりを決定することを含む。したがって、メモリ歩留まりは、メモリ修復プロセスの後の歩留まりであるが、ただし、そのプロセスがウェハ上の1つ又は複数のダイ上で実行される場合とする。上述のように、ウェハに対するメモリ歩留まりを使用して、ウェハを処分することができる。例えば、メモリ修復プロセスの後のウェハの値は、少なくとも一部はメモリ歩留まりに基づいて決定され、この値をウェハを完成させるコストと比較して、ウェハをスクラップにすべきかどうかを判定することができる。   In additional embodiments, the method includes performing placement of the wafer based at least in part on the one or more memory yields for the one or more dies on the wafer. For example, the methods described herein can be used to perform inline placement of wafers, thereby improving (eg, increasing efficiency) WIP planning and reducing production costs. For example, determine and use the number of dies that have a memory yield below some predetermined threshold and use it to determine whether to perform repairs on the wafer, remake the wafer, scrap the wafer, etc. can do. In one such example, the number of dies with memory yields below a predetermined threshold can be compared to other predetermined thresholds to determine whether repair should be performed on the wafer. Both thresholds can be selected to represent the minimum wafer-based yield required to do. For example, the threshold may correspond to a minimum memory yield where the wafer estimate does not exceed the cost of completing the wafer (eg, by one or more embodiments described by a user or described herein). Selected). In other embodiments, the method includes determining a memory yield for the wafer based on a memory yield for one or more dies on the wafer. Thus, memory yield is the yield after the memory repair process, provided that the process is performed on one or more dies on the wafer. As described above, the memory yield for a wafer can be used to dispose of the wafer. For example, the value of the wafer after the memory repair process is determined at least in part based on memory yield, and this value can be compared to the cost of completing the wafer to determine whether the wafer should be scrapped. it can.

一実施形態では、冗長行の個数を比較することは、メモリ・バンクを修復するのに必要な冗長行の一部を決定することを含み、冗長列の個数を比較することは、メモリ・バンクを修復するのに必要な冗長列の一部を決定することを含み、メモリ・バンクに対するMRIを決定することは、冗長行の一部と冗長列の一部に基づいてMRIを決定することを含む。   In one embodiment, comparing the number of redundant rows includes determining a portion of the redundant row necessary to repair the memory bank, and comparing the number of redundant columns includes: Determining the MRI for the memory bank includes determining a MRI for the memory bank based on a portion of the redundant row and a portion of the redundant column. Including.

上述の割合に基づいてMRIを決定することを含む方法は、本明細書で説明されている他のステップを含む。例えば、そのような一実施形態では、この方法は、ウェハ上の1つ又は複数のダイのそれぞれのメモリ・バンクに対するMRIを決定することと、それぞれのメモリ・バンクに対するMRIに基づいて1つ又は複数のダイのメモリ歩留まりを決定することとを含む。この実施形態のステップは、本明細書でさらに説明されているように実行される。他の例では、そのような他の実施形態において、この方法は、ウェハ上の1つ又は複数のダイのそれぞれのメモリ・バンクに対するMRIを決定することと、それぞれのメモリ・バンクに対するMRIに基づいて1つ又は複数のダイのメモリ歩留まりを決定することと、1つ又は複数のダイのそれぞれに対するメモリ修復歩留まりに基づいてウェハのメモリ歩留まりを決定することとを含む。この実施形態のステップは、本明細書でさらに説明されているように実行される。このように、この方法は、MRIを使用して、ウェハ−ウェハに基づいてメモリ歩留まりを予測することを含む。同様にして、MRIをウェハ上のそれぞれのダイについて決定し、それぞれのダイに対するMRIを使用して、ウェハ・ベースのメモリ歩留まりを決定することができる。例えば、ウェハ・ベースのメモリ歩留まりは、ウェハ上のそれぞれのダイに対するMRIの合計をウェハ上のダイの数で除算して、そのメモリに関して良好であるか、又は修復可能であるウェハ上のダイの割合を決定することにより決定される。良好であるか、又は修復可能であるウェハ上のダイの割合は、場合によっては時間的推移に応じた歩留まり又は成功率などの修復プロセスに関する情報と併用され、これにより、ウェハ上で実行される修復プロセスに対するメモリ歩留まりを適切に予測することができる。   A method that includes determining the MRI based on the ratios described above includes the other steps described herein. For example, in one such embodiment, the method may determine an MRI for each memory bank of one or more dies on the wafer and one or more based on the MRI for each memory bank. Determining the memory yield of the plurality of dies. The steps of this embodiment are performed as described further herein. In other examples, in such other embodiments, the method is based on determining the MRI for each memory bank of one or more dies on the wafer and based on the MRI for each memory bank. Determining the memory yield of one or more dies, and determining the memory yield of the wafer based on the memory repair yield for each of the one or more dies. The steps of this embodiment are performed as described further herein. Thus, the method includes predicting memory yield based on wafer-to-wafer using MRI. Similarly, an MRI can be determined for each die on the wafer and the MRI for each die can be used to determine wafer-based memory yield. For example, wafer-based memory yield is calculated by dividing the sum of the MRI for each die on the wafer by the number of dies on the wafer, and for die on the wafer that is good or repairable for that memory. Determined by determining the percentage. The percentage of dies on the wafer that are good or can be repaired is sometimes combined with information about the repair process, such as yield or success rate over time, and thus performed on the wafer. It is possible to appropriately predict the memory yield for the repair process.

いくつかの実施形態では、MRIは、さらに、メモリ・バンクが修復可能でなくなる確率を示す。このように、MRIは、メモリ・バンクが修復可能かどうか、メモリ・バンクが修復可能でない確率を示す。メモリ・バンクが修復可能でない確率は、上で説明されているように実行される、利用可能な冗長行の数と修復に必要な冗長行の数とを比較することと、利用可能な冗長列の数と修復に必要な冗長行の数とを比較することとに基づいて、また場合によっては欠陥の1つ又は複数の属性、メモリ設計の1つ又は複数の属性、修復プロセスの1つ又は複数の属性を組み合わせて決定される。このような属性は、例えば、確率が決定されるメモリ・バンクに設計面で少なくとも類似している他のメモリ・バンクにおいて実行される修復プロセスの時間的推移に応じた成功率を含む。このようなMRIは2つの値で表され、1つはメモリ・バンクが修復可能かどうかを示す値であり、もう1つはメモリ・バンクが修復可能でない確率を示す値とすることができる。それとは別に、MRIは単一値で表され、これは、メモリ・バンクが修復可能かどうかとメモリ・バンクが修復可能でない確率を示す値である。2つの値及び単一の値は、本明細書で説明されているフォーマットで表現される。そのような一実施形態では、この方法は、ウェハ上の1つ又は複数のダイのそれぞれのメモリ・バンクに対するMRIを決定することと、1つ又は複数のダイのメモリ・バンクのそれぞれに対するMRIに基づいて1つ又は複数のダイのMRIを決定することとを含む。これらのステップは、本明細書で説明されているように実行される。このような一実施形態では、1つ又は複数のダイに対するMRIは、1つ又は複数のダイが修復可能でなくなる確率を示す(それぞれのメモリ・バンクに対するMRIは、メモリ・バンクが修復可能でなくなる確率を示し、また上でさらに説明されているようにダイの修復可能性は、メモリ・バンクの修復可能性に関係しているため)。そのような一実施形態では、この方法は、ウェハ上の1つ又は複数のダイに対するMRIのしきい値設定に基づいてウェハ・ベースのメモリ歩留まり予測を決定することを含む。ウェハ・ベースのメモリ歩留まり予測を決定することは、上で説明されているように実行されるが、ウェハの歩留まりは、上で説明されているように修復プロセスの歩留まりでなくなる。   In some embodiments, the MRI further indicates the probability that the memory bank will not be repairable. Thus, MRI indicates whether the memory bank is repairable and the probability that the memory bank is not repairable. The probability that a memory bank is not repairable is performed as described above, comparing the number of available redundant rows with the number of redundant rows required for repair and the available redundant columns. And the number of redundant rows required for repair, and possibly one or more attributes of the defect, one or more attributes of the memory design, one or more of the repair process, or Determined by combining multiple attributes. Such attributes include, for example, a success rate as a function of time over a repair process performed in another memory bank that is at least similar in design to the memory bank for which the probability is determined. Such MRI is represented by two values, one being a value indicating whether or not the memory bank can be repaired, and the other being a value indicating the probability that the memory bank is not repairable. Alternatively, the MRI is represented by a single value, which is a value indicating whether the memory bank is repairable and the probability that the memory bank is not repairable. Two values and a single value are expressed in the format described herein. In one such embodiment, the method includes determining an MRI for each memory bank of one or more dies on the wafer and an MRI for each of the memory banks of one or more dies. Determining the MRI of the one or more dies based on. These steps are performed as described herein. In one such embodiment, the MRI for one or more dies indicates the probability that one or more dies will not be repairable (the MRI for each memory bank will make the memory bank unrepairable) This shows the probability, and as explained further above, die repairability is related to memory bank repairability). In one such embodiment, the method includes determining a wafer-based memory yield prediction based on MRI threshold settings for one or more dies on the wafer. Determining the wafer-based memory yield prediction is performed as described above, but the wafer yield is no longer the yield of the repair process as described above.

いくつかの実施形態では、この方法は、メモリ・バンクのデコーダ領域に配置されている1つ又は複数の欠陥、メモリ・バンクのセンス・アンプ領域に配置されている1つ又は複数の欠陥、又はそれらの何らかの組合せに基づいてメモリ・バンク(例えば、メモリ・バンクの論理周辺回路)における修復不可能な欠陥を識別することを含む。例えば、メモリ・バンクの検査は、メモリ・バンクのすべての領域(例えば、論理周辺回路、デコーダ領域、センス・アンプ領域を含む)内の欠陥を検出するために実行され、本明細書で説明されている実施形態により決定される、メモリ・バンク内の欠陥の配置は、欠陥のそれぞれ又は1つ又は複数がメモリ・バンクのどの領域に配置されているかを判定するために使用される。メモリ・バンク内の修復不可能な欠陥の数は、少なくとも一部は、デコーダ領域とセンス・アンプ領域において検出され、位置特定された欠陥の数に基づいて決定される。この方法は、さらに、少なくとも一部は、メモリ・バンク内の修復不可能な欠陥に基づいてメモリ歩留まりを推定することを含むが、これは、修復不可能な欠陥が1つでもあればダイをだめにする可能性があるため有利である。   In some embodiments, the method includes one or more defects located in the decoder area of the memory bank, one or more defects located in the sense amplifier area of the memory bank, or Identifying irreparable defects in a memory bank (eg, memory bank logic peripherals) based on some combination thereof. For example, a memory bank test is performed to detect defects in all areas of the memory bank (including, for example, logic peripheral circuits, decoder areas, and sense amplifier areas) and is described herein. The placement of defects in the memory bank, as determined by certain embodiments, is used to determine in which region of the memory bank each or one or more of the defects is located. The number of non-repairable defects in the memory bank is determined based at least in part on the number of defects detected and located in the decoder and sense amplifier areas. The method further includes estimating the memory yield based at least in part on the unrepairable defects in the memory bank, which includes the die if there are any unrepairable defects. This is advantageous because it can be spoiled.

一実施形態では、この方法は、フィード・フォワード制御技術を使用してMRIに基づいて電気的試験プロセスの1つ又は複数のパラメータを変更することを含む。他の実施形態では、この方法は、メモリ・バンクが修復可能でない場合に、メモリ・バンクが配置されているダイが電気的試験プロセス実行時に試験されないようにフィード・フォワード制御技術を使用してMRIに基づいて電気的試験プロセスの1つ又は複数のパラメータを変更することを含む。例えば、メモリ試験は比較的長い時間を要する。したがって、上で説明されているように決定されるメモリ・バンク又はメモリ・ダイが修復可能でないという予測に基づいて、その情報をプローバ又は他のメモリ試験システムに供給し、メモリ試験時に、影響を受ける、修復可能でないダイをスキップする。このように、試験の量を減らし、メモリ試験のコストを削減することができる。それに加えて、メモリ試験は、開/短絡試験、機能試験、電気的パラメータ試験を含む。どのダイが修復可能であるかを判定するために本明細書で説明されている方法を使用することによりこのような試験を排除できる場合、メモリ試験プロセスは、かなり短い時間で実行される。それとは別に、電気的試験プロセスを、修復可能でないダイ上のさらなるFAのために関係性の高い試験データを集めるように変更することができ、またさまざまな可能性のある障害機構の予測される影響に基づいて試験を特定の配置に絞り込むことが可能である。さらに、メモリ修復は、レーザー若しくは電気的手段を使用してヒューズを飛ばし、それにより冗長行及び/又は列へのデコーダのルート変更を行うことを含む。メモリ修復の後、メモリ試験を実行して、修復を検証し、負荷試験などのさらなる試験を実施することができる。したがって、本明細書で説明されているようにどのダイを修復できるかを判定することにより、メモリ修復及び追加のメモリ試験を修復可能なダイに対してのみ実行することができ、したがって、かなり時間短縮できる。   In one embodiment, the method includes changing one or more parameters of the electrical test process based on MRI using a feed forward control technique. In other embodiments, the method uses an MRI using a feed forward control technique so that if the memory bank is not repairable, the die in which the memory bank is located is not tested during the electrical test process. Changing one or more parameters of the electrical testing process based on For example, the memory test takes a relatively long time. Therefore, based on the prediction that a memory bank or memory die determined as described above is not repairable, that information is provided to a prober or other memory test system to affect the memory test. Receive a non-repairable die. In this way, the amount of testing can be reduced and the cost of memory testing can be reduced. In addition, memory tests include open / short circuit tests, functional tests, electrical parameter tests. If such a test can be eliminated by using the method described herein to determine which die can be repaired, the memory test process is performed in a fairly short time. Apart from that, the electrical test process can be modified to collect relevant test data for further FA on the non-repairable die, and the prediction of various possible failure mechanisms It is possible to narrow the test to a specific arrangement based on the impact. In addition, memory repair includes using a laser or electrical means to blow fuses, thereby rerouting the decoder to redundant rows and / or columns. After the memory repair, a memory test can be performed to verify the repair and to perform further tests such as a load test. Thus, by determining which dies can be repaired as described herein, memory repairs and additional memory tests can be performed only on repairable dies, and therefore can be quite time consuming. Can be shortened.

いくつかの実施形態では、この方法は、メモリ・バンクのアレイ・ブロック領域内に配置された欠陥の1つ又は複数の属性、MRI、又はそれらの何らかの組合せに基づいて修復プロセスの1つ又は複数のパラメータを変更することを含む。例えば、メモリ修復プロセスは、修復可能でないと判定されたメモリ・バンクを含むメモリ・ダイに対しては修復が試みられないように変更される。それに加えて、メモリ修復プロセスは、修復が成功する確率を高めるように変更される。この実施形態で変更される修復プロセスの1つ又は複数のパラメータは、修復プロセスの(複数の)パラメータを含む。   In some embodiments, the method includes one or more repair processes based on one or more attributes, MRI, or some combination thereof of defects located in the array block region of the memory bank. Including changing the parameters. For example, the memory repair process is modified so that no repair is attempted for a memory die that includes a memory bank that has been determined to be unrepairable. In addition, the memory repair process is modified to increase the probability of successful repair. The one or more parameters of the repair process that are modified in this embodiment include the parameter (s) of the repair process.

いくつかの実施形態では、欠陥はメモリ・バンクのゲート層で検出された欠陥を含む。他の実施形態では、欠陥は、メモリ・バンクの金属層で検出された欠陥を含む。例えば、メモリ加工では、ゲート層と金属層で検査を実行する。本明細書で説明されている方法は、これらの層の1つ又は複数で検出された欠陥について実行される。それに加えて、大半のメモリ加工は、ゲートと金属層での検査を含み、ゲートと金属層で得られた検査結果は歩留まりを十分予測できるものであり、ビット修復のためキャパシタ層で検査を実行することもできる。したがって、ゲート層、金属層、キャパシタ層で生成される検査結果を使用して、歩留まりを予測するだけでなく、それに加えて、本明細書で説明されている実施形態を容量層で検出された欠陥に対し実行することができる。   In some embodiments, the defects include defects detected at the gate layer of the memory bank. In other embodiments, the defects include defects detected in the metal layer of the memory bank. For example, in memory processing, inspection is performed on a gate layer and a metal layer. The methods described herein are performed on defects detected in one or more of these layers. In addition, most memory processing includes inspection at the gate and metal layer, and the test results obtained at the gate and metal layer can predict yield well, and inspection is performed at the capacitor layer for bit repair. You can also Therefore, the test results generated in the gate layer, metal layer, and capacitor layer were used not only to predict yield, but in addition, the embodiments described herein were detected in the capacitive layer Can be performed on defects.

一実施形態では、この方法は、メモリ・バンク内の欠陥の配置に基づいて欠陥のビット・エラー・モードを予測することを含む。このように、欠陥の配置は、ビット・エラー・モードを予測するために使用される。このような情報は、メモリ・バンクを修復するのに必要な冗長部分の量を決定するうえで有用である。例えば、メモリ・バンクのp−MOS領域内の欠陥は、センス・アンプ障害の原因となり、これにより、n−MOS領域内の欠陥に比べて冗長性を多く消費する。欠陥に近接する設計データの1つ又は複数の属性及び/又は欠陥の1つ又は複数の欠陥属性(例えば、サイズ)も、ビット・エラー・モードの予測を向上させるために使用される。修復に必要な冗長性の予測を補助することに加えて、又はダイ内のメモリが形成される場合に、故障モードの予測を行うことで、結果として、(複数の)ビット・エラーを引き起こす(複数の)欠陥を高速に、又は適切に識別することができる。早期の予測により、ビット・エラーが試験時に発見された場合にFAなしでは不可能な、DOIを識別し、レビューすることが可能になる。また、デバイスの潜在故障に関わると思われる欠陥を識別し、レビューすることや、利用可能な冗長性を使用して潜在故障率を下げることも可能である。このように、欠陥をメモリの領域(例えば、センス・アンプ)にマッピングし、(複数の)欠陥及び/又は領域属性をルールと組み合わせて使用し、ビット・エラー・モードをインラインで予測することができる。   In one embodiment, the method includes predicting the bit error mode of the defect based on the placement of the defect in the memory bank. Thus, the defect placement is used to predict the bit error mode. Such information is useful in determining the amount of redundancy required to repair the memory bank. For example, a defect in the p-MOS region of the memory bank causes a sense amplifier failure, which consumes more redundancy than a defect in the n-MOS region. One or more attributes of the design data proximate to the defect and / or one or more defect attributes (eg, size) of the defect are also used to improve the prediction of the bit error mode. In addition to assisting in predicting the redundancy required for repair, or when memory in the die is formed, prediction of failure mode results in bit error (s) ( (Multiple) defects can be identified quickly or appropriately. Early prediction makes it possible to identify and review DOIs that are not possible without FA if bit errors are discovered during testing. It is also possible to identify and review defects that may be related to potential failure of the device, and to reduce the potential failure rate using available redundancy. In this way, defects can be mapped to areas of memory (eg, sense amplifiers), defect and / or area attributes can be used in combination with rules, and bit error modes can be predicted inline. it can.

いくつかの実施形態では、この方法は、MRIに基づいて、メモリ・バンクにおける利用可能な冗長列の個数、利用可能な冗長行の個数、又はそれらの何らかの組合せがメモリ・バンクの設計者により評価されるべきかどうかを決定することを含む。このように、この方法は、「冗長性分析」を実行して、冗長性領域内にさらに行又は列を追加することを特定のメモリ・バンクで実行すべきかどうかを設計者に示唆することを含む。本明細書で説明されている方法は、この方法を致命的ウェハの早期検出に使用することができ、また歩留まり学習を高速化できるため、ダイの設計に関するフィードバックを送るうえで特に有用である。   In some embodiments, the method evaluates the number of available redundant columns in the memory bank, the number of available redundant rows, or some combination thereof by the memory bank designer based on MRI. Including determining whether to be done. Thus, this method suggests that a “redundancy analysis” be performed to suggest the designer whether a particular memory bank should perform additional rows or columns within the redundancy region. Including. The method described herein is particularly useful in providing feedback on die design because it can be used for early detection of deadly wafers and can speed up yield learning.

他の実施形態では、この方法は、アレイ・ブロック領域内に配置されている欠陥の1つ又は複数に対するDCIを決定することを含む。1つ又は複数の欠陥に対するDCIは、本明細書で説明されているように決定される。このような一実施形態では、メモリ・バンクを修復するのに必要な冗長行の個数と冗長列の個数を決定することは、1つ又は複数の欠陥についてDCIを使用して実行される。他の実施形態では、メモリ・バンクを修復するのに必要な冗長行の個数と冗長列の個数を決定することは、メモリ・バンクのアレイ・ブロック領域に配置されている欠陥のそれぞれに対するDCIを決定することと、DCIを所定のしきい値と比較することと、所定のしきい値よりも高いDCIを有する欠陥のすべてを修復するのに必要な冗長行の個数と冗長列の個数を決定することとを含む。例えば、DCIは、アレイ・ブロック領域内に配置されているすべての欠陥について決定される。DCIは、本明細書でさらに説明されているようにアレイ・ブロック領域内に配置されている欠陥について決定される。それに加えて、この方法は、DCIを使用して、欠陥により引き起こされる行又は列の障害の数を予測することを含む。例えば、ユーザーによって定義される、DCIが所定の値よりも大きい欠陥の数が冗長性領域内の行又は列の数よりも多い場合、MRI(この例では、修復に必要な冗長行又は冗長列と利用可能な冗長行又は冗長列との比であると定義される)は1(不合格)よりも大きいと判定される。対照的に、ユーザーにより定義される、また第1の所定の値と異なるものとしてよい、DCIが第2の所定の値よりも小さい欠陥の数が冗長性領域内の行又は列の数よりも少ない場合、MRIは1未満であると判定される(合格、恐らくは何らかの修復あり)。それに加えて、この方法は、DCIがしきい値よりも高いすべての欠陥が修復を必要とする場合に、メモリ・バンクを修復するのに必要と思われる利用可能な冗長行及び/又は列の最大カウント又はパーセントを決定することを含む。   In other embodiments, the method includes determining DCI for one or more of the defects located in the array block region. The DCI for one or more defects is determined as described herein. In one such embodiment, determining the number of redundant rows and redundant columns needed to repair a memory bank is performed using DCI for one or more defects. In other embodiments, determining the number of redundant rows and redundant columns required to repair the memory bank is obtained by determining the DCI for each of the defects located in the array block area of the memory bank. Determining, comparing the DCI with a predetermined threshold, and determining the number of redundant rows and redundant columns needed to repair all defects having a DCI higher than the predetermined threshold Including. For example, DCI is determined for all defects located in the array block area. The DCI is determined for defects located in the array block area as further described herein. In addition, the method includes using DCI to predict the number of row or column failures caused by the defect. For example, if the number of defects defined by the user with a DCI greater than a predetermined value is greater than the number of rows or columns in the redundancy area, MRI (in this example, redundant rows or redundant columns required for repair) Is defined to be greater than 1 (fail). In contrast, the number of defects whose DCI is less than the second predetermined value, which is defined by the user and may be different from the first predetermined value, is greater than the number of rows or columns in the redundancy region. If so, it is determined that the MRI is less than 1 (passed, possibly with some repair). In addition, this method allows for the use of available redundant rows and / or columns that may be needed to repair the memory bank if all defects with a DCI above the threshold require repair. Including determining a maximum count or percentage.

DCIを使用して、ダイ内のメモリが修復可能かどうかを判定することは、個別の欠陥の実際の歩留まりが、欠陥により引き起こされるパターン障害、欠陥の配置(例えば、層の最上部、層内に埋め込まれる、など)、欠陥サイズなどの欠陥の1つ又は複数の属性などに応じて変化するため、有利である。DCIは、本明細書で説明されているように欠陥におけるそのような変動に基づいて決定され、それにより異なる欠陥が歩留まりに実際に影響をどのように及ぼすかを反映することができる。それに加えて、系統的欠陥は実際の歩留まり影響の多くを有する可能性があるため、本明細書で説明されている方法は、メモリ・バンク内で検出されたどの欠陥が、系統的欠陥であるかを判定し、次いで、系統的欠陥のクリティカル度に基づいて本明細書で説明されているようにMRIを決定することを含む。系統的欠陥は、本明細書で説明されている(複数の)実施形態により識別される。   Using DCI to determine if the memory in the die can be repaired, the actual yield of the individual defects is dependent on the pattern failure caused by the defect, the placement of the defect (eg, the top of the layer, within the layer) Or the like), which varies depending on one or more attributes of the defect, such as the defect size. DCI is determined based on such variations in defects as described herein, thereby reflecting how different defects actually affect yield. In addition, since the systematic defects can have many of the actual yield effects, the method described herein is that which defects detected in the memory bank are systematic defects. And then determining an MRI as described herein based on the criticality of the systematic defect. Systematic defects are identified by the embodiment (s) described herein.

いくつかの実施形態では、この方法は、メモリ・バンクのアレイ・ブロック領域内に配置されている欠陥によるメモリ・バンクの障害に対するMRIを決定することを含む。このように、この方法は、メモリ・バンクの非冗長領域内で検出された欠陥によるセグメント障害に対する指数を決定することを含む。同様に、この方法は、メモリ・バンクの冗長領域内で検出された欠陥によるセグメント障害に対する指数を決定することを含む。   In some embodiments, the method includes determining an MRI for failure of the memory bank due to a defect located within the array block region of the memory bank. Thus, the method includes determining an index for segment failure due to defects detected in non-redundant regions of the memory bank. Similarly, the method includes determining an index for segment failure due to defects detected in the redundant region of the memory bank.

他の実施形態では、この方法は、メモリ・バンクの冗長行と冗長列内に配置されている欠陥によるメモリ・バンクの障害に対するMRIを決定することを含む。このように、この方法は、論理的行及び/又は列障害に対する指数を決定することを含む。このような指数は、上述のように試験プロセスの1つ又は複数のパラメータを変えるために使用される。   In other embodiments, the method includes determining an MRI for failure of the memory bank due to a defect located in the redundant row and redundant column of the memory bank. Thus, the method includes determining an index for logical row and / or column faults. Such an index is used to change one or more parameters of the test process as described above.

いくつかの実施形態では、この方法は、メモリ・バンク内で検出された欠陥同士の空間的相関関係を例示する類似のメモリ・バンク設計の積層マップを生成することを含む。このように、この方法は、空間相関を示す積層マップを生成することを含む。このような積層マップは、当業界で知られている好適な方法で生成される。   In some embodiments, the method includes generating a stack map of similar memory bank designs that illustrate the spatial correlation between defects detected in the memory bank. Thus, the method includes generating a stacked map that exhibits spatial correlation. Such a stack map is generated by any suitable method known in the art.

一実施形態では、この方法は、ダイに基づいてMRIを決定することを含む。また、この方法は、ウェハ・ベース、及び/又はロット・ベースでMRIを決定することを含む。ダイ・ベース、ウェハ・ベース、及び/又はロット・ベースでMRIを決定することは、本明細書で説明されているように実行される。   In one embodiment, the method includes determining an MRI based on the die. The method also includes determining MRI on a wafer basis and / or lot basis. Determining MRI on a die basis, wafer basis, and / or lot basis is performed as described herein.

他の実施形態では、この方法は、ウェハ上のダイがアレイ・ブロック領域内に配置されている欠陥により不具合を生じる場合を示す指数又はメモリ歩留まり予測を決定することを含む。このように、この方法は、ダイが不良メモリ・バンクにより機能しないことを示す指数又は確率を決定することを含む。この指数は、本明細書でさらに説明されているように決定される。   In other embodiments, the method includes determining an index or memory yield prediction that indicates when a die on the wafer is failed due to a defect located in the array block region. Thus, the method includes determining an index or probability indicating that the die is not functioning due to a bad memory bank. This index is determined as described further herein.

追加の実施形態では、この方法は、ウェハ上のダイにおいてメモリ・バンクに対するMRIを決定することと、修復可能でないことをMRIにより示されているメモリ・バンクの2つ又はそれ以上の間の空間的相関関係を例示するダイの積層マップを生成することとを含む。ダイ内のメモリ・バンクに対するMRIを決定することは、本明細書で説明されているように実行される。それに加えて、積層マップは、当業界で知られている好適な方法で生成される。   In an additional embodiment, the method determines the MRI for a memory bank in a die on the wafer and the space between two or more of the memory banks indicated by the MRI that it is not repairable. Generating a die stacking map that exemplifies dynamic correlation. Determining the MRI for the memory bank within the die is performed as described herein. In addition, the stack map is generated in any suitable manner known in the art.

さらに他の実施形態では、この方法は、ウェハ上のダイにおいてメモリ・バンクに対するMRIを決定することと、修復可能でないことをMRIにより示されているメモリ・バンクの2つ又はそれ以上の間の空間的相関関係を例示するウェハ上のメモリ・バンクを形成するために使用されるレチクルの積層マップを生成することとを含む。ダイ内のメモリ・バンクに対するMRIを決定することは本明細書で説明されているように実行される。それに加えて、積層マップは、当業界で知られている好適な方法で生成される。   In yet another embodiment, the method determines between an MRI for a memory bank in a die on the wafer and between two or more of the memory banks indicated by the MRI that it is not repairable. Generating a stacking map of reticles used to form memory banks on the wafer illustrating the spatial correlation. Determining the MRI for the memory bank within the die is performed as described herein. In addition, the stack map is generated in any suitable manner known in the art.

いくつかの実施形態では、この方法は、ダイにおいて検出された欠陥の影響を受けるダイのメモリ・バンクを識別することと、メモリ・バンクに対する欠陥の影響に基づいてメモリ・バンクをランク付けすることとを含む。このように、この方法は、影響を受けるメモリ・バンクのリストのランク付けを行うことを含む。メモリ・バンクに対する欠陥の影響は、本明細書で説明されている情報(例えば、欠陥の1つ又は複数の属性、メモリ・バンクに対する設計データの1つ又は複数の属性など)に基づいて判定される。メモリ・バンクをランク付けするために使用されるメモリ・バンクに対する欠陥の影響は、メモリ・バンクに対し欠陥が及ぼす影響(例えば、悪影響)を含む。メモリ・バンクは、欠陥の影響が最も大きいメモリ・バンクが最高ランクを割り当てられ、欠陥の影響が最も低いメモリ・バンクが最低ランクを割り当てられるようにランク付けされる。メモリ・バンクのこのようなランク付けは、例えば、ダイ内のメモリ・バンクの配置と欠陥がメモリ・バンクに及ぼす影響の程度との間の関係を決定するために使用される。それに加えて、そのような関係を使用することで、欠陥の少なくとも一部の原因を予測し、この予測を用いて追加のウェハ上のそれらの欠陥を減らし、及び/又は最初にメモリ・バンクに対する最大の影響を及ぼす欠陥の数を減らし(例えば、欠陥の検出に先立ってメモリ・バンク上で実行されるプロセスを変更する、及び/又はメモリ・バンクの設計を変更するなどの本明細書で説明されている変更のステップの1つ又は複数を使用して)、その後メモリ・バンクに対する影響が小さい欠陥を減らすことができる(例えば、上述の変更するステップの1つ又は複数を使用して)。   In some embodiments, the method identifies a memory bank of a die that is affected by a detected defect in the die and ranks the memory bank based on the effect of the defect on the memory bank. Including. Thus, the method includes ranking the list of affected memory banks. The impact of a defect on a memory bank is determined based on information described herein (eg, one or more attributes of the defect, one or more attributes of design data for the memory bank, etc.). The The effects of defects on the memory banks used to rank the memory banks include the effects (eg, adverse effects) of the defects on the memory banks. The memory banks are ranked so that the memory bank with the highest impact of defects is assigned the highest rank and the memory bank with the lowest impact of defects is assigned the lowest rank. Such ranking of the memory banks is used, for example, to determine the relationship between the placement of the memory banks in the die and the extent to which the defects affect the memory banks. In addition, using such a relationship can predict the cause of at least some of the defects, and use this prediction to reduce those defects on additional wafers and / or to the memory bank first Reducing the number of defects that have the greatest impact (e.g., changing the process performed on the memory bank prior to detecting the defect and / or changing the design of the memory bank) The defects that have a low impact on the memory bank can then be reduced (eg, using one or more of the changing steps described above).

他の実施形態では、この方法は、メモリ・バンクの修復不可能な領域における欠陥の影響を受けるウェハ上に形成されるメモリ・バンクの割合を決定することを含む。メモリ・バンクの修復不可能領域内の欠陥の影響を受けるメモリ・バンクは、本明細書で説明されているように決定される。この割合は、そのようなメモリ・バンクの数とウェハ上に形成されたメモリ・バンクの総数に基づいて決定される。それに加えて、この方法は、起こりうる冗長性障害の影響を受ける、及び/又は修復不可能な障害の影響を受けるダイの割合を決定することを含む。起こりうる冗長性障害と修復不可能な障害は、本明細書で説明されているように識別される。それに加えて、起こりうる冗長性障害及び/又は修復不可能な障害の影響を受けるダイは、本明細書で説明されているように識別される。影響を受けるダイの数とウェハ上に形成されたダイの総数を使用して、起こりうる冗長性障害及び/又は修復不可能な障害の影響を受けるダイの割合を決定することができる。   In other embodiments, the method includes determining a percentage of the memory bank formed on the wafer that is affected by a defect in an unrepairable area of the memory bank. The memory bank affected by the defect in the non-repairable area of the memory bank is determined as described herein. This ratio is determined based on the number of such memory banks and the total number of memory banks formed on the wafer. In addition, the method includes determining the percentage of dies that are affected by possible redundancy faults and / or that are affected by unrepairable faults. Possible redundancy failures and non-repairable failures are identified as described herein. In addition, dies that are subject to possible redundancy and / or irreparable failures are identified as described herein. The number of affected dies and the total number of dies formed on the wafer can be used to determine the percentage of dies affected by possible redundancy and / or irreparable failures.

いくつかの実施形態では、この方法は、発生する可能性のある障害の間の空間的相関関係を例示するウェハ上に形成されたメモリ・バンク内に発生する可能性のある障害の積層ウェハ・マップを生成することを含む。このように、この方法は、起こりうる障害又はビン範囲に従って分けられる指数(空間相関に対する)の積層ウェハ・マップを生成することを含む。起こりうる障害は、本明細書で説明されているように識別され、積層ウェハ・マップは、好適な任意の方法で生成される。積層マップは、それとは別に、色分けする確率ビンなどの方法によりメモリ障害をダイが有する確率を表示又はオーバーレイにすることができる。   In some embodiments, the method includes a stacked wafer of faults that may occur in a memory bank formed on the wafer that illustrates a spatial correlation between the faults that may occur. Including generating a map. Thus, the method includes generating a stacked wafer map of indices (relative to spatial correlation) that are divided according to possible faults or bin ranges. Possible faults are identified as described herein, and the laminated wafer map is generated in any suitable manner. Alternatively, the stacking map can display or overlay the probability that the die has a memory fault by a method such as color binning probability bins.

他の実施形態では、この方法は、ウェハ上に形成された複数のダイに対するMRIを決定することと、MRIに基づいて複数のダイをランク付けすることとを含む。このように、この方法は、ウェハ上の影響受けるダイのランク付けされたリストを生成することを含む。複数のダイに対するMRIは、本明細書で説明されているように決定される。それに加えて、MRIに基づいて複数のダイをランク付けすることは、本明細書で説明されているように実行され、そのようなランク付けの結果は、本明細書で説明されているように使用される。   In other embodiments, the method includes determining an MRI for a plurality of dies formed on the wafer and ranking the plurality of dies based on the MRI. Thus, the method includes generating a ranked list of affected dies on the wafer. The MRI for multiple dies is determined as described herein. In addition, ranking multiple dies based on MRI is performed as described herein and the results of such ranking are as described herein. used.

上述のMRIを決定するための方法の実施形態はそれぞれ、本明細書で説明されている(複数の)方法の他の(複数の)ステップを含む。それに加えて、上述のMRIを決定するための方法の実施形態はそれぞれ、本明細書で説明されているシステムの実施形態により実行される。   Each of the method embodiments for determining MRI described above includes other step (s) of the method (s) described herein. In addition, each of the method embodiments for determining MRI described above is performed by the system embodiments described herein.

他の実施形態は、ウェハ上で検出された欠陥をビン範囲に従って分ける異なる方法に関係する。この方法は、設計データ空間における欠陥の位置と設計データにおけるホット・スポットの位置とを比較することを含む。欠陥の位置とホット・スポットを比較することは、好適な方法で実行される。少なくとも類似している設計データに近接して配置されているホット・スポットは、互いに相関する。ホット・スポットを、他の方法又はシステムにより互いに相関させることができる。それとは別に、ホット・スポットを、方法の一実施形態により互いに相関させることができる。例えば、一実施形態では、この方法は、系統的欠陥に関連付けられている設計データにおけるPOIの配置を識別することによりホット・スポット同士を相関させることと、POIと設計データにおける類似のパターンとを相関させることと、POIの配置と設計データにおける類似パターンの配置とを相関するホット・スポットの位置として相関させることとを含む。そのような一実施形態では、系統的欠陥を、他の方法又はシステムにより生成される、設計データに対する系統的欠陥のリスト、データベース、又はファイルなどのデータ構造体に収めることができる。他のそのような実施形態では、この方法は、系統的欠陥を識別することと、及び/又は系統的欠陥について設計データにおけるPOIを決定することとを含む。例えば、系統的欠陥は、設計データ空間における欠陥の位置に近接する設計データの部分に基づいてウェハ上で検出された欠陥をビン範囲に従って分けることにより識別されるが、これは上述のように実行される。POIは、欠陥がビン範囲に従って分けられたグループに対応する設計データの部分のパターンを抽出することにより決定される。このように、設計バックグラウンド・ベースのグループ化を使用してホット・スポットを互いに相関させることが可能であり、これは本明細書でさらに説明されているように実行される。さらに、ビン範囲に従ってホット・スポットを分けることによりホット・スポットを互いに相関させることが可能であり、これは本明細書でさらに説明されているように実行される。ホット・スポットを互いに相関させることは、オンツールで実行される。相関されたホット・スポットの位置は、「ホット・スポット・リスト」又はどのホット・スポットが互いに相関するのかを示す何らかの情報、リスト内のホット・スポットの識別記号、リスト内のホット・スポットの配置を含む他の好適なデータ構造体に格納される。このリストは、本質的にビン範囲によるグループ分けの方法において参照データとして使用される。   Other embodiments relate to different methods of dividing defects detected on the wafer according to bin ranges. The method includes comparing a defect location in the design data space with a hot spot location in the design data. Comparing the position of the defect with the hot spot is performed in a suitable manner. Hot spots located close to at least similar design data are correlated with each other. Hot spots can be correlated to each other by other methods or systems. Alternatively, hot spots can be correlated with one another according to one embodiment of the method. For example, in one embodiment, the method correlates hot spots by identifying POI placement in design data associated with systematic defects, and similar patterns in POI and design data. Correlating and correlating POI placement with placement of similar patterns in the design data as correlated hot spot locations. In one such embodiment, the systematic defects can be contained in a data structure such as a list of systematic defects, design data, or files for the design data generated by other methods or systems. In other such embodiments, the method includes identifying systematic defects and / or determining a POI in the design data for systematic defects. For example, systematic defects are identified by separating defects detected on the wafer according to bin ranges based on the portion of the design data that is close to the position of the defect in the design data space, which is performed as described above. Is done. The POI is determined by extracting the pattern of the part of the design data corresponding to the group in which the defect is divided according to the bin range. Thus, design background-based grouping can be used to correlate hot spots with each other, which is performed as described further herein. Further, it is possible to correlate hot spots with each other by separating hot spots according to bin range, which is performed as described further herein. Correlating hot spots with each other is performed on-tool. The location of the correlated hot spots is the “hot spot list” or some information indicating which hot spots are correlated with each other, the hot spot identification in the list, the location of the hot spots in the list Are stored in other suitable data structures. This list is essentially used as reference data in the bin range grouping method.

この方法は、さらに、欠陥と少なくとも類似している位置を有するホット・スポットとを関連付けることを含む。特に、設計データ空間において少なくとも類似する位置を有する欠陥とホット・スポットは、上述の比較するステップの結果に基づいて決定される。設計データ空間における位置を有する欠陥とホット・スポットを好適な方法で互いに関連付けることができる。それに加えて、この方法は、グループのそれぞれにおける欠陥が互いに相関するホット・スポットのみに関連付けられるように欠陥をビン範囲によってグループ分けるすることを含む。このように、欠陥のそれぞれのグループは、相関するホット・スポットのグループに対応することが可能である。   The method further includes associating a hot spot having a location that is at least similar to the defect. In particular, defects and hot spots having at least similar positions in the design data space are determined based on the result of the comparing step described above. Defects and hot spots having locations in the design data space can be associated with each other in a suitable manner. In addition, the method includes grouping defects by bin ranges so that defects in each of the groups are associated only with hot spots that correlate with each other. In this way, each group of defects can correspond to a group of correlated hot spots.

この方法は、さらに、ビン範囲に従ってグループ分けするステップの結果を記憶媒体に格納することを含む。格納するステップは、本明細書で説明されている方法の実施形態の他の結果に加えてビン範囲によるグループ分けのステップの結果を格納することを含む。ビン範囲によるグループ分けのステップの結果は、当業界で知られている方法により格納される。それに加えて、記憶媒体としては、本明細書で説明されている記憶媒体又は当業界で知られている他の好適な記憶媒体が考えられる。本明細書で説明されているような方法又はシステムの実施形態のどれかにおいて、ビン範囲によるグループ分けのステップの結果が格納された後、記録媒体の中のビン範囲によるグループ分けのステップの結果にアクセスし、それらの結果を利用することができる。さらに、ビン範囲によるグループ分けのステップの結果を、「永久的に」、半永久的に、一時的に、又はほんのわずかの間、格納しておくことができることに留意されたい。ビン範囲によるグループ分けのステップの結果を格納することは、さらに、本明細書で説明されている他の実施形態により実行される。   The method further includes storing the result of the grouping step according to the bin range on a storage medium. The storing step includes storing the results of the bin range grouping step in addition to other results of the method embodiments described herein. The result of the bin range grouping step is stored by methods known in the art. In addition, the storage medium may be the storage medium described herein or other suitable storage medium known in the art. In any of the method or system embodiments as described herein, after the bin range grouping step results are stored, the bin range grouping results in the recording medium result. And access their results. Furthermore, it should be noted that the results of the bin range grouping step can be stored “permanently”, semi-permanently, temporarily, or for only a short time. Storing the results of the bin grouping step is further performed according to other embodiments described herein.

一実施形態では、この方法は、DBCをグループの1つ又は複数に割り当てることを含む。DBCをグループの1つ又は複数に割り当てることは、本明細書で説明されている実施形態により実行される。他の実施形態では、この方法は、欠陥の1つ又は複数に対するDCIを決定することを含む。この実施形態において欠陥の1つ又は複数に対するDCIを決定することは、本明細書で説明されている実施形態のどれかにより実行される。   In one embodiment, the method includes assigning a DBC to one or more of the groups. Assigning a DBC to one or more of the groups is performed according to the embodiments described herein. In other embodiments, the method includes determining a DCI for one or more of the defects. Determining the DCI for one or more of the defects in this embodiment is performed by any of the embodiments described herein.

他の実施形態では、コンピュータ実施方法は、ウェハ上の欠陥を検出するために使用される検査システムにより実行される。このように、コンピュータ実施方法は、オンツールで実行される。それに加えて、この方法は、ホット・スポット管理をオンツールで実行することを含む。ホット・スポット管理は、例えば、ホット・スポット発見、ホット・スポット監視、ホット・スポット・リビジョン、又はその何らかの組合せを含み、それぞれ本明細書でさらに説明されているように実行される。例えば、いくつかの実施形態では、ホット・スポットは、ウェハ上の欠陥を検出するために使用される検査システムにより識別される。このように、ホット・スポットは、オンツールで識別又は発見される。ホット・スポットのこのような識別又は発見は、本明細書で説明されているように実行される(例えば、ウェハ上で検出された欠陥の設計バックグラウンド・ベースのグループ化を実行することにより)。   In other embodiments, the computer-implemented method is performed by an inspection system that is used to detect defects on the wafer. Thus, the computer-implemented method is performed on-tool. In addition, the method includes performing on-tool hot spot management. Hot spot management includes, for example, hot spot discovery, hot spot monitoring, hot spot revision, or some combination thereof, each performed as further described herein. For example, in some embodiments, hot spots are identified by an inspection system used to detect defects on the wafer. In this way, hot spots are identified or discovered on-tool. Such identification or discovery of hot spots is performed as described herein (eg, by performing a design background-based grouping of defects detected on the wafer). .

他の実施形態では、この方法は、設計データが印刷される1つ又は複数のウェハの検査結果を使用してホット・スポットを監視することを含む。検査の結果に基づいてホット・スポットを監視することは、本明細書で説明されているように実行される。ホット・スポットのこのような監視は、「オンツール」で実行される。ホット・スポットを監視することは、さらに、又はそれとは別に、上述の検査の結果、本明細書で説明されているビン範囲によるグループ分けの方法のうちの1つの方法の結果、本明細書で説明されているように実行される、1つ又は複数のDBCを1つ又は複数の欠陥に割り当てることを行った結果、本明細書で説明されている方法のどれかの他の結果、又はそれらの何らかの組合せを使用して実行される。   In other embodiments, the method includes monitoring hot spots using inspection results of one or more wafers on which design data is printed. Monitoring the hot spot based on the results of the inspection is performed as described herein. Such monitoring of hot spots is performed “on-tool”. Monitoring hot spots may additionally or alternatively be the result of one of the methods of grouping by bin range described herein, as a result of the above-described inspection, as described herein. The result of assigning one or more DBCs to one or more defects, performed as described, any other result of any of the methods described herein, or Is implemented using some combination of

他の実施形態では、この方法は、ホット・スポット間の相関関係に基づいてウェハを検査することを含む。例えば、相関するホット・スポットの異なるグループに対応するウェハ上の位置は、異なる形で検査される。ホット・スポット同士の間の相関に基づいてウェハを検査することも、相関、及び相関するホット・スポットのグループに対応する設計データの1つ又は複数の属性に基づいて実行される。例えば、欠陥に対して特に高い歩留まり感度を有する設計データに対応する相関するホット・スポットのグループの位置を使用して、通常の感度よりも高い感度で検査されるべきウェハ上の位置を決定することができる。この実施形態で使用される設計データの1つ又は複数の属性は、本明細書で説明されている設計データの(複数の)属性のどれかを含む。それに加えて、検査プロセスの1つ又は複数のパラメータを変更し、相関するホット・スポットの異なるグループに対応するウェハ上の位置が異なる形で検査されるようにできる。検査の1つ又は複数のパラメータは、本明細書で説明されている(複数の)パラメータを含む。   In other embodiments, the method includes inspecting the wafer based on the correlation between hot spots. For example, locations on the wafer corresponding to different groups of correlated hot spots are inspected differently. Inspecting the wafer based on the correlation between the hot spots is also performed based on the correlation and one or more attributes of the design data corresponding to the group of correlated hot spots. For example, the location of a group of correlated hot spots corresponding to design data having a particularly high yield sensitivity to defects is used to determine the location on the wafer to be inspected with higher sensitivity than normal sensitivity. be able to. The one or more attributes of the design data used in this embodiment include any of the design data attribute (s) described herein. In addition, one or more parameters of the inspection process can be changed so that locations on the wafer corresponding to different groups of correlated hot spots are inspected differently. The one or more parameters of the examination include the parameter (s) described herein.

いくつかの実施形態では、この方法は、ビン範囲に従って分けるステップの結果を使用して時間の経過とともに系統的欠陥、潜在的系統的欠陥、又はそれらの何らかの組合せを監視することを含むが、これは本明細書で説明されている実施形態により実行される。他の実施形態では、この方法は、ビン範囲に従って分けるステップの結果に基づいて設計データにおける系統的欠陥と潜在的系統的欠陥を識別することと、時間を追って系統的欠陥と潜在的系統的欠陥の発生を監視することとを含む。この方法の実施形態のステップは、本明細書でさらに説明されているように実行される。   In some embodiments, the method includes monitoring systematic defects, potential systematic defects, or some combination thereof over time using the result of the step of binning according to bin ranges, Is performed according to the embodiments described herein. In another embodiment, the method identifies systematic and potential systematic defects in the design data based on the results of the step of binning according to bin ranges, and systematic and potential systematic defects over time. Monitoring the occurrence of The steps of this method embodiment are performed as further described herein.

追加の一実施形態では、この方法は、ビン範囲に従って分けるステップの結果に基づいて欠陥のレビューを実行することを含む。例えば、欠陥のレビューは、相関するホット・スポットの異なるグループに対応する欠陥のグループが異なる形で(例えば、レビュー・プロセスの1つ又は複数のパラメータの少なくとも1つの異なる値を使用して)レビューされるように実行される。ビン範囲によるグループ分けのステップの結果に基づいてウェハをレビューすることも、ビン範囲によるグループ分けの結果及び相関するホット・スポットのグループに対応する設計データの1つ又は複数の属性に基づいて実行される。このように、ビン範囲によるグループ分けのステップの結果に基づいて欠陥をレビューすることは、ホット・スポット同士の間の相関に基づいてウェハを検査することに関して上で説明されているように実行される。   In an additional embodiment, the method includes performing a defect review based on the result of the step of dividing according to bin ranges. For example, a defect review may be performed with different groups of defects corresponding to different groups of correlated hot spots (eg, using at least one different value of one or more parameters of the review process). To be executed. Reviewing wafers based on bin range grouping results can also be performed based on bin range grouping results and one or more attributes of the design data corresponding to the group of correlated hot spots Is done. Thus, reviewing defects based on the results of the bin range grouping step is performed as described above with respect to inspecting the wafer based on the correlation between hot spots. The

他の実施形態では、この方法は、ビン範囲に従って分けるステップの結果に基づいてレビューする欠陥を選択するプロセスを生成することを含む。この実施形態においてレビューする欠陥を選択するプロセスを生成することは、本明細書で説明されている実施形態のどれかにより実行される。それに加えて、レビューのため欠陥を選択するプロセスは、欠陥のグループに関連付けられた相関するホット・スポットに関する情報と組み合わせて、また場合によっては本明細書で説明されている(複数の)方法の他の(複数の)ステップの結果を、本明細書で説明されている他の情報(例えば、設計データの1つ又は複数の属性、欠陥の1つ又は複数の属性など)に組み合わせてビン範囲によるグループ分けのステップの結果に基づいて生成される。さらに、欠陥を選択するプロセスを生成することは、欠陥を選択するために使用されるプロセスの1つ又は複数のパラメータに対する値を選択することを含む。   In other embodiments, the method includes generating a process for selecting defects to review based on the results of the step of dividing according to bin ranges. Generating the process of selecting defects for review in this embodiment is performed according to any of the embodiments described herein. In addition, the process of selecting defects for review may be combined with information about correlated hot spots associated with a group of defects, and possibly the method (s) described herein. Combine bin results by combining the results of other step (s) with other information described herein (eg, one or more attributes of design data, one or more attributes of defects, etc.) Based on the result of the grouping step by Further, generating a process for selecting a defect includes selecting a value for one or more parameters of the process used to select the defect.

他の実施形態では、この方法は、ビン範囲に従って分けるステップの結果に基づいて設計データが印刷されているウェハを検査するプロセスを生成することを含む。この実施形態においてウェハを検査するプロセスを生成することは、本明細書で説明されている実施形態のどれかにより実行される。それに加えて、ウェハを検査するプロセスは、欠陥のグループに関連付けられた相関するホット・スポットに関する情報と組み合わせて、また場合によっては本明細書で説明されている(複数の)方法の他の(複数の)ステップの結果を、本明細書で説明されている他の情報(例えば、設計データの1つ又は複数の属性、欠陥の1つ又は複数の属性など)に組み合わせてビン範囲によるグループ分けのステップの結果に基づいて生成される。さらに、ウェハを検査するプロセスを生成することは、ウェハを検査するために使用されるプロセスの1つ又は複数のパラメータに対する値を選択することを含む。   In other embodiments, the method includes generating a process for inspecting a wafer on which design data is printed based on the results of the step of dividing according to bin ranges. Generating a process for inspecting a wafer in this embodiment is performed by any of the embodiments described herein. In addition, the process of inspecting the wafer may be combined with information about correlated hot spots associated with the group of defects, and possibly other methods of the method (s) described herein. Grouping the results of the step (s) into bin information in combination with other information described herein (eg, one or more attributes of design data, one or more attributes of defects, etc.) Is generated based on the result of this step. Further, generating a process for inspecting a wafer includes selecting values for one or more parameters of the process used to inspect the wafer.

他の実施形態では、この方法は、ビン範囲に従って分けるステップの結果に基づいて設計データが印刷されているウェハを検査するプロセスを変更することを含む。この実施形態においてウェハを検査するプロセスを変更することは、本明細書で説明されている実施形態のどれかにより実行される。それに加えて、ウェハを検査するプロセスは、欠陥のグループに関連付けられた相関するホット・スポットに関する情報と組み合わせて、また場合によっては本明細書で説明されている(複数の)方法の他の(複数の)ステップの結果を、本明細書で説明されている他の情報(例えば、設計データの1つ又は複数の属性、欠陥の1つ又は複数の属性など)に組み合わせてビン範囲によるグループ分けのステップの結果に基づいて変更される。さらに、ウェハを検査するプロセスを変更することは、ウェハを検査するために使用される変更されたプロセスの1つ又は複数のパラメータに対する値を選択することを含む。   In other embodiments, the method includes modifying the process of inspecting the wafer on which the design data is printed based on the result of the step of dividing according to bin ranges. Changing the process of inspecting the wafer in this embodiment is performed by any of the embodiments described herein. In addition, the process of inspecting the wafer may be combined with information about correlated hot spots associated with the group of defects, and possibly other methods of the method (s) described herein. Grouping the results of the step (s) into bin information in combination with other information described herein (eg, one or more attributes of design data, one or more attributes of defects, etc.) It is changed based on the result of the step. Further, changing the process of inspecting the wafer includes selecting values for one or more parameters of the changed process used to inspect the wafer.

いくつかの実施形態では、この方法は、欠陥のグループの1つ又は複数の影響を受けるウェハ上に形成されるダイの割合を決定することを含む。この実施形態では、ダイの割合は、本明細書で説明されている実施形態により決定される。   In some embodiments, the method includes determining the percentage of dies that are formed on one or more affected wafers of the group of defects. In this embodiment, the die percentage is determined by the embodiments described herein.

他の実施形態では、この方法は、ビン範囲に従ってグループの少なくとも1つに分けられた欠陥が配置されているウェハ上に形成されたダイの割合を決定することと、その割合に基づいて少なくとも1つのグループに優先度を割り当てることとを含む。この割合を決定し、優先度を割り当てることは、本明細書で説明されている実施形態のどれかにより実行される。   In another embodiment, the method includes determining a percentage of dies formed on the wafer in which the defects divided into at least one of the groups according to the bin range are disposed, and at least one based on the percentage. Assigning a priority to one group. Determining this percentage and assigning priority is performed according to any of the embodiments described herein.

追加の実施形態では、この方法は、グループの1つ又は複数に含まれる欠陥に関連付けられているホット・スポットと相関する全ホット・スポットの数及びグループの1つ又は複数に含まれる欠陥の数によりグループの1つ又は複数を優先順位付けすることを含む。例えば、相関するホット・スポットのグループ内のホット・スポットの数をホット・スポット・グループに対応するグループ内の欠陥の数と比較する。その結果、相関するホット・スポットのグループの欠陥度が決定される(例えば、欠陥が検出された相関するホット・スポットの割合を決定することにより、及び/又は欠陥が検出された相関するホット・スポットのパーセンテージを決定することにより)。したがって、欠陥のグループは、相関するホット・スポットの欠陥度により優先順位付けされる。例えば、多数の、大きな割合の、又は大きなパーセンテージの対応するホット・スポットで検出されるグループ内の欠陥に、少数の、小さな割合の、又は小さなパーセンテージの対応するホット・スポットで検出される欠陥のグループに比べて高い優先度を割り当てる。したがって、欠陥のグループは、ウェハ横断ホット・スポットの欠陥度に基づいて優先順位付けされる。   In additional embodiments, the method includes the number of total hot spots that correlate with hot spots associated with defects included in one or more of the groups and the number of defects included in one or more of the groups. Prioritizing one or more of the groups. For example, the number of hot spots in a group of correlated hot spots is compared with the number of defects in the group corresponding to the hot spot group. As a result, the degree of defect of the group of correlated hot spots is determined (eg, by determining the percentage of correlated hot spots at which defects were detected and / or the correlated hot spots at which defects were detected. By determining the percentage of spots). Thus, defect groups are prioritized by the correlated hot spot defect degree. For example, a defect in a group that is detected with a large number, a large percentage, or a large percentage of corresponding hot spots, and a defect that is detected with a small number, a small percentage, or a small percentage of corresponding hot spots. Assign a higher priority than the group. Thus, defect groups are prioritized based on the defect degree of the cross-wafer hot spot.

他の実施形態では、この方法は、グループの1つ又は複数に含まれる欠陥が少なくとも1回検出されるウェハに設計データを印刷するために使用されるレチクル上の対応するホット・スポット配置の数によりグループの1つ又は複数を優先順位付けすることを含む。例えば、レチクル上の多数のホット・スポット配置に対応する欠陥のグループに、レチクル上の少数のホット・スポット配置に対応する欠陥のグループに比べて高い優先度を割り当てることができる。したがって、欠陥のグループは、ウェハ横断潜在的欠陥度に基づいて優先順位付けされる。それに加えて、ウェハ上にレクチルが印刷される回数が知られているか、決定されている場合、グループのレチクル横断潜在的欠陥度を使用して、グループの1つ又は複数の欠陥度に対するウェハ横断潜在性を決定するか、又は外挿することができる。この優先順位付けステップの結果を使用して、本明細書で説明されているように1つ又は複数の他のステップを実行することができる。   In other embodiments, the method includes the number of corresponding hot spot arrangements on a reticle used to print design data on a wafer in which defects in one or more of the groups are detected at least once. Prioritizing one or more of the groups. For example, a group of defects corresponding to a large number of hot spot arrangements on the reticle can be assigned a higher priority than a group of defects corresponding to a small number of hot spot arrangements on the reticle. Thus, defect groups are prioritized based on cross-wafer potential defect degrees. In addition, if the number of times the reticle is printed on the wafer is known or has been determined, the group traverse reticle defect potential is used to cross the wafer for one or more defect degrees of the group. The potential can be determined or extrapolated. The result of this prioritization step can be used to perform one or more other steps as described herein.

いくつかの実施形態では、この方法は、ビン範囲に従ってグループの1つ又は複数に分けられた欠陥が検出されたレチクル上の配置の数、及びグループの1つ又は複数に含まれる欠陥に関連付けられているホット・スポットと相関するレチクル上のホット・スポット配置の総数に基づいてグループの1つ又は複数に対するレチクル・ベースの限界を決定することを含む。例えば、レチクル上の相関するホット・スポットのグループ内のホット・スポットの配置の数を、相関するホット・スポットのグループに対応するグループ内の欠陥が検出された配置の数と比較する。したがって、レチクル・ベース限界性は、そのような比較結果に基づいており、かつレチクル上の相関するホット・スポットの配置上の欠陥度の尺度である。このようなレチクル・ベースの限界性は、本明細書で説明されているように1つ又は複数のステップにおいて使用される。   In some embodiments, the method is associated with the number of placements on the reticle in which defects classified into one or more of the groups according to the bin range were detected, and the defects included in one or more of the groups. Determining a reticle-based limit for one or more of the groups based on the total number of hot spot placements on the reticle that correlate with the hot spots being detected. For example, the number of hot spot placements in a group of correlated hot spots on the reticle is compared to the number of placements in which defects in the group corresponding to the group of correlated hot spots were detected. Thus, reticle-based marginality is based on such comparison results and is a measure of the degree of defect in the placement of correlated hot spots on the reticle. Such reticle-based marginality is used in one or more steps as described herein.

上述のビン範囲に従って欠陥を分ける方法の実施形態はそれぞれ、本明細書で説明されている(複数の)方法の他の(複数の)ステップを含む。それに加えて、上述のビン範囲に従って欠陥を分ける方法の実施形態はそれぞれ、本明細書で説明されているシステムの実施形態により実行される。   Each embodiment of the method of separating defects according to the bin ranges described above includes other step (s) of the method (s) described herein. In addition, each of the method embodiments for separating defects according to the bin ranges described above is performed by the system embodiments described herein.

他の実施形態は、ウェハ上で検出された欠陥をビン範囲に従って分ける異なる方法に関係する。この実施形態では、この方法は、設計データ空間における欠陥の位置に近接する設計データの1つ又は複数の属性を比較することを含む。一実施形態では、1つ又は複数の属性は、パターン密度を含む。他の実施形態では、1つ又は複数の属性は、フィーチャ空間における1つ又は複数の属性を含む。フィーチャ空間は、設計データから導き出された1つ又は複数のフィーチャ・ベクトルを含む。設計空間とは異なり、フィーチャ空間では、教師ありの方法(例えば、最近傍のビン範囲によるグループ分けの技術)又は教師なしの方法(例えば、自然なグループ化の技術)により欠陥のグループを決定するのに有用と思われる多数の属性を効率的に考察することができる。このステップで使用される設計データの1つ又は複数の属性は、さらに、又はそれとは別に、本明細書で説明されている設計データ、欠陥データ、ホット・スポット、又はPOIの他の(複数の)属性を含む。   Other embodiments relate to different methods of dividing defects detected on the wafer according to bin ranges. In this embodiment, the method includes comparing one or more attributes of the design data proximate to the location of the defect in the design data space. In one embodiment, the one or more attributes include pattern density. In other embodiments, the one or more attributes include one or more attributes in the feature space. The feature space includes one or more feature vectors derived from design data. Unlike the design space, the feature space determines defect groups in a supervised way (eg, a technique of grouping by the nearest bin range) or an unsupervised way (eg, a natural grouping technique). It is possible to efficiently consider a number of attributes that may be useful. One or more attributes of the design data used in this step may additionally or alternatively be the design data, defect data, hot spots, or other (multiple) POIs described herein. ) Attribute.

この方法は、さらに、比較するステップの結果に基づいて欠陥の位置に近接する設計データの1つ又は複数の属性が少なくとも類似しているかどうかを判定することも含む。1つ又は複数の属性が少なくとも類似しているかどうかを判定することは、本明細書で説明されている類似性を判定するための他のステップと同様にして実行される。それに加えて、この方法は、欠陥をビン範囲に従ってグループ分けする際に、それらのグループのそれぞれにおける欠陥の位置に近接する設計データの1つ又は複数の属性が少なくとも類似しているようにグループ分けすることを含む。このビン範囲によるグループ分けのステップは、本明細書で説明されている他のビン範囲によるグループ分けのステップと同様にして実行される。この方法は、さらに、ビン範囲によるグループ分けのステップの結果を記憶媒体に格納することを含むが、これは本明細書で説明されているように実行される。   The method further includes determining whether one or more attributes of the design data proximate to the defect location are at least similar based on the result of the comparing step. Determining whether one or more attributes are at least similar is performed in a manner similar to other steps for determining similarity as described herein. In addition, when the defect is grouped according to the bin range, the method groups so that one or more attributes of the design data close to the position of the defect in each of those groups are at least similar. Including doing. This binning grouping step is performed in the same manner as the other binning grouping steps described herein. The method further includes storing the result of the bin range grouping step on a storage medium, which is performed as described herein.

いくつかの実施形態では、この方法は、(複数の)属性を使用して欠陥がランダム欠陥であるか、系統的欠陥であるかを判定することを含む。それに加えて、ランダム又は系統的欠陥について(複数の)属性を直接使用することができる。1つ又は複数の属性を使用して、ビン範囲に従って分けられる欠陥及び/又はビン範囲に従って分けられない欠陥がランダム又は系統的欠陥であるかどうかを判定することができる。さらに、設計データの1つ又は複数の属性を本明細書で説明されている他の結果及び/又は本明細書で説明されている他の情報(例えば、欠陥の1つ又は複数の属性及びホット・スポット情報)と組み合わせて使用し、欠陥がランダム欠陥であるか系統的欠陥であるかを判定することができる。上で説明されている実施形態の一例では、欠陥が系統的であるかランダムであるかを判定するために使用される設計データの1つ又は複数の属性は、フィーチャに関する欠陥の位置で設計データにおけるフィーチャの1つ又は複数の属性を含む。例えば、設計データ空間における欠陥の位置に近接する設計データの1つ又は複数の属性が比較的高いパターン密度と比較的小さいフィーチャ寸法を有し、そのような属性を有する設計データが系統的欠陥(実験的に、又はシミュレーションにより、又は他の好適な方法若しくはシステムにより判定される)の影響を受けやすいと知られている場合、それらの欠陥は、系統的欠陥であると判定される。   In some embodiments, the method includes determining whether the defect is a random defect or a systematic defect using the attribute (s). In addition, the attribute (s) can be used directly for random or systematic defects. One or more attributes can be used to determine whether defects that are separated according to bin ranges and / or defects that are not separated according to bin ranges are random or systematic defects. In addition, one or more attributes of the design data may include other results described herein and / or other information described herein (eg, one or more attributes of defects and hot Can be used in combination with spot information) to determine whether a defect is a random defect or a systematic defect. In one example of the embodiment described above, one or more attributes of the design data used to determine whether the defect is systematic or random is the design data at the location of the defect with respect to the feature. Including one or more attributes of the feature at. For example, one or more attributes of the design data proximate to the position of the defect in the design data space have a relatively high pattern density and a relatively small feature size, and the design data having such an attribute is a systematic defect ( If known to be susceptible to (determined experimentally, or by simulation, or by other suitable method or system), those defects are determined to be systematic defects.

他の実施形態では、この方法は、(複数の)属性を使用してグループの1つ又は複数をランク付けすることを含む。ビン範囲に従って分けられた欠陥の1つ又は複数のグループをランク付けするために使用される1つ又は複数の属性は、本明細書で説明されている(複数の)属性を含む。一例では、設計の高パターン密度領域内に配置されている欠陥は歩留まりに対し大きな悪影響を及ぼすため、パターン密度に基づいてビン範囲に従って分けられた欠陥のグループをランク付けし、これにより、高いパターン密度に関連付けられている欠陥のグループは、低いパターン密度に関連付けられている欠陥のグループよりも高いランク付けをなされるようにすることができる。このようなランク付けの結果は、本明細書で説明されているように使用される(例えば、これらの結果は、優先順位付けの結果の代わりに優先順位付けの結果を含むステップで使用される)。   In other embodiments, the method includes ranking one or more of the groups using the attribute (s). The one or more attributes used to rank one or more groups of defects separated according to the bin range include the attribute (s) described herein. In one example, defects located within a high pattern density region of the design have a significant negative impact on yield, so rank groups of defects separated according to bin range based on pattern density, thereby increasing the The group of defects associated with the density may be ranked higher than the group of defects associated with the low pattern density. Such ranking results are used as described herein (eg, these results are used in steps that include prioritization results instead of prioritization results). ).

また(複数の)属性を使用して、グループ内の欠陥をランク付けすることもできる。例えば、追加の実施形態では、この方法は、1つ又は複数の属性を使用してグループの少なくとも1つに含まれる欠陥をランク付けすることを含む。グループ内の欠陥をランク付けするために使用される設計データの(複数の)属性は、本明細書で説明されている(複数の)属性を含む。それに加えて、ビン範囲に従って欠陥を分けるために使用される(複数の)属性は、グループ内の欠陥をランク付けするために使用されるのと同じ属性であってもよいし、また同じでなくてもよい。この実施形態においてビン範囲に従って欠陥を分け、ランク付けすると、都合よくグループとランクで欠陥を細かく分けることができ、欠陥の歩留まりに対する影響に関するより多くの情報を得ることができる。グループ内の欠陥をランク付けすることは、本明細書で説明されているように実行される。それに加えて、複数のグループ内の欠陥は、それらのグループ内で別々にランク付けされる。上で説明されているグループ内の欠陥のランク付けの結果は、本明細書で説明されている1つ又は複数のステップで使用される。   The attribute (s) can also be used to rank defects within a group. For example, in an additional embodiment, the method includes ranking defects included in at least one of the groups using one or more attributes. The design data attribute (s) used to rank the defects within the group include the attribute (s) described herein. In addition, the attribute (s) used to separate the defects according to the bin range may or may not be the same attributes used to rank the defects within the group. May be. In this embodiment, if defects are divided and ranked according to bin ranges, defects can be conveniently subdivided by group and rank, and more information about the effect on defect yield can be obtained. Ranking the defects within the group is performed as described herein. In addition, defects within multiple groups are ranked separately within those groups. The results of ranking the defects within the group described above are used in one or more steps described herein.

また(複数の)属性を使用して、ビン範囲に従ってグループ内の欠陥を分けることもできる。例えば、他の実施形態では、この方法は、1つ又は複数の属性を使用してグループの少なくとも1つに含まれる欠陥をビン範囲に従ってサブグループに分けることを含む。グループ内の欠陥をビン範囲に従ってサブグループにわけるために使用される設計データの(複数の)属性は、本明細書で説明されている(複数の)属性を含む。それに加えて、ビン範囲に従って欠陥をグループに分けるために使用される(複数の)属性は、ビン範囲に従って欠陥をサブグループにわけるために使用されるのと同じ属性であってもよいし、また同じでなくてもよい。この実施形態においてビン範囲に従って欠陥をグループとサブグループに分けると、都合よくグループとサブグループで欠陥を細かく分けることができ、欠陥の歩留まりに対する影響に関するより多くの情報を得ることができる。ビン範囲に従ってグループ内の欠陥をサブグループに分けることは、本明細書で説明されているように実行される。それに加えて、複数のグループ内の欠陥は、ビン範囲に従って別々に1つ又は複数のサブグループに分けられる。上で説明されているグループとサブグループにビン範囲に従って欠陥を分けた結果は、本明細書で説明されている1つ又は複数のステップで使用される。   The attribute (s) can also be used to separate defects within a group according to bin ranges. For example, in other embodiments, the method includes using one or more attributes to divide defects included in at least one of the groups into subgroups according to bin ranges. The design data attribute (s) used to subdivide the defects in the group into subgroups according to the bin range include the attribute (s) described herein. In addition, the attribute (s) used to group the defects according to the bin range may be the same attributes used to group the defects into subgroups according to the bin range, and It doesn't have to be the same. In this embodiment, if the defects are divided into groups and subgroups according to the bin range, the defects can be conveniently divided into groups and subgroups, and more information about the effect on the yield of defects can be obtained. Dividing the defects within a group into subgroups according to bin ranges is performed as described herein. In addition, defects in multiple groups are divided into one or more subgroups separately according to bin ranges. The result of dividing the defects into groups and subgroups described above according to the bin range is used in one or more steps described herein.

いくつかの実施形態では、この方法は、1つ又は複数の属性を使用してグループの少なくとも1つに含まれる欠陥を分析することを含む。このように、(複数の)属性を使用して、グループ内の欠陥を分析することもできる。DCIの決定は、このタイプの分析の一例である。例えば、さらに他の実施形態では、この方法は、(複数の)属性を使用してDCIを欠陥の1つ又は複数に割り当てることを含む。欠陥を分析するために使用される設計データの(複数の)属性は、本明細書で説明されている属性を含む。この分析は、さらに、又はそれとは別に、本明細書で説明されている他の分析を含む。   In some embodiments, the method includes analyzing defects included in at least one of the groups using one or more attributes. In this way, the defect (s) can also be used to analyze defects within a group. DCI determination is an example of this type of analysis. For example, in yet another embodiment, the method includes assigning DCI to one or more of the defects using the attribute (s). The attribute (s) of the design data used to analyze the defect includes the attributes described herein. This analysis includes, in addition or alternatively, other analyzes described herein.

他の実施形態では、この方法は、1つ又は複数の属性を使用して欠陥の1つ又は複数の歩留まり関連性を決定することを含む。このように、(複数の)属性を使用して、個々の欠陥の歩留まり関連性を推定することができる。歩留まり関連性を決定するために使用される1つ又は複数の属性は、本明細書で説明されている(複数の)属性を含む。このような一例では、比較的高いパターン密度を有する設計データに近接して配置されている欠陥は、比較的低いパターン密度を有する設計データに近接して配置されている欠陥に比べて歩留まり関連性が高いと判断される。それに加えて、歩留まり関連性は、設計データの1つ又は複数の属性と、それらの1つ又は複数の属性に基づいて欠陥が歩留まりに及ぼす確率とに基づいて決定される。歩留まり関連性が決定される欠陥は、ビン範囲に従って分けられた欠陥であってもよいし、そうでなくてもよい。   In other embodiments, the method includes determining one or more yield associations of the defect using one or more attributes. In this way, yield (s) of individual defects can be estimated using attribute (s). The one or more attributes used to determine yield relevance include the attribute (s) described herein. In such an example, defects located in close proximity to design data having a relatively high pattern density are more yield related than defects located in close proximity to design data having a relatively low pattern density. Is judged to be high. In addition, the yield relevance is determined based on one or more attributes of the design data and the probability that the defect will affect the yield based on the one or more attributes. The defects for which yield relevance is determined may or may not be defects that are separated according to bin ranges.

追加の一実施形態では、この方法は、(複数の)属性を使用してグループの1つ又は複数の全体的歩留まり関連性を決定することを含む。したがって、(複数の)属性を使用して、全体的歩留まり関連性を推定することができる。全体的歩留まり関連性は、上で説明されているように決定される。   In an additional embodiment, the method includes determining one or more overall yield relationships for the group using the attribute (s). Thus, the attribute (s) can be used to estimate overall yield relevance. The overall yield relevance is determined as described above.

いくつかの実施形態では、この方法は、欠陥の位置に近接する設計データを欠陥の周りの領域における設計データと欠陥が配置されている領域における設計データに分けることを含むが、これは本明細書で説明されているように実行される。それに加えて、(複数の)属性を使用して、欠陥を中心とする近傍を欠陥が載っている可能性のある領域から区別することができる。   In some embodiments, the method includes dividing design data proximate to a defect location into design data in a region around the defect and design data in a region where the defect is located, Executed as described in the book. In addition, attribute (s) can be used to distinguish a neighborhood centered at a defect from an area where a defect may be present.

他の実施形態では、この方法は、ルールと(複数の)属性を使用してビン範囲に従ってグループ分けするか、又はフィルタリングするために設計データ内の構造を識別することを含む。例えば、この方法は、設計データのルール、1つ又は複数の属性を使用して、LESに弱い構造、大きなポリ・ブロックなどの構造体を識別することを含み、そのような構造体に近接して配置されている欠陥は、ビン範囲によるグループに分けられる、及び/又は結果からフィルタリングされる。これらのルールは、本明細書で説明されている方法により、実験及び/又はシミュレーション結果を使用するか、又は好適な方法を使用して生成される。   In other embodiments, the method includes identifying structures in the design data for grouping or filtering according to bin ranges using rules and attribute (s). For example, the method includes identifying structures that are vulnerable to LES, large poly blocks, etc. using rules of design data, one or more attributes, and are proximate to such structures. The defects that are placed in this way are grouped by bin range and / or filtered from the results. These rules are generated by using the methods described herein, using experimental and / or simulation results, or using suitable methods.

他の実施形態では、この方法は、欠陥の検出時に生成される検査結果に基づいて、また系統的欠陥として識別された欠陥に基づいてレビュー、測定、試験、又はそれらの何らかの組合せが実行されるウェハ上の配置を決定することを含むが、これは本明細書で説明されている実施形態により実行される。いくつかの実施形態では、この方法は、欠陥の検出時に生成される検査結果、系統的欠陥として識別された欠陥、欠陥の歩留まり関連性に基づいてレビュー、測定、試験、又はそれらの何らかの組合せが実行されるウェハ上の配置を決定することを含むが、これは本明細書で説明されているように実行される。追加の実施形態では、この方法は、欠陥の検出時に生成される検査結果と、系統的欠陥として識別された欠陥と、プロセス・ウィンドウ・マッピングとに基づいて、レビュー、測定、試験、又はそれらの何らかの組合せが実行されるウェハ上の配置を決定することを含むが、これは本明細書で説明されているように実行される。   In other embodiments, the method may be reviewed, measured, tested, or some combination thereof based on inspection results generated upon detection of defects and based on defects identified as systematic defects. Including determining the placement on the wafer, which is performed according to the embodiments described herein. In some embodiments, the method may include review, measurement, testing, or some combination thereof based on inspection results generated upon detection of defects, defects identified as systematic defects, defect yield relevance. Including determining the placement on the wafer to be performed, which is performed as described herein. In additional embodiments, the method may include reviewing, measuring, testing, or based on inspection results generated upon detection of defects, defects identified as systematic defects, and process window mapping. This includes determining the placement on the wafer where any combination is performed, which is performed as described herein.

いくつかの実施形態では、この方法は、ビン範囲に従って分けるステップの結果とユーザー支援レビューの結果を使用して系統的発見を実行することを含む。例えば、ビン範囲によるグループ分けのステップの結果を使用することで、ユーザーによるレビューを支援することができる(例えば、レビューする場所、レビューする方法などを決定するために)。レビューは、複数のグループのうちの1つ又は複数における少なくとも1つの欠陥に対するレビュー結果(例えば、高倍率イメージ)を生成することと、ユーザーが1つ又は複数の欠陥又は欠陥の1つ又は複数のグループを系統的欠陥として識別できるように結果をユーザーに表示することとを含む。   In some embodiments, the method includes performing a systematic discovery using the results of the step of dividing according to bin ranges and the results of the user-assisted review. For example, the results of the bin range grouping step can be used to assist the review by the user (eg, to determine where to review, how to review, etc.). The review generates a review result (e.g., a high magnification image) for at least one defect in one or more of the groups, and the user can select one or more of the one or more defects or defects. Displaying the results to the user so that the group can be identified as a systematic defect.

他の実施形態では、この方法は、比較するステップに先立ち、ビン範囲に従って分けるステップの結果に含まれるS/N比を改善するために欠陥が配置されている機能ブロックに基づいて欠陥を分離することを含む。欠陥が配置されている機能ブロックは、本明細書で説明されているように決定される。比較するステップに先立って機能ブロックにより欠陥を分けることで、いくつかの(例えば、非歩留まり関連の)機能ブロック内の欠陥を排除して方法の他のステップで使用できないようにするが、これによりビン範囲によるグループ分けの結果のS/N比が高まる。それに加えて、ビン範囲によるグループ分けは、欠陥が配置されている機能ブロックと組み合わせて設計データの1つ又は複数の属性に基づいて実行され、これにより、ビン範囲によるグループ分けの結果と高いS/N比をうまく分離することができる。さらに、ビン範囲によるグループ分けは、それぞれの機能クロックについて、又は1つ又は複数の異なる機能ブロックについて別々に実行され、これにより、ビン範囲によるグループ分けの結果のS/N比を高くすることができる。   In other embodiments, the method isolates defects based on the functional block in which the defect is located to improve the S / N ratio included in the result of the step of dividing according to the bin range prior to the comparing step. Including that. The functional block in which the defect is located is determined as described herein. Separating defects by functional block prior to the comparing step eliminates defects in some (eg non-yield related) functional blocks so that they cannot be used in other steps of the method. The S / N ratio as a result of grouping by bin range is increased. In addition, grouping by bin range is performed based on one or more attributes of the design data in combination with the functional block in which the defect is located, which results in a high S and the result of grouping by bin range. The / N ratio can be well separated. Furthermore, grouping by bin range is performed separately for each functional clock or for one or more different functional blocks, thereby increasing the S / N ratio of the result of grouping by bin range. it can.

他の実施形態では、設計データが階層的セルに編成され、この方法は、比較するステップに先立ち、ビン範囲に従って分けるステップの結果に含まれるS/N比を改善するために欠陥が配置されている階層的セルに基づいて欠陥を分離することを含む。設計データは、本明細書でさらに説明されているように階層セルに編成される。階層セルに基づいて欠陥を分けることは、機能ブロック・ベースの分離に関して上で説明されているように実行される。階層セルに基づいて欠陥を分けることは、上述のようにビン範囲によるグループ分けの結果のS/N比を改善するために使用される。   In other embodiments, the design data is organized into hierarchical cells, and the method includes prior to the comparing step, defects are placed to improve the S / N ratio included in the result of the step of dividing according to bin ranges. Including isolating defects based on the hierarchical cells that are present. Design data is organized into hierarchical cells as further described herein. Separating defects based on hierarchical cells is performed as described above with respect to functional block based isolation. Dividing defects based on hierarchical cells is used to improve the S / N ratio of the result of grouping by bin range as described above.

追加の実施形態では、設計データは、設計により階層的セルに編成され、欠陥が階層的セルの複数に配置される場合に、この方法は、階層的セルの面積、欠陥位置に関する確率、又はそれらの何らかの組合せに基づいて階層的セルのそれぞれに欠陥が配置される確率に基づいて欠陥を階層的セルのそれぞれと相関させることを含む。このように、欠陥を複数のセルに配置することが可能である場合、欠陥が異なるセル内に配置されている確率に基づいて欠陥をセルに相関させることができるが、これは欠陥位置確率の領域に基づいて決定される。これらの確率は、当業界で知られている方法により決定される。   In additional embodiments, when the design data is organized into hierarchical cells by design and defects are placed in multiple of the hierarchical cells, the method may include: Correlating defects with each of the hierarchical cells based on the probability that the defect is placed in each of the hierarchical cells based on some combination of. Thus, if a defect can be placed in multiple cells, the defect can be correlated to the cell based on the probability that the defect is placed in a different cell, Determined based on region. These probabilities are determined by methods known in the art.

いくつかの実施形態では、欠陥は、検査プロセスで検出されており、この方法は、設計データにおける1つ又は複数のPOIが印刷されるウェハ上の配置をレビューすることと、レビューステップの結果に基づいて欠陥が1つ又は複数のPOIの配置のところで検出されているかどうかを判定することと、1つ又は複数の欠陥捕捉率を改善するように検査プロセスを変更することとを含むが、これは本明細書でさらに説明されているように実行される。   In some embodiments, defects have been detected in the inspection process, and the method can include reviewing the placement on the wafer where one or more POIs in the design data are printed and the result of the review step. Based on determining whether a defect has been detected at the location of one or more POIs and modifying the inspection process to improve one or more defect capture rates, Is performed as described further herein.

上述のビン範囲に従って欠陥を分ける方法の実施形態はそれぞれ、本明細書で説明されている(複数の)方法の他の(複数の)ステップを含む。それに加えて、上述のビン範囲に従って欠陥を分ける方法の実施形態はそれぞれ、本明細書で説明されているシステムの実施形態により実行される。   Each embodiment of the method of separating defects according to the bin ranges described above includes other step (s) of the method (s) described herein. In addition, each of the method embodiments for separating defects according to the bin ranges described above is performed by the system embodiments described herein.

上述のように、欠陥の位置に近接する設計データの部分は、ライブラリ又は他のデータ構造体に格納されている異なるDBC(例えば、DBCビン定義)に対応する設計データ(例えば、POI設計例)と比較される。そのようなライブラリ又はデータ構造体を利用することができる一実施形態は、ウェハ上で検出された欠陥に分類を割り当てるコンピュータ実施方法である。この方法は、設計データ空間における欠陥の位置に近接する設計データの部分を異なるDBCに対応する設計データと比較することを含む。設計データのそれらの部分(又は設計データの「ソース部分」)を異なるDBCに対応する設計データ(又は設計データの「ターゲット部分」又は「基準パターン」)と比較することは、本明細書で説明されているように実行される。いくつかの実施形態では、この方法は、設計データの部分の1つ又は複数の属性と異なるDBCに対応する設計データの1つ又は複数の属性とを比較することを含む。それらの部分における設計データの1つ又は複数の属性とこのステップで比較される異なるDBCに対応する設計データの1つ又は複数の属性は、本明細書で説明されている(複数の)属性を含む。それに加えて、比較するステップに使用される1つ又は複数の属性は、フィーチャ空間における1つ又は複数の属性を含む。さらに、比較するステップは、設計データの部分を基準パターンと比較して、ソース・パターンと基準パターンとの間に正確な一致があるか、類似性があるかを判定することを含む。さらに、比較するステップは、本明細書で説明されているルールのどれかを含んでいるルール、又は本明細書で説明されている比較するステップを実行する方法に基づくルールを使用して実行される。さらに、比較するステップは、設計データ空間における欠陥の位置と設計データ空間におけるホット・スポットの位置とを比較することを含むが、これは本明細書で説明されているように実行される。   As described above, the portion of the design data close to the position of the defect is design data (eg, POI design example) corresponding to a different DBC (eg, DBC bin definition) stored in a library or other data structure. Compared with One embodiment that can utilize such a library or data structure is a computer-implemented method of assigning a classification to defects detected on a wafer. The method includes comparing a portion of design data proximate to a defect location in the design data space with design data corresponding to a different DBC. Comparing those portions of design data (or “source portion” of design data) with design data corresponding to different DBCs (or “target portion” or “reference pattern” of design data) is described herein. It is executed as it is. In some embodiments, the method includes comparing one or more attributes of the portion of the design data with one or more attributes of the design data corresponding to different DBCs. The attribute or attributes of the design data in those portions and the attribute or attributes of the design data corresponding to the different DBCs that are compared in this step are the attribute (s) described herein. Including. In addition, the one or more attributes used in the comparing step include one or more attributes in the feature space. Further, the comparing step includes comparing the portion of the design data with the reference pattern to determine whether there is an exact match or similarity between the source pattern and the reference pattern. Further, the comparing step is performed using a rule that includes any of the rules described herein, or a rule that is based on the method of performing the comparing step described herein. The Further, the comparing step includes comparing the position of the defect in the design data space with the position of the hot spot in the design data space, which is performed as described herein.

それらの部分の少なくともいくつかの部分の寸法は、いくつかの実施形態では異なり、それらの寸法は、本明細書でさらに説明されているように選択され、及び/又は決定される。他の実施形態では、これらの部分における設計データは、複数の設計層に対する設計データを含む。設計データのこのような部分は、本明細書でさらに説明されるように構成され、この方法で使用される。これらの部分における設計データは、本明細書で説明されている他の設計データを含む。例えば、欠陥の位置に近接する設計データは、一実施形態において欠陥が配置されている設計データを含む。このように、この方法で使用される設計データは、欠陥の下、又は背後にある設計データ又は欠陥が載っている設計データを含む。他の実施形態では、欠陥の位置に近接する設計データは、欠陥の位置の周りの設計データを含む。   The dimensions of at least some of these parts are different in some embodiments, and the dimensions are selected and / or determined as described further herein. In other embodiments, the design data in these portions includes design data for multiple design layers. Such portions of design data are configured and used in this manner as further described herein. The design data in these parts includes other design data described herein. For example, the design data close to the position of the defect includes design data in which the defect is arranged in one embodiment. Thus, the design data used in this method includes design data under or behind the defect or design data on which the defect is located. In other embodiments, the design data proximate to the defect location includes design data around the defect location.

追加の実施形態では、この方法は、本明細書で説明されているように実行される、比較するステップの前に欠陥の位置に近接する設計データの部分を第1のビットマップに変換することと、本明細書で説明されているように実行される、比較するステップの前にDBCに対応する設計データを第2のビットマップに変換することとを含む。このような一実施形態では、比較するステップは、第1のビットマップと第2のビットマップとを比較することを含む。このような比較は、本明細書でさらに説明されているように実行される。分類を欠陥に割り当てる方法の実施形態は、本明細書で説明されている実施形態により設計データ空間における欠陥の位置を決定することを含む。   In an additional embodiment, the method is performed as described herein, converting a portion of design data proximate to a defect location to a first bitmap prior to the comparing step. And converting the design data corresponding to the DBC into a second bitmap prior to the comparing step performed as described herein. In one such embodiment, the step of comparing includes comparing the first bitmap and the second bitmap. Such a comparison is performed as described further herein. An embodiment of a method for assigning a classification to a defect includes determining a position of the defect in the design data space according to the embodiments described herein.

一実施形態では、DBCは、欠陥が配置されている設計データ又は欠陥の近くに配置されている設計データにおける1つ又は複数のポリゴンを識別する。このように、欠陥が配置されている1つ又は複数のポリゴン又は欠陥の近くに配置されている1つ又は複数のポリゴンは、欠陥に割り当てられたDBCにより識別される。そのようにして、欠陥の影響を受けるか、又は受ける可能性のある1つ又は複数のポリゴンが決定される。それに加えて、欠陥が配置されている1つ又は複数のポリゴン又は欠陥の近くに配置されている1つ又は複数のポリゴンが識別され、これらのポリゴンに関する情報を使用して、設計データにおける(複数の)ポリゴンに関して欠陥の位置を決定することができる。いくつかの実施形態では、DBCは、設計データにおける1つ又は複数のポリゴン内の欠陥の配置を識別する。したがって、この方法は、欠陥に割り当てられたDBCに基づいてポリゴン内で欠陥が配置されているか又は近くにある場所を決定することを含む。   In one embodiment, the DBC identifies one or more polygons in the design data where the defect is located or in the design data located near the defect. Thus, the one or more polygons in which the defect is arranged or one or more polygons arranged in the vicinity of the defect are identified by the DBC assigned to the defect. As such, one or more polygons are determined that are or are likely to be affected by the defect. In addition, one or more polygons in which the defect is located or one or more polygons located near the defect are identified and information about these polygons is used to The position of the defect can be determined with respect to the polygon. In some embodiments, the DBC identifies the placement of defects within one or more polygons in the design data. Thus, the method includes determining where the defect is located or close within the polygon based on the DBC assigned to the defect.

他の実施形態では、この方法は、欠陥の位置に近接する設計データを欠陥の周りの領域における設計データと欠陥が配置されている領域における設計データに分けることを含む。このように、この方法は、欠陥を中心とする近傍を欠陥が載っている可能性のある領域から区別することを含む。このように分けることは、本明細書でさらに説明されているように実行される。それに加えて、このように分けた結果は、コンピュータ実施方法において、本明細書でさらに説明されているように分類を欠陥に割り当てるために使用される。   In another embodiment, the method includes dividing design data proximate to a defect location into design data in a region around the defect and design data in a region where the defect is located. Thus, the method includes distinguishing a neighborhood centered around the defect from an area where the defect may be present. This separation is performed as described further herein. In addition, the results of this division are used in computer-implemented methods to assign classifications to defects as described further herein.

異なるDBCに対応する設計データと異なるDBCは、データ構造体内に格納される。それに加えて、異なるDBCに対応する設計データと異なるDBCは、上述のようにデータ構造体内に格納される。特に、異なるDBCに対応する設計データと異なるDBCは、DBCライブラリ・ファイルとしてデータ構造体内に格納される。それに加えて、一実施形態では、データ構造体は、技術、プロセス、又はそれらの何らかの組合せにより編成された設計データの例を含むライブラリを含む。このように、データ構造体は、欠陥をオンツールで分類するために使用されるPOI設計例の集合を含む設計ライブラリとして構成され、POI設計例は、技術、プロセスステップ、又は他の好適な情報により編成される。データ構造体は、当業界で知られている好適なデータ構造体を含むことができ、本明細書で説明されている記憶媒体又は当業界で知られている他の好適な記憶媒体のうちの1つなどの記憶媒体に格納される。   A DBC different from design data corresponding to a different DBC is stored in the data structure. In addition, the DBC different from the design data corresponding to the different DBC is stored in the data structure as described above. In particular, a DBC different from design data corresponding to a different DBC is stored as a DBC library file in the data structure. In addition, in one embodiment, the data structure includes a library that includes examples of design data organized by technology, process, or some combination thereof. In this way, the data structure is organized as a design library that includes a collection of POI design examples that are used to classify defects on-tool, and the POI design examples are technical, process step, or other suitable information. Is organized by The data structure can include any suitable data structure known in the art, including any of the storage media described herein or other suitable storage media known in the art. It is stored in one storage medium or the like.

この方法は、さらに、比較するステップの結果に基づいてそれらの部分における設計データが異なるDBCに対応する設計データに少なくとも類似しているかどうかを判定することも含む。この判定するステップは、本明細書で説明されている実施形態により実行される。いくつかの実施形態では、この判定するステップは、部分における設計データが異なるDBCに対応する設計データに少なくとも類似しているかどうかを判定することと、比較するステップの結果に基づいて部分における設計データが異なるDBCに対応する設計データの1つ又は複数の属性に少なくとも類似している1つ又は複数の属性を有するかどうかを判定することとを含む。1つ又は複数の属性は、本明細書で説明されている(複数の)属性を含む。例えば、1つ又は複数の属性は、欠陥を検出するために使用される検査システムに関する情報(例えば、検査システムのタイプ、欠陥が検出された時点において検査システムが動作していた検査システムの1つ又は複数のパラメータなど)及び/又は欠陥に関する属性(例えば、サイズ、おおよそのビン、極性など)を含む。   The method further includes determining whether the design data in those portions is at least similar to design data corresponding to different DBCs based on the result of the comparing step. This determining step is performed according to the embodiments described herein. In some embodiments, the determining step includes determining whether the design data in the portion is at least similar to design data corresponding to different DBCs and comparing the design data in the portion based on the result of the comparing step. Determining whether or not has one or more attributes that are at least similar to one or more attributes of design data corresponding to different DBCs. The one or more attributes include the attribute (s) described herein. For example, one or more attributes may include information about the inspection system used to detect the defect (eg, the type of inspection system, one of the inspection systems that the inspection system was operating at the time the defect was detected). Or parameters) and / or attributes related to defects (eg, size, approximate bin, polarity, etc.).

それに加えて、この方法は、それらの部分における設計データに少なくとも類似している設計データに対応するDBCを欠陥に割り当てることを含む。割り当てるステップは、好適な方法で実行される。いくつかの実施形態では、割り当てるステップは、それらの部分における設計データに少なくとも類似し、またそれらの部分における設計データの1つ又は複数の属性に少なくとも類似する1つ又は複数の属性を有する設計データに対応するDBCを欠陥に割り当てることを含む。一実施形態では、1つ又は複数の属性は、欠陥が検出された検査の結果の1つ又は複数の属性、検査の1つ又は複数のパラメータ、又はそれらの何らかの組合せを含む。1つ又は複数の属性は、さらに、又はそれとは別に、本明細書で説明されている他の(複数の)属性を含む。   In addition, the method includes assigning to the defect a DBC corresponding to design data that is at least similar to the design data in those portions. The assigning step is performed in a suitable manner. In some embodiments, the assigning step includes design data having one or more attributes that are at least similar to the design data in those portions and at least similar to one or more attributes of the design data in those portions. Assigning a DBC corresponding to to a defect. In one embodiment, the one or more attributes include one or more attributes of the result of the inspection in which the defect was detected, one or more parameters of the inspection, or some combination thereof. The one or more attributes may additionally or alternatively include other attribute (s) described herein.

この方法は、さらに、割り当てるステップの結果を記憶媒体に格納することを含む。これらの結果は、好適な方法で、又は本明細書で説明されているように、記憶媒体に格納される。記憶媒体は、本明細書で説明されている記憶媒体又は当業界で知られている他の好適な記憶媒体のどれかを含む。   The method further includes storing the result of the assigning step on a storage medium. These results are stored in a storage medium in a suitable manner or as described herein. Storage media includes any of the storage media described herein or other suitable storage media known in the art.

上述のコンピュータ実施方法は、一実施形態において欠陥を検出するために使用される検査システムにより実行される。このように、本明細書で説明されているように分類を欠陥に割り当てることは、オンツールで実行される。他の実施形態では、コンピュータ実施方法は、欠陥を検出するために使用される検査システム以外のシステムにより実行される。このように、本明細書で説明されているように分類を欠陥に割り当てることは、オフツールで実行される。   The computer-implemented method described above is performed by an inspection system used in one embodiment to detect defects. Thus, assigning classifications to defects as described herein is performed on-tool. In other embodiments, the computer-implemented method is performed by a system other than an inspection system used to detect defects. Thus, assigning classifications to defects as described herein is performed off-tool.

一実施形態では、この方法は、DBCの1つ又は複数に割り当てられている欠陥をビン範囲に従ってグループ分けする際に、欠陥の位置に近接する設計データの部分に含まれるポリゴンに関するそれらのグループのそれぞれにおける欠陥の位置が少なくとも類似しているようにグループ分けすることを含む。このように、この方法は、DBC及び部分内の欠陥の位置に基づいて欠陥をグループに分けることを含む。ポリゴンに関する欠陥の位置は、本明細書で説明されているように決定される。それに加えて、このようなビン範囲によるグループ分けは、さらに、本明細書で説明されているように実行される。   In one embodiment, the method, when grouping defects assigned to one or more of the DBCs according to bin ranges, identifies those groups with respect to the polygons contained in the portion of the design data proximate to the defect location. Grouping so that the positions of the defects in each are at least similar. Thus, the method includes grouping the defects based on the DBC and the position of the defects within the portion. The position of the defect with respect to the polygon is determined as described herein. In addition, such binning grouping is further performed as described herein.

いくつかの実施形態では、この方法は、割り当てるステップの結果に基づいて設計データにおけるホット・スポットを監視することを含む。例えば、DBC又は異なるDBCに対応する設計データを、設計データにおけるホット・スポットに関連付ける。ホット・スポットは、本明細書で説明されているように設計データにおいて識別される。上述のように設計データにおけるホット・スポットを監視することは、ホット・スポット又は異なるDBCに対応する設計データに関連付けられ、またホット・スポットに関連付けられているDBCに割り当てられた欠陥の数が時間の経過とともに変化するかどうかを判定することを含む。それに加えて、割り当てるステップの結果に基づいて設計データにおけるホット・スポットを監視することは、異なるDBCが割り当てられた欠陥の1つ又は複数の属性など本明細書で説明されている他のデータと組み合わせて割り当てるステップの結果に基づいて実行される。それに加えて、この方法は、配置(例えば、近似的配置)に基づいてホット・スポットを監視することを含む。他の実施形態では、この方法は、DBCに対応する設計データに基づいてビン範囲に従ってホット・スポットを分けることを含む。このようなビン範囲に従ってホット・スポットを分けることは、本明細書でさらに説明されているように実行される。ビン範囲に従ってホット・スポットを分けることは、ホット・スポットの配置を含み、どのホット・スポットが少なくとも類似しているかを示すホット・スポットの1つ又は複数のデータ構造体(例えば、リスト、データベース、ファイルなど)を生成することを含む。このようにビン範囲に従ってホット・スポットを分けることは、オンツールで実行される。   In some embodiments, the method includes monitoring hot spots in the design data based on the result of the assigning step. For example, design data corresponding to a DBC or a different DBC is associated with a hot spot in the design data. Hot spots are identified in the design data as described herein. Monitoring hot spots in the design data as described above is related to the design data corresponding to the hot spots or different DBCs, and the number of defects assigned to the DBCs associated with the hot spots is the time. Determining whether it changes over time. In addition, monitoring hot spots in the design data based on the results of the assigning step is in addition to other data described herein, such as one or more attributes of defects assigned different DBCs. It is executed based on the result of the step of assigning in combination. In addition, the method includes monitoring hot spots based on placement (eg, approximate placement). In other embodiments, the method includes splitting hot spots according to bin ranges based on design data corresponding to DBC. Separating hot spots according to such bin ranges is performed as described further herein. Separating hot spots according to bin ranges includes hot spot placement and includes one or more data structures (eg, lists, databases, hot spots) that indicate which hot spots are at least similar. File). This separation of hot spots according to bin ranges is performed on-tool.

他の実施形態では、この方法は、割り当てるステップの結果を使用して時間の経過とともに系統的欠陥、潜在的系統的欠陥、又はそれらの何らかの組合せを監視することを含む。例えば、割り当てるステップの結果を使用して、設計データにおける系統的問題を識別し、識別された系統的問題を、ウェハ及び/又は時間について監視する。系統的問題は、本明細書でさらに説明されているように割り当てるステップの結果に基づいて決定される。それに加えて、系統的欠陥、潜在的系統的欠陥、又はその何らかの組合せを監視することは、さらに、本明細書で説明されているように実行される。   In other embodiments, the method includes monitoring systematic defects, potential systematic defects, or some combination thereof over time using the result of the assigning step. For example, the result of the assigning step is used to identify systematic problems in the design data and monitor the identified systematic problems for wafers and / or time. A systematic problem is determined based on the result of the assigning step as further described herein. In addition, monitoring systematic defects, potential systematic defects, or some combination thereof is further performed as described herein.

一実施形態では、異なるDBCに対応する設計データは、設計データ空間における1つ又は複数の他のウェハ上で検出された欠陥の位置に近接する設計データの位置に基づいて1つ又は複数の他のウェハ上で検出された欠陥をグループ分けすることにより識別される。欠陥のこのようなグループ分けは、本明細書で説明されているように実行される。グループ分けの結果を使用して、異なるDBCに対応する設計データを識別する。例えば、欠陥のそれぞれのグループに対応する設計データは、異なるDBCに対応する設計データとして識別される。それに加えて、設計データに対応する異なるDBCは、本明細書で説明されているように実行される、欠陥をグループに分類すること、設計データの1つ又は複数の属性、欠陥の1つ又は複数の属性、本明細書で説明されている他の情報、又はそれらの何らかの組合せにより決定される。   In one embodiment, the design data corresponding to different DBCs may be one or more other based on the location of the design data proximate to the location of the defect detected on one or more other wafers in the design data space. The defects detected on the wafers are identified by grouping them. Such grouping of defects is performed as described herein. The grouping result is used to identify design data corresponding to different DBCs. For example, design data corresponding to each group of defects is identified as design data corresponding to different DBCs. In addition, different DBCs corresponding to design data may be performed as described herein to classify defects into groups, one or more attributes of design data, one or more of defects, or Determined by multiple attributes, other information described herein, or some combination thereof.

他の実施形態では、この方法は、欠陥に割り当てられたDBCに基づいて欠陥がニュイサンス欠陥であるかどうかを判定することと、検査プロセスの結果のS/N比を高めるために欠陥が検出された検査プロセスの結果からニュイサンス欠陥を除去することとを含む。このように、この方法は、ニュイサンスのフィルタリングを含む。ニュイサンス欠陥として判定された欠陥は、ニュイサンスDBCを割り当てられている欠陥(例えば、LESのDBC)、DBCを割り当てられていない欠陥、又は欠陥が歩留まりに関連性のある欠陥でないこと、又は欠陥が注目していない欠陥であることを示すDBCを割り当てられている欠陥であってよい。検査結果のS/N比を高くすることは、検査結果を使用して1つ又は複数の他のステップを実行する場合に特に有利であり、これにより他のステップの結果のS/N比が高くなる。   In other embodiments, the method detects a defect to determine whether the defect is a nuisance defect based on the DBC assigned to the defect and to increase the S / N ratio of the result of the inspection process. Removing nuisance defects from the results of the inspection process. Thus, the method includes nuisance filtering. The defect determined as a nuisance defect may be a defect that is assigned a nuisance DBC (eg, a DBC of LES), a defect that is not assigned a DBC, or a defect that is not related to yield, or a defect It may be a defect to which a DBC indicating that the defect has not been assigned. Increasing the S / N ratio of the test result is particularly advantageous when performing one or more other steps using the test result, so that the S / N ratio of the result of the other step is reduced. Get higher.

いくつかの実施形態では、この方法は、パターン依存欠陥を示す設計データにおける1つ又は複数のフィーチャを識別することにより設計データにおける1つ又は複数のPOIを決定することを含む。このように、この方法は、設計データにおける(複数の)POIを識別することを含む。パターン依存欠陥を示す設計データにおける1つ又は複数のフィーチャは、実験結果、シミュレーション結果、ビン範囲によるグループ分けの結果、本明細書で説明されている他の結果、又はそれらの何らかの組合せに基づいて決定される。このような結果は、本明細書で説明されているように生成される。識別されたフィーチャを使用して1つ又は複数のPOIを決定し、設計データの任意のパターン探索を実行する。任意のパターン探索により識別されたフィーチャに少なくとも類似していると判定された設計データにおけるパターンは、POIとして識別される。1つ又は複数のPOIは、複数のパターン依存欠陥についてこの方法で決定される。   In some embodiments, the method includes determining one or more POIs in the design data by identifying one or more features in the design data that exhibit pattern dependent defects. Thus, the method includes identifying the POI (s) in the design data. One or more features in the design data that exhibit pattern-dependent defects may be based on experimental results, simulation results, results of grouping by bin ranges, other results described herein, or some combination thereof. It is determined. Such a result is generated as described herein. The identified features are used to determine one or more POIs and perform an arbitrary pattern search of the design data. Patterns in design data that are determined to be at least similar to features identified by any pattern search are identified as POIs. One or more POIs are determined in this manner for a plurality of pattern dependent defects.

本明細書で説明されている方法でDBCが割り当てられる欠陥は、検査プロセスにおいて検出された。一実施形態では、この方法は、設計データにおける1つ又は複数のPOIが印刷されるウェハ上の配置をレビューすることと、レビューステップの結果に基づいて欠陥が1つ又は複数のPOIの配置のところで検出されているかどうかを判定することと、1つ又は複数の欠陥捕捉率を改善するように検査プロセスを変更することとを含む。この実施形態のそれぞれのステップは、本明細書で説明されているように実行される。   Defects that were assigned DBC in the manner described herein were detected in the inspection process. In one embodiment, the method includes reviewing the placement on the wafer where the one or more POIs in the design data are printed and the placement of the one or more POIs with defects based on the results of the review step. By the way, determining whether it has been detected and modifying the inspection process to improve one or more defect capture rates. Each step of this embodiment is performed as described herein.

他の実施形態では、この方法は、欠陥の1つ又は複数に対するKP値を決定することを含む。追加の実施形態では、この方法は、DBCに対応する設計データの1つ又は複数の属性に基づいてDBCの1つ又は複数に対するKP値を決定することを含む。他の実施形態では、この方法は、1つ又は複数の欠陥に割り当てられたDBCに対応する設計データの1つ又は複数の属性に基づいて欠陥の1つ又は複数に対するKP値を決定することを含む。これらのステップはそれぞれ、本明細書で説明されているように実行される。いくつかの実施形態では、この方法は、DBCの1つ又は複数に対するKP値を監視することと、欠陥に割り当てられたDBCに対するKP値を欠陥に割り当てることとを含む。1つ又は複数のDBCに対するKP値は、本明細書で説明されているように監視される。このように、欠陥が検出されたときに欠陥に割り当てられているDBCに対するKP値がさらに比較的高い精度で欠陥に割り当てられるように、1つ又は複数のDBCのKP値を時間とともに、及び/又はウェハに関して修正することができる。欠陥に割り当てられているDBCに基づいてKP値を欠陥に割り当てることは、さらに、本明細書で説明されているように実行される。   In other embodiments, the method includes determining a KP value for one or more of the defects. In additional embodiments, the method includes determining a KP value for one or more of the DBCs based on one or more attributes of the design data corresponding to the DBC. In other embodiments, the method comprises determining a KP value for one or more of the defects based on one or more attributes of the design data corresponding to the DBC assigned to the one or more defects. Including. Each of these steps is performed as described herein. In some embodiments, the method includes monitoring KP values for one or more of the DBCs and assigning KP values for the DBCs assigned to the defects to the defects. KP values for one or more DBCs are monitored as described herein. In this way, the KP value of one or more DBCs over time and / or so that the KP value for the DBC assigned to the defect when the defect is detected is assigned to the defect with relatively high accuracy. Or it can be modified for the wafer. Assigning a KP value to a defect based on the DBC assigned to the defect is further performed as described herein.

いくつかの実施形態では、この方法は、割り当てるステップの結果に基づいてレビューする欠陥の少なくともいくつかを選択することを含む。例えば、割り当てるステップの結果を使用して、本明細書で説明されているように欠陥のうちのどれが最もクリティカルであるかを判定し(例えば、欠陥に割り当てられたDBCの1つ又は複数の属性に基づいて)、レビューのため最もクリティカルな欠陥を選択することができる。他の例では、割り当てるステップの結果を使用して、本明細書でさらに説明されているように欠陥のうちのどれが系統的欠陥であるかを判定する。このように、この方法は、DOIが生じる傾向のある設計データにおける領域からのレビュー・サンプリングを含む。   In some embodiments, the method includes selecting at least some of the defects to review based on the result of the assigning step. For example, the result of the assigning step is used to determine which of the defects are most critical as described herein (eg, one or more of the DBCs assigned to the defects). Based on attributes), the most critical defects can be selected for review. In another example, the result of the assigning step is used to determine which of the defects are systematic defects as described further herein. Thus, this method includes review sampling from regions in the design data that are prone to DOI.

一実施形態では、この方法は、欠陥に割り当てられたDBCが、レビュー・システムから見える系統的欠陥に対応するかどうかを判定することと、レビューのためレビュー・システムから見える欠陥のみを選択することによりレビューのため欠陥をサンプリングすることとを含む。レビュー・システムから見える、又は見えない系統的欠陥に対応するDBCは、当業界で知られている方法により決定される。レビュー・システムから見える系統的欠陥に対応するDBCは、この方法に先立って決定され、DBCは、DBCが見える欠陥に対応するのか、又は見えない欠陥に対応するのかを示すある種の識別情報を割り当てられる。このように、欠陥は、この識別情報に基づいてレビューのため選択される。レビュー・システムから見える欠陥のみを選択することは、SEMなどのレビュー・システムから見えない欠陥がレビューのために選択されることのないように実行される。このようにして欠陥を選択することは、レビュー時に欠陥を再配置することが困難である場合があり、また特にレビュー・システムがレビュー・システムから実際には見えない欠陥を探すのにかなりの時間を費やす場合に比較的時間がかかるため、特に有益である。レビューのため欠陥を選択した結果は、ウェハ上のレビューのため選択された欠陥の配置と本明細書で説明されている(複数の)方法の(複数の)ステップの他の結果を含む。   In one embodiment, the method determines whether the DBC assigned to the defect corresponds to a systematic defect visible to the review system and selects only the defect visible to the review system for review. Sampling defects for review. The DBC corresponding to systematic defects visible or invisible from the review system is determined by methods known in the art. The DBC corresponding to the systematic defect visible from the review system is determined prior to this method, and the DBC has some sort of identifying information indicating whether the DBC corresponds to a visible defect or an invisible defect. Assigned. Thus, the defect is selected for review based on this identification information. Selecting only defects that are visible from the review system is performed so that defects that are not visible from a review system such as an SEM are not selected for review. Selecting defects in this way can make it difficult to relocate defects during review, and in particular a significant amount of time for the review system to look for defects that are not actually visible from the review system. This is particularly beneficial because it takes a relatively long time to spend. The results of selecting the defects for review include the placement of the defects selected for review on the wafer and other results of the step (s) of the method (s) described herein.

この方法は、割り当てるステップの結果に基づいてプロセス、測定、又は試験を適合させることを含む。例えば、他の実施形態では、この方法は、割り当てるステップの結果に基づいてレビューする欠陥をサンプリングするプロセスを生成することを含む。したがって、この方法は、レビューのため欠陥を選択する代わりに、又はそれに加えて、レビューのため欠陥をサンプリングするのに、その方法、他の方法、その方法を実行するように構成されたシステム、又は他のシステムにより使用されるプロセスを生成することを含む。このようなプロセスは、複数のウェハ上で検出された欠陥のレビューのため欠陥をサンプリングし、及び/又は複数のレビュー・システムにより実行されるレビューのため欠陥をサンプリングするのに使用される。サンプリングのプロセスは、割り当てるステップの結果に基づいて生成され、これにより、同じDBCを割り当てられた比較的多数の欠陥は、同じDBCを割り当てられた比較的少数の欠陥に比べて大量にサンプリングされる。レビューのため欠陥をサンプリングするプロセスは、欠陥に対するDCI、欠陥に対するKP値など本明細書で説明されている(複数の)方法の(複数の)ステップの他の結果と組み合わせて割り当てるステップの結果に基づいて生成される。   The method includes adapting a process, measurement, or test based on the result of the assigning step. For example, in other embodiments, the method includes generating a process for sampling defects for review based on the result of the assigning step. Accordingly, the method may include, instead of or in addition to selecting a defect for review, the method, other methods, a system configured to perform the method, to sample the defect for review, Or creating a process for use by other systems. Such a process is used to sample defects for review of defects detected on multiple wafers and / or sample defects for review performed by multiple review systems. The sampling process is generated based on the result of the assigning step, so that a relatively large number of defects assigned the same DBC are sampled in large quantities compared to a relatively small number of defects assigned the same DBC. . The process of sampling defects for review is based on the result of the step of assigning in combination with other results of the step (s) of the method (s) described herein, such as DCI for the defect, KP value for the defect, etc. Based on.

追加の実施形態では、この方法は、割り当てるステップの結果に基づいてウェハを検査するプロセスを変更することを含む。この実施形態では、ウェハを検査するプロセスの(複数の)パラメータを変更することができる。例えば、割り当てるステップの結果に基づいて変更されるウェハを検査するためのプロセスの1つ又は複数のパラメータは、限定はしないが、検査対象領域(又はそれとは別に、検査対象外領域)、感度、インラインのビン範囲によるグループ分けプロセス、ウェハが検査される検査領域、又はその何らかの組合せを含む。特定の一例では、割り当てるステップの結果は、異なるDBCを割り当てられた欠陥の数を示し、検査対象領域は、比較的多数の欠陥が割り当てられているDBCに対応する設計データをさらに含む設計データ空間における追加の位置に対応するウェハ上の位置を含むように変更される。他の例では、ウェハを検査するプロセスは、割り当てるステップの結果に基づいてより多く又は異なる形で検査するように変更される。ウェハを検査するプロセスは、さらに、本明細書で説明されている(複数の)方法の(複数の)ステップの結果に基づいて変更される。   In additional embodiments, the method includes modifying the process of inspecting the wafer based on the result of the assigning step. In this embodiment, the parameter (s) of the process for inspecting the wafer can be changed. For example, one or more parameters of a process for inspecting a wafer that is changed based on the result of the assigning step may include, but are not limited to, an area to be inspected (or alternatively, an area not to be inspected), sensitivity, Includes grouping processes with inline bin ranges, inspection areas where wafers are inspected, or some combination thereof. In one particular example, the result of the assigning step indicates the number of defects assigned different DBCs, and the inspection target area further includes design data corresponding to DBCs assigned a relatively large number of defects. To include a position on the wafer corresponding to the additional position at. In other examples, the process of inspecting the wafer is modified to inspect more or differently based on the result of the assigning step. The process of inspecting the wafer is further modified based on the results of the step (s) of the method (s) described herein.

いくつかの実施形態では、この方法は、検査の結果に基づいて検査時にウェハを検査するプロセスを変更することを含む。この実施形態における検査のプロセスを変更することは、本明細書でさらに説明されているように実行される。   In some embodiments, the method includes changing the process of inspecting the wafer during inspection based on the result of the inspection. Changing the process of inspection in this embodiment is performed as described further herein.

他の実施形態では、この方法は、割り当てるステップの結果に基づいてウェハの計量プロセスを変更することを含む。例えば、計量プロセスは、割り当てるステップの結果から判定されるような最もクリティカルな欠陥が計量プロセスにおいて測定されるように変更される。したがって、計量プロセスを変更することは、計量プロセスにおいて測定が実行されるウェハ上の配置を変更することを含む。それに加えて、測定のため選択された欠陥のBFイメージ及び/又はSEMイメージなどの検査及び/又はレビューの結果を計量プロセスに送ることで、それらの結果を使用して、測定が実行される場所を決定する。例えば、計量プロセスは、ウェハ上の欠陥の近似的配置のイメージを生成することを含み、このイメージを欠陥に対する検査及び/又はレビューの結果と比較することで、計量システムは、必要ならば、正しいウェハ配置で、したがって正しい欠陥について測定が実行されるようにウェハ上の位置を補正する。このように、測定は、ウェハ上の実質的に正確な配置で実行される。計量プロセスを変更することは、さらに、実行される測定のタイプ、測定が実行される波長、測定が実行される角度など、又はそれらの何らかの組合せなどの計量プロセスの他の1つ又は複数のパラメータを変更することを含む。計量プロセスは、CD測定計量プロセスなどの当業界で知られている好適な計量プロセスを含む。   In other embodiments, the method includes changing the wafer weighing process based on the result of the assigning step. For example, the weighing process is modified so that the most critical defects as determined from the result of the assigning step are measured in the weighing process. Thus, changing the metrology process includes changing the arrangement on the wafer where measurements are performed in the metrology process. In addition, by sending inspection and / or review results, such as a BF image and / or SEM image of the defect selected for measurement, to the weighing process, the results are used to perform the measurement. To decide. For example, the metrology process includes generating an image of an approximate placement of defects on the wafer, and comparing this image with the results of inspection and / or review for defects, the metrology system is correct if necessary. The position on the wafer is corrected so that measurements are performed on the wafer placement and thus for the correct defects. Thus, the measurement is performed with a substantially accurate placement on the wafer. Changing the weighing process further includes one or more other parameters of the weighing process, such as the type of measurement performed, the wavelength at which the measurement is performed, the angle at which the measurement is performed, etc., or some combination thereof. Including changing. The metering process includes any suitable metering process known in the art, such as a CD measurement metering process.

いくつかの実施形態では、この方法は、割り当てるステップの結果に基づいてウェハに対する計量プロセスのサンプリング・プランを変更することを含む。したがって、この方法は、適応サンプリングを含む。例えば、計量プロセスのサンプリング・プランは、割り当てるステップの結果から判定されるような最もクリティカルなより多くの欠陥が計量プロセスにおいて測定されるように変更される。このように、最もクリティカルな欠陥は、計量プロセスにおいてより大量にサンプリングされ、これにより、最もクリティカルな欠陥に関するより多くの情報を有利に出力することができる。計量プロセスは、当業界で知られている計量プロセスを含む。それに加えて、計量システムは、SEMなどの当業界で知られている好適な計量システムにより実行される。さらに、計量プロセスは、プロファイル、厚さ、CDなどの当業界で知られているウェハ上に形成される欠陥又はフィーチャの好適な属性の当業界で知られている好適な測定を実行することを含む。   In some embodiments, the method includes changing the sampling plan of the metrology process for the wafer based on the result of the assigning step. The method thus includes adaptive sampling. For example, the sampling plan of the weighing process is modified so that more critical defects, as determined from the result of the assigning step, are measured in the weighing process. In this way, the most critical defects are sampled more in the weighing process, which can advantageously output more information about the most critical defects. The metering process includes a metering process known in the art. In addition, the metering system is implemented by a suitable metering system known in the art, such as SEM. In addition, the metrology process performs suitable measurements known in the art of suitable attributes of defects or features formed on the wafer known in the art, such as profile, thickness, CD, etc. Including.

他の実施形態では、この方法は、DBC(例えば、欠陥に割り当てられたDBC)の1つ又は複数を優先順位付けすることと、優先順位付けステップの結果に基づいて設計データが印刷されるウェハ上で実行される1つ又は複数のプロセスを最適化することとを含む。そのような一実施形態では、(複数の)DBCは、DBCが割り当てられている欠陥の数に基づいて優先順位付けされる。それぞれのDBCが割り当てられている欠陥の数は、割り当てるステップの結果から決定される。このような一例では、最大数の欠陥に割り当てられたDBCは、最高優先度を割り当てられ、次に多い数の欠陥に割り当てられたDBCは、次の高い優先度を割り当てられ、というように続く。   In other embodiments, the method can prioritize one or more of the DBCs (eg, DBCs assigned to defects) and wafers on which design data is printed based on the results of the prioritization step. Optimizing one or more processes performed above. In one such embodiment, the DBC (s) is prioritized based on the number of defects to which the DBC is assigned. The number of defects to which each DBC is assigned is determined from the result of the assigning step. In one such example, the DBC assigned to the maximum number of defects is assigned the highest priority, the DBC assigned to the next highest number of defects is assigned the next highest priority, and so on. .

それに加えて、又はそれとは別に、(複数の)DBCは、本明細書で説明されている(複数の)方法の(複数の)ステップの他の結果又は本明細書で説明されている(複数の)方法の(複数の)ステップの結果の組合せに基づいて優先順位付けされる。例えば、(複数の)DBCを優先順位付けすることは、(複数の)DBCが割り当てられている1つ又は複数の欠陥についてDCIを決定することと、1つ又は複数の欠陥に対するDCIに基づいて(複数の)DBCを優先順位付けすることとを含む。DCIは、本明細書でさらに説明されているようにこの実施形態において決定される。他の例では、(複数の)DBCを優先順位付けすることは、(複数の)DBCが割り当てられている1つ又は複数の欠陥についてKP値を決定することと、1つ又は複数の欠陥に対するKP値に基づいて(複数の)DBCを優先順位付けすることとを含む。さらに他の例では、(複数の)DBCは、(複数の)DBCが割り当てられている欠陥の数と(複数の)DBCが割り当てられている欠陥の1つ又は複数に対するDCIの組合せに基づいて優先順位付けされる。このように、(複数の)DBCを優先順位付けすることは、高い欠陥度に対応する(複数の)DBCに高い優先度が割り当てられるように(複数の)DBCに対応する設計データにおいて検出される欠陥度に基づいて(複数の)DBCを優先順位付けすることを含む。   In addition or alternatively, the DBC (s) may be the other result of the step (s) of the method (s) described herein or the item (s) described herein. Prioritization based on the combination of the results of the step (s) of the method. For example, prioritizing DBC (s) can be based on determining DCI for one or more defects to which DBC (s) are assigned and DCI for one or more defects. Prioritizing the DBC (s). The DCI is determined in this embodiment as further described herein. In another example, prioritizing the DBC (s) may determine a KP value for one or more defects to which the DBC (s) are assigned and for one or more defects. Prioritizing the DBC (s) based on the KP value. In yet another example, the DBC (s) is based on a combination of the number of defects to which the DBC (s) are assigned and the DCI for one or more of the defects to which the DBC (s) are assigned. Prioritized. Thus, prioritizing the DBC (s) is detected in the design data corresponding to the DBC (s) so that a high priority is assigned to the DBC (s) corresponding to a high degree of defect. Prioritizing the DBC (s) based on the degree of defect

さらに、(複数の)DBCは、場合によっては本明細書で説明されている他の結果と組み合わせて(複数の)DBCに対応する設計データの1つ又は複数の属性に基づいて優先順位付けされる。設計データの1つ又は複数の属性は、例えば、設計データにおけるフィーチャの寸法、設計データにおけるフィーチャの密度、設計データに含まれるフィーチャのタイプ、設計における(複数の)DBCに対応する設計データの位置、欠陥に対する設計データの歩留まり影響の感受性など、又はそれらの何らかの組合せを含む。そのような一例では、欠陥による歩留まり影響を受けやすい設計データに対応する(複数の)DBCは、歩留まりに対する欠陥の影響を受けにくい設計データに対応する(複数の)DBCに比べて高い優先度を割り当てられる。   Further, the DBC (s) may be prioritized based on one or more attributes of the design data corresponding to the DBC (s), possibly in combination with other results described herein. The One or more attributes of the design data include, for example, the dimensions of the features in the design data, the density of the features in the design data, the type of features included in the design data, and the location of the design data corresponding to the DBC (s) in the design Susceptibility of design data yield effects to defects, etc., or some combination thereof. In such an example, the DBC (s) corresponding to design data that is susceptible to yield impact due to defects has a higher priority than the DBC (s) corresponding to design data that is less susceptible to defects due to yield. Assigned.

さらに、(複数の)DBCは、場合によっては(複数の)DBCに対応する設計データの1つ又は複数の属性及び/又は本明細書で説明されている他の結果と組み合わせて設計の1つ又は複数の属性に基づいて優先順位付けされる。設計の1つ又は複数の属性は、例えば、冗長性、ネットリストなど、又はそれらの何らかの組合せを含む。特に、設計データにおけるPOIは、POI内に含まれるパターンを超えるコンテキストを持つことができる。このようなコンテキストは、例えば、POIを含むセルのラベル、POIを含むセルの上にあるセルの階層、POI上の系統的欠陥の冗長性(又は冗長性がないことの)影響などを含む。したがって、本明細書で説明されている実施形態で使用される1つ又は複数の属性は、(複数の)DBCに対応する設計データが配置されるPOIのコンテキストを含み、これは設計データ空間における(複数の)DBCに対応する設計データの位置及び/又は(複数の)DBCに対応するの設計データ((複数の)DBCに対応する設計データが設計データにおけるセルに特有である場合)に基づいて決定される。このような一例では、系統的欠陥が設計において歩留まり影響を持たないように冗長性を有する設計データに対応する(複数の)DBCは、系統的欠陥が有意な歩留まりに影響を持つように冗長性を有しない設計データに対応する(複数の)DBCよりも低い優先度を割り当てられる。セルのこのようなコンテキストは、当業界で知られている方法で取り込まれる、及び/又は決定される。   Further, the DBC (s) may be one of the designs, possibly in combination with one or more attributes of the design data corresponding to the DBC (s) and / or other results described herein. Or prioritized based on multiple attributes. One or more attributes of the design include, for example, redundancy, netlist, etc., or some combination thereof. In particular, the POI in the design data can have a context that exceeds the pattern contained within the POI. Such context includes, for example, the label of the cell containing the POI, the hierarchy of the cells above the cell containing the POI, the redundancy (or lack of redundancy) impact of systematic defects on the POI, and so on. Thus, the attribute or attributes used in the embodiments described herein include the POI context in which the design data corresponding to the DBC (s) is located, which is in the design data space. Based on the position of the design data corresponding to the DBC (s) and / or the design data corresponding to the DBC (if the design data corresponding to the DBC is specific to a cell in the design data) Determined. In such an example, the DBC (s) corresponding to design data having redundancy so that systematic defects do not affect yield in the design are redundant so that systematic defects affect significant yield. Is assigned a lower priority than the DBC (s) corresponding to design data that does not have Such context of the cell is captured and / or determined in a manner known in the art.

この実施形態において1つ又は複数のプロセスを最適化することは、焦点、線量、露光ツール、レジスト、PEB時間、PEB温度、エッチング時間、エッチング・ガス組成、エッチング・ツール、堆積ツール、堆積時間、CMPツール、CMPプロセスの1つ又は複数のパラメータなどの1つ又は複数のプロセスの1つ又は複数のパラメータを変更することを含む。好ましくは、(複数の)プロセスの(複数の)パラメータを変更して、(複数の)DBCに対応する設計データの欠陥度(例えば、(複数の)DBCに対応する設計データにおいて検出された欠陥の数)を低減し、(複数の)DBCに対応する設計データにおいて検出された欠陥の1つ又は複数の属性(例えば、DCI、KPなど)を変更し、及び/又は(複数の)DBCに対応する設計データが含まれるデバイスの歩留まりを高める。   Optimizing one or more processes in this embodiment includes focus, dose, exposure tool, resist, PEB time, PEB temperature, etching time, etching gas composition, etching tool, deposition tool, deposition time, Including changing one or more parameters of one or more processes, such as a CMP tool, one or more parameters of a CMP process. Preferably, by changing the parameter (s) of the process (s), the degree of defect in the design data corresponding to the DBC (s) (eg, defects detected in the design data corresponding to the DBC (s)) And / or change one or more attributes (eg, DCI, KP, etc.) of defects detected in the design data corresponding to the DBC (s) and / or to the DBC (s) Increase device yields with corresponding design data.

それに加えて、1つ又は複数のプロセスの1つ又は複数のパラメータを、優先順位付けステップにより決定された最高優先度を有するDBCのみに対して又は優先順位付けステップにより決定された比較的高い優先度を有する(複数の)DBCに対して最適化する。このように、最大の欠陥性及び/又は最高の歩留まりに影響を有する欠陥性を示す(複数の)DBCに対応する設計データに基づいて1つ又は複数のプロセスの1つ又は複数のパラメータを変更及び/又は最適化する。そのようにして、優先順位付けステップの結果は、どの(複数の)DBCを使用して1つ又は複数のプロセスの1つ又は複数のパラメータを変更及び/又は最適化すれば歩留まりの最大の改善をもたらすかを示している。   In addition, the one or more parameters of the one or more processes may be set to a relatively high priority determined only by the DBC having the highest priority determined by the prioritization step or by the prioritization step. Optimize for DBCs with degrees. Thus, changing one or more parameters of one or more processes based on the design data corresponding to the DBC (s) exhibiting the highest defectivity and / or the defectivity affecting the highest yield And / or optimize. As such, the prioritization step results in the greatest improvement in yield if which DBC (s) is used to change and / or optimize one or more parameters of one or more processes. Shows what will bring.

したがって、(複数の)DBCが歩留まりに最大の影響を及ぼす誘導がないと、歩留まりの大きな又は何らかの改善をもたらすことなくプロセスに多くの変更が加えられ、それによりプロセス最適化のターンアラウンド時間とコストが増大する可能性があるため、この実施形態は、プロセスを変更及び/又は最適化するうえで他のすでに使用されている方法及びシステムに比べて有利である。   Thus, without guidance that the DBC (s) have the greatest impact on yield, many changes are made to the process without significant yield or some improvement, and thereby process optimization turnaround time and cost. This embodiment is advantageous over other already used methods and systems for modifying and / or optimizing the process.

さらに、このステップで変更及び/又は最適化される(複数の)プロセスは、本明細書で説明されている実施形態においてDBCを割り当てられた欠陥の検出前にウェハ上に(複数の)DBCに対応する設計データを印刷するために使用されたプロセスのみを含むが、変更及び/又は最適化される1つ又は複数のプロセスは、(複数の)DBCに対応する設計データも含む他の設計を印刷するために使用される(複数の)プロセスを含む。例えば、複数の設計が(複数の)DBCに対応する設計データを含む場合、優先順位付け及び/又は本明細書で説明されている方法の他の結果に基づいて、複数の設計を印刷するために使用される1つ又は複数のプロセスを変更し、最適化して、異なる設計のそれぞれで加工されたデバイスの歩留まりを高めることができる。   Further, the process (s) modified and / or optimized in this step may be performed on the wafer (s) on the wafer prior to the detection of defects assigned DBC in the embodiments described herein. One or more processes that contain only the processes used to print the corresponding design data, but that are modified and / or optimized, include other designs that also contain the design data corresponding to the DBC (s). Includes the process (es) used to print. For example, if multiple designs include design data corresponding to DBC (s), to print the multiple designs based on prioritization and / or other results of the methods described herein. One or more of the processes used in the process can be modified and optimized to increase the yield of devices fabricated with each of the different designs.

追加の実施形態では、この方法は、欠陥に割り当てられたDBCに基づいて欠陥の根本原因を突き止めることを含む。例えば、根本原因は、さらに、欠陥に割り当てられたDBCに対応する設計データの1つ又は複数の属性に基づいて決定される。1つ又は複数の属性を使用して、本明細書でさらに説明されているように根本原因を突き止めることができる。根本原因を突き止めるために使用される設計データの(複数の)属性は、本明細書で説明されている(複数の)設計データ属性を含む。それに加えて、本明細書で説明されている(複数の)方法の(複数の)ステップの他の情報及び/又は結果は、欠陥の根本原因を突き止めるために設計データの(複数の)属性と組み合わせて使用される。   In an additional embodiment, the method includes locating the root cause of the defect based on the DBC assigned to the defect. For example, the root cause is further determined based on one or more attributes of the design data corresponding to the DBC assigned to the defect. One or more attributes can be used to determine the root cause, as further described herein. The design data attribute (s) used to determine the root cause include the design data attribute (s) described herein. In addition, other information and / or results of the step (s) of the method (s) described herein can be attributed to the attribute (s) of the design data to determine the root cause of the defect. Used in combination.

他の実施形態では、この方法は、複数の欠陥のうちの少なくともいくつかを実験プロセス・ウィンドウの結果にマッピングすることにより複数の欠陥のうちの少なくともいくつかの根本原因を突き止めることを含むが、これは本明細書で説明されているように実行される。他の実施形態では、この方法は、複数の欠陥のうちの少なくともいくつかをシミュレートされたプロセス・ウィンドウの結果にマッピングすることにより複数の欠陥のうちの少なくともいくつかの根本原因を突き止めることを含むが、これは本明細書で説明されているように実行される。   In other embodiments, the method includes locating at least some root causes of the plurality of defects by mapping at least some of the plurality of defects to the results of the experimental process window, This is performed as described herein. In another embodiment, the method includes determining at least some root causes of the plurality of defects by mapping at least some of the plurality of defects to a simulated process window result. This is done as described herein.

他の実施形態では、この方法は、DBCの1つ又は複数に対応する根本原因を突き止めることと、欠陥に割り当てられたDBCに対応する根本原因に基づいて根本原因を欠陥に割り当てることとを含む。例えば、DBCに対応する設計データにおいてすでに検出されている欠陥の根本原因がDBCに関連付けられる。すでに検出されている欠陥の根本原因は、本明細書で説明されているように、又は当業界で知られている他の好適な方法により実行される。このように、欠陥の根本原因は、欠陥に割り当てられたDBCに関連付けられている根本原因として決定される。   In other embodiments, the method includes locating a root cause corresponding to one or more of the DBCs and assigning a root cause to the defect based on the root cause corresponding to the DBC assigned to the defect. . For example, the root cause of the defect already detected in the design data corresponding to the DBC is associated with the DBC. The root cause of the already detected defect is performed as described herein or by any other suitable method known in the art. Thus, the root cause of the defect is determined as the root cause associated with the DBC assigned to the defect.

他の実施形態では、この方法は、DBCのうちの1つ又は複数が割り当てられた欠陥の影響を受けるウェハ上に形成されるダイの割合を決定することを含む。例えば、割合は、同じDBCを割り当てられた欠陥が少なくとも1回検出されたウェハ上のダイの数により決定される。このような割合は、同じDBCを割り当てられた少なくとも1つの欠陥が検出されるダイの数を検査されたダイの総数により除算することにより求められる。このステップの結果に100を掛けて、この割合を得ることができる。したがって、この割合は、同じDBCを割り当てられた欠陥のダイ影響限界性を反映する。このような割合は、欠陥に割り当てられた複数のDBCについて決定され、これらの割合のそれぞれ、又は少なくともいくつかは、この方法により生成される棒グラフなどのチャート内に表示される。したがって、このチャートは、欠陥に割り当てられたDBCに応じて変わるダイ影響限界性を示している。このようなチャートは、本明細書でさらに説明されているように構成される、ユーザー・インターフェイスに表示される。この方法は、さらに、この実施形態において決定された割合に基づいてDBCの1つ又は複数を割り当てられた欠陥を優先順位付けすることを含む。   In other embodiments, the method includes determining the percentage of dies formed on the wafer that are affected by the defects to which one or more of the DBCs are assigned. For example, the percentage is determined by the number of dies on the wafer in which a defect assigned the same DBC has been detected at least once. Such a percentage is determined by dividing the number of dies in which at least one defect assigned the same DBC is detected by the total number of dies inspected. The result of this step can be multiplied by 100 to obtain this percentage. This proportion therefore reflects the die impact limit of defects assigned the same DBC. Such percentages are determined for a plurality of DBCs assigned to defects, and each or at least some of these percentages are displayed in a chart such as a bar graph generated by the method. Therefore, this chart shows the die impact limit that varies depending on the DBC assigned to the defect. Such a chart is displayed in a user interface that is configured as described further herein. The method further includes prioritizing defects assigned one or more of the DBCs based on the percentage determined in this embodiment.

いくつかの実施形態では、この方法は、DBCの少なくとも1つに対応する設計データにおけるPOIを決定することと、DBCの少なくとも1つが割り当てられている欠陥の数とウェハ上のPOIの配置の数との比を決定することとを含む。このように、この方法は、ウェハ上で印刷されるDBCに対応するPOIの配置の数と比較したDBCを割り当てられた欠陥の数の比又は割合を決定することにより限界性分析を実行することを含む。このような実施形態では、ウェハ上のPOIの配置の数は、任意のパターン探索により識別される。それに加えて、本明細書で説明されている方法は、設計の検査された領域内のPOIの配置を識別する任意のパターン探索を含み、かつ設計の検査された領域におけるPOIの累積面積の決定を含む。次いで、DBCが割り当てられている欠陥の数と設計の検査された領域内のPOIの累積面積との比を使用して、POIに対応するDBCの欠陥密度を決定することができる。この方法は、さらに、この実施形態において決定された比に基づいて1つ又は複数のDBCを優先順位付けすることを含む。   In some embodiments, the method includes determining a POI in design data corresponding to at least one of the DBCs, the number of defects to which at least one of the DBCs is assigned, and the number of POI placements on the wafer. And determining the ratio. Thus, the method performs a marginal analysis by determining the ratio or percentage of the number of defects assigned DBC compared to the number of POI arrangements corresponding to the DBC printed on the wafer. including. In such an embodiment, the number of POI placements on the wafer is identified by an arbitrary pattern search. In addition, the methods described herein include an arbitrary pattern search that identifies the placement of POIs within the inspected region of the design, and determining the cumulative area of POIs in the inspected region of the design. including. The ratio of the number of defects to which the DBC is assigned and the cumulative area of POI in the inspected region of the design can then be used to determine the defect density of the DBC corresponding to the POI. The method further includes prioritizing one or more DBCs based on the ratio determined in this embodiment.

他の実施形態では、この方法は、DBCの少なくとも1つに対応する設計データにおける1つ又は複数のPOIを決定することと、DBCの少なくとも1つが割り当てられている欠陥の数と設計データにおける1つ又は複数のPOIの配置の数との比を決定することとを含む(例えば、ウェハの検査された領域に関して)。このように、この方法は、ウェハの検査された領域上の設計におけるPOIの配置の数と比較したウェハ上に見つかるPOIに対応するDBCを割り当てられた欠陥の数の比又は割合を決定することにより限界性分析を実行することを含む。このような実施形態では、ウェハ上のPOIの配置の数は、任意のパターン探索により識別される。この方法は、さらに、この実施形態において決定された比に基づいて(複数の)DBCの1つ又は複数を優先順位付けすることを含む。   In other embodiments, the method determines one or more POIs in the design data corresponding to at least one of the DBCs, and determines the number of defects to which at least one of the DBCs is assigned and 1 in the design data. Determining a ratio to the number of one or more POI arrangements (eg, for an inspected area of the wafer). Thus, the method determines the ratio or percentage of the number of defects assigned DBC corresponding to the POI found on the wafer compared to the number of POI placements in the design on the inspected area of the wafer. To perform a marginal analysis. In such an embodiment, the number of POI placements on the wafer is identified by an arbitrary pattern search. The method further includes prioritizing one or more of the DBC (s) based on the ratio determined in this embodiment.

追加の実施形態では、この方法は、DBCの少なくとも1つに対応する設計データにおけるPOIを決定することと、DBCの少なくとも1つが割り当てられている欠陥が配置されているウェハ上に形成されたダイの割合を決定することと、その割合に基づいてPOIに優先度を割り当てることとを含む。このように、この方法は、欠陥の影響を受けるダイの割合に基づいて限界性分析を実行することを含む。例えば、同じDBCを割り当てられた欠陥の数を、ウェハの検査された領域上で設計データを印刷するために使用されるレチクル内のPOIの設計インスタンスの数で除算し、さらにレチクルがウェハ上に印刷され、検査される回数で除算する。このステップの結果に100を掛けて、この割合を得ることができる。このように、この方法は、欠陥が少なくとも1回検出されたウェハ上のダイの数により知られている系統的欠陥を優先順位付けすることを含む。例えば、系統的欠陥が検出されたPOIには、POIがダイの1%に対しダイの10%で出現した場合に高い優先度を割り当てることができる。他の例では、ウェハ上の同じDBCを割り当てられた欠陥が検出されたダイが多い場合の欠陥には、ウェハ上の異なるDBCを割り当てられた欠陥が検出されたダイが少ない場合の欠陥に比べて高い優先度を割り当てることができる。それに加えて、この方法は、異なるDBCを割り当てられた欠陥が配置されているウェハ上に形成されたダイの割合を示す棒グラフなどのチャートを生成することを含む。したがって、このようなチャートは、異なるDBCに対するダイ・ベースの限界性をグラフで示す。このようなチャートは、本明細書で説明されているように構成される、ユーザー・インターフェイスに表示される。   In an additional embodiment, the method includes determining a POI in design data corresponding to at least one of the DBCs, and a die formed on the wafer on which the defect to which at least one of the DBCs is assigned is located. And determining a priority for the POI based on the ratio. Thus, the method includes performing a criticality analysis based on the percentage of dies affected by the defect. For example, the number of defects assigned the same DBC is divided by the number of design instances of POI in the reticle used to print design data on the inspected area of the wafer, and the reticle is on the wafer. Divide by the number of times printed and inspected. The result of this step can be multiplied by 100 to obtain this percentage. Thus, the method includes prioritizing systematic defects that are known by the number of dies on the wafer in which the defects have been detected at least once. For example, a POI in which a systematic defect is detected can be assigned a higher priority when the POI appears at 10% of the die versus 1% of the die. In another example, a defect on a wafer with many defects detected with the same DBC assigned defect is compared to a defect on a wafer with fewer defects detected with a different DBC assigned defect. Can be assigned a high priority. In addition, the method includes generating a chart, such as a bar graph, showing the percentage of dies formed on the wafer where defects assigned different DBCs are located. Thus, such charts graphically illustrate the die base limits for different DBCs. Such a chart is displayed on a user interface configured as described herein.

さらに他の実施形態では、この方法は、DBCの1つ又は複数が割り当てられている検出された欠陥の数によりDBCの1つ又は複数を優先順位付けすることを含む。このように、この方法は、DBCが割り当てられた欠陥の総数により知られている系統的欠陥を優先順位付けすることを含む。その際、この方法は、ウェハ・ベースの限界性に基づいて知られている系統的欠陥を優先順位付けすることを含む。例えば、ウェハ上で欠陥が検出された設計インスタンスの数が多い場合の欠陥に割り当てられたDBCには、ウェハ上で欠陥が検出された設計インスタンスの数が少ない場合の欠陥に割り当てられたDBCに比べて高い優先度を割り当てることができる。このような優先順位付けは、さらに、欠陥が検出されたウェハ上の設計インスタンスの配置の割合に基づいて実行される。例えば、検出され、DBCを割り当てられた欠陥の数を、ウェハ上のDBCに対応する検査された設計インスタンスの総数で除算する。このステップの結果に100を掛けて、上述の割合を得ることができる。それに加えて、この方法は、異なるDBCを割り当てられた欠陥が検出されたレチクル上の設計インスタンスの数を示す棒グラフなどのチャートを生成することを含む。このようなチャートは、本明細書で説明されているように構成される、ユーザー・インターフェイスに表示される。   In yet another embodiment, the method includes prioritizing one or more of the DBCs by the number of detected defects that have been assigned one or more of the DBCs. Thus, the method includes prioritizing systematic defects that are known by the total number of defects to which the DBC has been assigned. In doing so, the method includes prioritizing known systematic defects based on wafer-based marginality. For example, the DBC assigned to the defect when the number of design instances where defects are detected on the wafer is large is the DBC assigned to the defect when the number of design instances where defects are detected is small. A higher priority can be assigned. Such prioritization is further performed based on the percentage of placement of design instances on the wafer in which defects are detected. For example, the number of defects detected and assigned DBC is divided by the total number of inspected design instances corresponding to DBC on the wafer. The result of this step can be multiplied by 100 to obtain the above ratio. In addition, the method includes generating a chart, such as a bar graph, showing the number of design instances on the reticle in which defects assigned different DBCs were detected. Such a chart is displayed on a user interface configured as described herein.

いくつかの実施形態では、この方法は、DBCの1つ又は複数が割り当てられている欠陥が少なくとも1回検出されるウェハ上に設計データを印刷するために使用される、レチクル上の設計インスタンスの数によりDBCの1つ又は複数を優先順位付けすることを含む。このように、この方法は、欠陥が少なくとも1回見つかったレチクル上の設計インスタンスの数により知られている系統的欠陥を優先順位付けすることを含む。例えば、レチクル上で欠陥が検出された設計インスタンスの数が多い場合の欠陥に割り当てられたDBCには、レチクル上で欠陥が検出された設計インスタンスの数が少ない場合の欠陥に割り当てられたDBCに比べて高い優先度を割り当てることができる。それに加えて、この方法は、異なるDBCを割り当てられた欠陥が検出されたレチクル上の設計インスタンスの数を示す棒グラフなどのチャートを生成することを含む。このようなチャートは、本明細書で説明されているように構成される、ユーザー・インターフェイスに表示される。   In some embodiments, the method includes a design instance on a reticle that is used to print design data on a wafer on which a defect to which one or more of the DBCs are assigned is detected at least once. Including prioritizing one or more of the DBCs by number. Thus, the method includes prioritizing systematic defects that are known by the number of design instances on the reticle in which the defects are found at least once. For example, the DBC assigned to the defect when the number of design instances in which defects are detected on the reticle is large is the DBC assigned to the defect when the number of design instances in which defects are detected on the reticle is small. A higher priority can be assigned. In addition, the method includes generating a chart, such as a bar graph, showing the number of design instances on the reticle in which defects assigned different DBCs were detected. Such a chart is displayed on a user interface configured as described herein.

他の実施形態では、この方法は、DBCの1つ又は複数が割り当てられている欠陥が検出されたレチクル上の配置の数と、DBCの1つ又は複数が割り当てられている欠陥の位置に近接する設計データの部分に少なくとも類似しているレチクル上に印刷される設計データの部分の総数とに基づいてDBCの1つ又は複数に対するレチクル・ベースの限界性を決定することを含む。例えば、レチクル・ベースの限界性は、DBCを割り当てられた少なくとも1つの欠陥が検出された積層レチクル・マップにおける配置の数をレチクル上の検査された設計インスタンスの総数で除算することにより決定される。このステップの結果に100を掛けて、DBCが割り当てられている欠陥が検出された、DBCに対応する、設計インスタンスの配置の割合を求めることができる。それに加えて、この方法は、異なるDBCを割り当てられた欠陥が検出された配置のレチクル・ベースの限界性又は割合を示す棒グラフなどのチャートを生成することを含む。このようなチャートは、本明細書でさらに説明されているように構成される、ユーザー・インターフェイスに表示される。この方法は、さらに、DBCの1つ又は複数について決定されたレチクル・ベースの限界性に基づいてDBCの1つ又は複数を優先順位付けすることを含む。例えば、比較的高いレチクル・ベースの限界性を示すDBCは、低いレチクル・ベースの限界性を示すDBCに比べて高い優先度を割り当てられる。上述の実施形態のステップは、同じDBCが割り当てられている欠陥のグループ、又はDBCが割り当てられている個々の欠陥について実行される。   In other embodiments, the method includes proximity to the number of placements on the reticle where a defect to which one or more of the DBCs are assigned is detected and the position of the defect to which one or more of the DBCs are assigned. Determining reticle-based marginalities for one or more of the DBCs based on the total number of portions of the design data printed on the reticle that are at least similar to the portion of the design data to be processed. For example, reticle-based marginality is determined by dividing the number of placements in a stacked reticle map where at least one defect assigned DBC is detected by the total number of inspected design instances on the reticle. . The result of this step can be multiplied by 100 to determine the percentage of design instance placement corresponding to the DBC in which the defect to which the DBC is assigned has been detected. In addition, the method includes generating a chart, such as a bar graph, showing the reticle-based marginality or percentage of the arrangement in which defects assigned different DBCs were detected. Such a chart is displayed in a user interface that is configured as described further herein. The method further includes prioritizing one or more of the DBCs based on the reticle-based marginality determined for one or more of the DBCs. For example, a DBC that exhibits a relatively high reticle-based marginality is assigned a higher priority than a DBC that exhibits a low reticle-based marginality. The steps of the above-described embodiment are performed for a group of defects that are assigned the same DBC, or for individual defects that are assigned a DBC.

上述の分類を欠陥に割り当てる方法の実施形態はそれぞれ、本明細書で説明されている(複数の)方法の実施形態の他の(複数の)ステップを含む。それに加えて、上述の分類を欠陥に割り当てる方法の実施形態はそれぞれ、本明細書で説明されているシステムの実施形態により実行される。   Each of the method embodiments for assigning a classification to a defect as described above includes other step (s) of the method embodiment (s) described herein. In addition, each of the method embodiments described above for assigning a classification to a defect is performed by the system embodiments described herein.

他の実施形態は、ウェハに対する検査プロセスを変更するための方法に関係する。この方法は、設計データにおける1つ又は複数のPOIが印刷されるウェハ上の配置をレビューすることを含む。この方法は、さらに、欠陥が1つ又は複数のPOIの配置のところで検出されるべきであったかどうかをレビューするステップの結果に基づいて判定することを含む。それに加えて、この方法は、1つ又は複数のPOIのうちの少なくともいくつかに配置されている欠陥について1つ又は複数の欠陥捕捉率を改善し、及び/又はS/N比を改善するために検査プロセスを変更することを含む。これらのステップはそれぞれ、本明細書でさらに説明されているように実行される。例えば、検査プロセスの1つ又は複数のパラメータは、本明細書で説明されているように決定される、POIの優先順位付けに基づいて変更される。   Another embodiment relates to a method for changing the inspection process for a wafer. The method includes reviewing an arrangement on the wafer where one or more POIs in the design data are printed. The method further includes determining based on the result of the step of reviewing whether the defect was to be detected at the placement of the one or more POIs. In addition, the method can improve one or more defect capture rates and / or improve the signal-to-noise ratio for defects located in at least some of the one or more POIs. Including changing the inspection process. Each of these steps is performed as described further herein. For example, one or more parameters of the inspection process are modified based on POI prioritization, which is determined as described herein.

上述の方法の一使用事例は、光学系感度用途である。例えば、一実施形態では、検査プロセスを変更することは、検査プロセスを実行するために使用される検査システムの光学モードを変更することを含む。このように、検査に使用される光学モードを変更して、1つ又は複数のPOIのうちの少なくともいくつかに対応する1つ又は複数の欠陥を検出する際のS/N比を改善することができる。光学モードは、当業界で知られている光学モードを含む。   One use case of the method described above is for optical system sensitivity applications. For example, in one embodiment, changing the inspection process includes changing the optical mode of the inspection system used to perform the inspection process. Thus, changing the optical mode used for inspection improves the signal-to-noise ratio in detecting one or more defects corresponding to at least some of the one or more POIs. Can do. Optical modes include those known in the art.

他の実施形態では、この方法は、欠陥が1つ又は複数のPOIの配置で検出されるべきかどうかを判定するステップの結果に基づいて検査プロセスを実行するために使用される検査システムの光学モードを決定することを含む。このように、検出されているべき欠陥に対するS/N比が最高である光学モードが決定される。光学モードは、当業界で知られている光学モードを含む。それに加えて、決定された光学モード及び/又は検出されるべき欠陥を使用して、検査プロセスを実行するために使用される検査システムのタイプなどの変更された検査プロセスの他のパラメータを選択することができる。   In another embodiment, the method includes an optical of an inspection system used to perform an inspection process based on the result of determining whether a defect should be detected at one or more POI locations. Including determining the mode. In this way, the optical mode with the highest S / N ratio for the defect to be detected is determined. Optical modes include those known in the art. In addition, the determined optical mode and / or the defect to be detected are used to select other parameters of the modified inspection process, such as the type of inspection system used to perform the inspection process. be able to.

いくつかの実施形態では、検査プロセスを変更することは、1つ又は複数のPOIに関連付けられているDOIの捕捉を高めるように検査プロセスを変更することを含む。捕捉を高めるために検査プロセスを変更することは、検査プロセスの1つ又は複数のパラメータを変更することを含む。検査プロセスのパラメータを変更することにより高められる検出は、検査結果におけるPOIに関連付けられているDOIの検出を含む(例えば、歩留まりクリティカルな系統的DOIの欠陥カウントを増やすなど)。捕捉を高めるために変更された1つ又は複数のパラメータは、検査プロセスの結果及び/又はレビューするステップの結果(例えば、1つ又は複数のPOIが印刷されるウェハ上の配置をレビューした結果だけでなく)に基づいて選択される。   In some embodiments, changing the inspection process includes changing the inspection process to enhance capture of the DOI associated with the one or more POIs. Changing the inspection process to enhance acquisition includes changing one or more parameters of the inspection process. Detection enhanced by changing inspection process parameters includes detection of DOIs associated with POIs in inspection results (e.g., increasing defect counts for yield critical systematic DOI). One or more parameters that have been modified to enhance acquisition are only the result of the inspection process and / or the result of the reviewing step (eg, the result of reviewing the placement on the wafer on which one or more POIs are printed) Not based on).

いくつかの実施形態では、検査プロセスを変更することは、検査プロセスの結果の中のノイズを抑制するために検査プロセスを変更することを含む。ノイズを抑制するために検査プロセスを変更することは、検査プロセスの1つ又は複数のパラメータを変更することを含む。検査プロセスのパラメータを変更することにより抑制されるノイズは、検査結果の中のノイズを含む(例えば、背景雑音、ニュイサンス欠陥など)。ノイズを抑制するために変更された1つ又は複数のパラメータは、検査プロセスの結果及び/又はレビューするステップの結果(例えば、1つ又は複数のPOIが印刷されるウェハ上の配置をレビューした結果だけでなく)に基づいて選択される。   In some embodiments, changing the inspection process includes changing the inspection process to suppress noise in the results of the inspection process. Changing the inspection process to suppress noise includes changing one or more parameters of the inspection process. Noise that is suppressed by changing the parameters of the inspection process includes noise in the inspection results (eg, background noise, nuisance defects, etc.). The one or more parameters that have been modified to suppress noise are the result of the inspection process and / or the result of the reviewing step (eg, the result of reviewing the placement on the wafer on which the one or more POIs are printed) As well as selected).

他の実施形態では、検査プロセスを変更することは、注目していない欠陥の検出を減らすために、又は注目していない欠陥のビン範囲によるグループ分けを改善するために、検査プロセスを変更することを含む。注目していない欠陥の検出を減らすために検査プロセスを変更することは、検査プロセスの1つ又は複数のパラメータを変更することを含む。検査プロセスのパラメータを変更することにより検出されることが少なくなる注目していない欠陥は、注目していない欠陥を含む(例えば、非歩留まり関連系統的欠陥、コールド・スポットの欠陥など)。注目していない欠陥の検出を減らすために変更された1つ又は複数のパラメータは、検査プロセスの結果及び/又はレビューするステップの結果(例えば、1つ又は複数のPOIが印刷されるウェハ上の配置をレビューした結果だけでなく)に基づいて選択される。   In other embodiments, changing the inspection process may change the inspection process to reduce the detection of non-focused defects or to improve the grouping of non-focused defects by bin range. including. Changing the inspection process to reduce detection of defects that are not of interest includes changing one or more parameters of the inspection process. Non-focused defects that are less likely to be detected by changing inspection process parameters include non-focused defects (eg, non-yield related systematic defects, cold spot defects, etc.). One or more parameters that have been modified to reduce the detection of defects that are not of interest are the result of the inspection process and / or the result of the reviewing step (eg on the wafer on which one or more POIs are printed). Selected based on (not only the result of reviewing the placement).

1つ又は複数の欠陥捕捉率を改善するために検査プロセスを変更することは、検査プロセスの1つ又は複数のパラメータを変更することを含む。例えば、一実施形態では、検査プロセスを変更することは、検査プロセスで使用されるアルゴリズムを変更することを含む。変更されるアルゴリズムは、欠陥検出アルゴリズムか、又は検査プロセスで使用される他のアルゴリズムである。変更されるアルゴリズムは、当業界で知られている好適な任意のアルゴリズムを含む。それに加えて、検査プロセスを変更することは、検査プロセスで使用される複数のアルゴリズムを変更することを含む。   Changing the inspection process to improve one or more defect capture rates includes changing one or more parameters of the inspection process. For example, in one embodiment, changing the inspection process includes changing an algorithm used in the inspection process. The algorithm to be modified is a defect detection algorithm or other algorithm used in the inspection process. The algorithm to be modified includes any suitable algorithm known in the art. In addition, changing the inspection process includes changing a plurality of algorithms used in the inspection process.

追加の実施形態では、検査プロセスを変更することは、検査プロセスで使用されるアルゴリズムの1つ又は複数のパラメータを変更することを含む。1つ又は複数のパラメータが変更されるアルゴリズムは、欠陥検出アルゴリズムか、又は検査プロセスで使用される他のアルゴリズムを含む。それに加えて、検査プロセスを変更することは、検査プロセスで使用される複数のアルゴリズムの1つ又は複数のパラメータを変更することを含む。(複数の)アルゴリズムにおける1つ又は複数のパラメータは、それらのアルゴリズムのパラメータ、好ましくは、欠陥捕捉率に影響を及ぼす(複数の)パラメータを含む。   In additional embodiments, changing the inspection process includes changing one or more parameters of an algorithm used in the inspection process. Algorithms in which the one or more parameters are changed include defect detection algorithms or other algorithms used in the inspection process. In addition, changing the inspection process includes changing one or more parameters of a plurality of algorithms used in the inspection process. The one or more parameters in the algorithm (s) include those algorithm parameters, preferably the parameter (s) that affect the defect capture rate.

上述のウェハに対する検査プロセスを変更する方法の実施形態はそれぞれ、本明細書で説明されている(複数の)方法の実施形態の他の(複数の)ステップを含む。それに加えて、上述のウェハに対する検査プロセスを変更するための方法の実施形態はそれぞれ、本明細書で説明されているシステムの実施形態により実行される。   Each of the method embodiments described above for altering the inspection process for a wafer includes other step (s) of the method embodiment (s) described herein. In addition, each of the method embodiments for modifying the inspection process for a wafer described above is performed by the system embodiments described herein.

追加の実施形態は、設計データと欠陥データを表示し、分析するように構成されたシステムに関係する。このようなシステムの一実施形態は、図25に示されている。図25に示されているように、システムは、ユーザー・インターフェイス182を備える。ユーザー・インターフェイス182は、半導体デバイスの設計レイアウト184、半導体デバイスの少なくとも一部が形成されるウェハについて取り込まれたインライン検査データ186、ウェハについて取り込まれた電気的試験データ188のうちの1つ又は複数を表示するように構成される。一実施形態では、電気的試験データは、論理ビットマップ・データを含む。設計、検査(又は計量)、試験、オーバーレイ・データは、設計空間、デバイス空間、レチクル空間、又はウェハ空間において表される。ユーザー・インターフェイスは、さらに、半導体デバイスのモデル化されたデータ及び/又はウェハに対するFAデータを表示するように構成される。それに加えて、ユーザー・インターフェイスは、ユーザーからの入力(例えば、ユーザーによるホット・スポット又はDOIの選択)に基づいて特定のホット・スポット又はDOIに対する情報を表示するように構成される。このように、ユーザー・インターフェイスは、異なる時点において異なるホット・スポット又はDOIに関する情報を表示するように構成される。しかし、ユーザー・インターフェイスは、1つ又は複数の異なる印し(例えば、色、記号など)を使用して異なるホット・スポット又はDOIに関する情報を同時に(例えば、ウェハ・マップ又は棒グラフで)表示するように構成される。ユーザー・インターフェイスは、さらに、ホット・スポット・データベース内の情報を表示するように構成される。ホット・スポット・データベース内の情報の表示を利用することで、ユーザーは、所定の分析又は検査レシピーを用い注目するホット・スポットの(複数の)部分集合を選択して1つ又は複数のホット・スポット・リストを作成することができる。ユーザー・インターフェイスは、表示デバイス190上に表示される。表示デバイス190は、当業界で知られている好適な表示デバイスを含む。   An additional embodiment relates to a system configured to display and analyze design data and defect data. One embodiment of such a system is shown in FIG. As shown in FIG. 25, the system includes a user interface 182. The user interface 182 may include one or more of a semiconductor device design layout 184, inline inspection data 186 captured for a wafer on which at least a portion of the semiconductor device is formed, and electrical test data 188 captured for the wafer. Configured to display. In one embodiment, the electrical test data includes logical bitmap data. Design, inspection (or metrology), test, overlay data is represented in design space, device space, reticle space, or wafer space. The user interface is further configured to display modeled data for the semiconductor device and / or FA data for the wafer. In addition, the user interface is configured to display information for a particular hot spot or DOI based on input from the user (eg, selection of a hot spot or DOI by the user). Thus, the user interface is configured to display information regarding different hot spots or DOIs at different times. However, the user interface may display information about different hot spots or DOIs simultaneously (eg, in a wafer map or bar graph) using one or more different indicia (eg, colors, symbols, etc.). Configured. The user interface is further configured to display information in the hot spot database. By utilizing the display of information in the hot spot database, the user can select one or more hot spots by selecting a subset of hot spots of interest using a predetermined analysis or inspection recipe. A spot list can be created. The user interface is displayed on the display device 190. Display device 190 includes any suitable display device known in the art.

システムは、プロセッサ192も備える。プロセッサ192は、ユーザー・インターフェイスを介してユーザーから分析実行の命令を受け取った後、設計レイアウトの1つ又は複数、インライン検査データ、電気的試験データを分析するように構成される。プロセッサは、さらに、上述のようにモデル化されたデータ及び/又はFAデータを分析するように構成される。例えば、ユーザー・インターフェイス182は、1つ又は複数のアイコン194を表示するように構成される。アイコンはそれぞれ、プロセッサにより実行される異なる機能に対応する。このように、5つのアイコンが図25に示されているが、ユーザー・インターフェイスは、可能な機能の数に対応する数のアイコンを表示するように構成される。次いで、ユーザーは、1つ又は複数のアイコンを選択する(例えば、クリックする)ことによりプロセッサに1つ又は複数の機能を実行させることができる。それに加えて、ユーザー・インターフェイスは、ユーザーが当業界で知られている他の方法(例えば、ドロップ・ダウン・メニュー)で利用できるさまざまな機能を表示することができる。このように、ユーザー・インターフェイスは、設計/レイアウトの視覚化及び分析オペレーションを、インライン・プロセス・データ視覚化及び分析オペレーション並びに機能的/構造的電気的試験データ視覚化及び分析オペレーションと組み合わせた単一の統合ユーザー・インターフェイスとして構成される。   The system also includes a processor 192. The processor 192 is configured to analyze one or more of the design layouts, in-line inspection data, electrical test data after receiving an instruction to perform analysis from the user via the user interface. The processor is further configured to analyze the data and / or FA data modeled as described above. For example, the user interface 182 is configured to display one or more icons 194. Each icon corresponds to a different function performed by the processor. Thus, although five icons are shown in FIG. 25, the user interface is configured to display a number of icons corresponding to the number of possible functions. The user can then cause the processor to perform one or more functions by selecting (eg, clicking) one or more icons. In addition, the user interface can display various functions that are available to the user in other ways known in the art (eg, drop down menus). In this way, the user interface combines design / layout visualization and analysis operations with inline process data visualization and analysis operations and functional / structural electrical test data visualization and analysis operations. Configured as an integrated user interface.

このシステムは、データを高分解能で処理するように構成され、これは「ドリル・ダウン機能」と一般に呼ばれる。例えば、システムは、ウェハ上で検出された欠陥を示すウェハ・マップなどの入力を使用して、積層に対する2つ又はそれ以上のダイを選択し、ダイ積層結果に示される欠陥を選択し、欠陥に対しある種の機能を実行するように構成される。システムは、さらに、複数のドメインからまとめてデータを使用するように構成され、これは「ドリル・アクロス機能」と一般に呼ばれる。   The system is configured to process data with high resolution, commonly referred to as a “drill down function”. For example, the system uses an input such as a wafer map showing defects detected on the wafer to select two or more dies for the stack, selects the defects shown in the die stack results, Are configured to perform certain functions. The system is further configured to use data collectively from multiple domains, commonly referred to as a “drill-across function”.

一実施形態では、ユーザー・インターフェイスは、さらに、設計レイアウト、インライン検査データ、電気的試験データ、本明細書で説明されている他の情報のうちの少なくとも2つでオーバーレイ196を表示するように構成される。このような一実施形態では、電気的試験データは、論理ビットマップ・データを含む。このような実施形態では、プロセッサは、本明細書で説明されている実施形態により異なるデータをオーバーレイするように構成される。このように、システムは、3つのドメイン(例えば、設計、検査、電気的試験)のうちの2つ又はそれ以上からデータのオーバーレイを生成し、表示するように構成される。データのそのようなオーバーレイを使用して、欠陥の物理位置を論理位置にマッピングし、電気的試験結果(例えば、電気的障害)とこのマッピングを使用して電気的試験結果(例えば、電気的障害を引き起こす)とに影響を及ぼす欠陥を識別することができる。   In one embodiment, the user interface is further configured to display the overlay 196 with at least two of the design layout, in-line inspection data, electrical test data, and other information described herein. Is done. In one such embodiment, the electrical test data includes logical bitmap data. In such embodiments, the processor is configured to overlay different data according to the embodiments described herein. Thus, the system is configured to generate and display an overlay of data from two or more of the three domains (eg, design, inspection, electrical test). Using such an overlay of data, the physical location of the defect is mapped to a logical location, and the electrical test result (eg, electrical fault) and the electrical test result (eg, electrical fault) using this mapping Can be identified.

一実施形態では、プロセッサは、さらに、ユーザー・インターフェイスを介してユーザーからこの決定を実行する命令を受け取った後設計データ空間において欠陥密度を決定するように構成される。このように、システムは、本明細書でさらに説明されているように障害密度計算を実行するように構成される。ユーザー・インターフェイスは、さらに、障害密度計算の結果を表示するように構成される。   In one embodiment, the processor is further configured to determine the defect density in the design data space after receiving an instruction to perform this determination from the user via the user interface. As such, the system is configured to perform fault density calculations as further described herein. The user interface is further configured to display the results of the fault density calculation.

追加の実施形態では、プロセッサは、ユーザー・インターフェイスを介してユーザーから欠陥サンプリングを実行する命令を受け取った後、レビューのため欠陥サンプリングを実行するように構成される。他の実施形態では、プロセッサは、ユーザー・インターフェイスを介してユーザーからグループ分けを実行する命令を受け取った後、設計データ空間における欠陥の位置に近接する設計レイアウトの類似性に基づいて欠陥をグループ分けするように構成される。このように、システムは、サンプリングとデータ整理(例えば、パターン依存のビン範囲によるグループ分けによるデータ整理)技術を実行するように構成される。これらの技術は、本明細書でさらに説明されているように実行される。   In an additional embodiment, the processor is configured to perform defect sampling for review after receiving an instruction to perform defect sampling from a user via the user interface. In another embodiment, the processor groups the defects based on the similarity of the design layout close to the position of the defects in the design data space after receiving an instruction to perform the grouping from the user via the user interface. Configured to do. Thus, the system is configured to perform sampling and data reduction (eg, data reduction by grouping by pattern dependent bin ranges) techniques. These techniques are performed as described further herein.

いくつかの実施形態では、プロセッサは、時間の推移に従って欠陥のグループに対するKP値を監視し、時間の推移に従ってKP値に基づいて欠陥のグループの有意性を決定するように構成される。このように、システムは、欠陥追跡を行うように構成される(例えば、DTT法を使用し、及び/又はイメージを使用する)。ユーザー・インターフェイスは、さらに、KP値を監視した結果と、時間の経過による欠陥のグループの有意性を表示するように構成される。図25に示されているプロセッサとシステムは、さらに、本明細書で説明されているように構成される。例えば、プロセッサとシステムは、本明細書で説明されている他の方法の他の(複数の)ステップを実行するように構成される。それに加えて、図25に示されているシステムは、検査システムなどの本明細書で説明されている他のコンポーネントを含むが、これは上でさらに説明されているように構成される。図25に示されているシステムは、本明細書で説明されている方法のすべての利点を有する。   In some embodiments, the processor is configured to monitor a KP value for a group of defects according to a time course and to determine the significance of the group of defects based on the KP value according to a time course. In this way, the system is configured to perform defect tracking (eg, using a DTT method and / or using an image). The user interface is further configured to display the results of monitoring the KP value and the significance of the group of defects over time. The processor and system shown in FIG. 25 are further configured as described herein. For example, the processor and system are configured to perform other step (s) of other methods described herein. In addition, the system shown in FIG. 25 includes other components described herein, such as an inspection system, which are configured as described further above. The system shown in FIG. 25 has all the advantages of the method described herein.

他の実施形態は、ウェハ上で検出された電気的欠陥の根本原因を突き止めるためのコンピュータ実施方法に関係する。このような一実施形態では、電気的欠陥に対するウェハの検査の結果は、論理デバイスのビットマップを含む。この方法は、設計データ空間における電気的欠陥の位置を決定することを含む。設計データ空間における電気的欠陥の位置は、本明細書で説明されているように決定される。   Another embodiment relates to a computer-implemented method for determining the root cause of electrical defects detected on a wafer. In one such embodiment, the result of inspection of the wafer for electrical defects includes a logic device bitmap. The method includes determining the location of electrical defects in the design data space. The location of electrical defects in the design data space is determined as described herein.

いくつかの実施形態では、この方法は、系統的欠陥などの欠陥の空間シグネチャをプロセス条件に相関させることを含む。例えば、スキャン・ベースと構造的試験結果をウェハ空間座標に変換した後、特定の空間シグネチャを1つ又は複数のプロセス条件に相関させることができる。欠陥データの空間シグネチャ分析を実行する方法とシステムは、本明細書に全体が述べられているかのように参照により組み込まれている、Kulkarniらの米国特許第5,991,699号、Satyaらの米国特許第6,445,199号、及びEldredgeらの米国特許第6,718,526号に例示されている。本明細書で説明されている方法及びシステムは、これらの特許において説明されている方法のどれかの(複数の)ステップを実行するように構成される。   In some embodiments, the method includes correlating a spatial signature of a defect, such as a systematic defect, with a process condition. For example, after converting scan base and structural test results to wafer space coordinates, a particular spatial signature can be correlated to one or more process conditions. A method and system for performing spatial signature analysis of defect data is described in Kulkarni et al., US Pat. No. 5,991,699, Satya et al., Which is incorporated by reference as if set forth herein in its entirety. Illustrated in US Pat. No. 6,445,199 and Eldredge et al. US Pat. No. 6,718,526. The methods and systems described herein are configured to perform any step (s) of the methods described in these patents.

この方法は、さらに、電気的欠陥の一部の位置が1つ又は複数のプロセス条件に対応する空間シグネチャを定めるかどうかを決定することも含む。このステップは、電気的欠陥の部分に対する空間シグネチャをプロセス条件に対応する空間シグネチャの集合と比較することにより、又はルールを電気的欠陥の部分の位置に適用することにより、又は他の何らかの好適な方法で、実行される。それに加えて、電気的欠陥の一部の位置が1つ又は複数のプロセス条件に対応する空間シグネチャを定める場合、この方法は、電気的欠陥の一部の根本原因を1つ又は複数のプロセス条件として識別することを含む。このように、上述の方法は、論理ビットマップ・データに対し空間シグネチャ分析を実行することを含む。この方法は、さらに、識別するステップの結果を記憶媒体に格納することを含む。識別するステップの結果は、本明細書で説明されている結果を含む。それに加えて、この方法は、本明細書でさらに説明されているように格納するステップを実行する。記憶媒体は、本明細書で説明されている記憶媒体を含む。   The method further includes determining whether the location of the portion of the electrical defect defines a spatial signature corresponding to the one or more process conditions. This step may include comparing the spatial signature for the electrical defect portion with a set of spatial signatures corresponding to the process conditions, or applying a rule to the location of the electrical defect portion, or some other suitable Executed in a way. In addition, if the location of the portion of the electrical defect defines a spatial signature corresponding to the one or more process conditions, the method can identify the root cause of the portion of the electrical defect as one or more process conditions. Including identifying as. Thus, the method described above includes performing spatial signature analysis on the logical bitmap data. The method further includes storing the result of the identifying step on a storage medium. The results of the identifying step include the results described herein. In addition, the method performs the storing step as further described herein. Storage media includes the storage media described herein.

上述の電気的欠陥の根本原因を突き止める方法の実施形態はそれぞれ、本明細書で説明されている(複数の)方法の実施形態の他の(複数の)ステップを含む。それに加えて、上述の電気的欠陥の根本原因を突き止める方法の実施形態はそれぞれ、本明細書で説明されているシステムの実施形態により実行される。   Each of the method embodiments described above for determining the root cause of an electrical defect includes other step (s) of the method embodiment (s) described herein. In addition, each of the method embodiments described above for determining the root cause of electrical defects is performed by the system embodiments described herein.

他の欠陥の根本原因は、本明細書で説明されている方法でも決定される。例えば、プロセス・ウィンドウ上でマッピングされたパターン・グループ(及びそのような組合せ)によるウェハ・ベース又はレチクル・ベースの空間シグネチャは、根本原因を突き止めやすくするため相関を求めるうえで特に役立つ。一例では、プロセス・ウィンドウの一方の辺において、欠陥x及びyは境界にあり、ウェハの外部から最初に不合格になる傾向がある。プロセス・ウィンドウの他の辺では、欠陥zがウェハの辺で最初に不合格になる傾向がある。そのため、考えられる根本原因は、ウェハ上でどの系統的欠陥が最も多く(恐らくは外側アニュラ・リングに関して)不合格になるかを観察することにより決定される。   The root cause of other defects is also determined by the methods described herein. For example, wafer-based or reticle-based spatial signatures with pattern groups (and such combinations) mapped on the process window are particularly useful in determining correlations to help locate root causes. In one example, on one side of the process window, defects x and y are at the boundary and tend to fail first from the outside of the wafer. At the other side of the process window, the defect z tends to fail first at the wafer side. As such, the possible root cause is determined by observing which systematic defects on the wafer are most likely to fail (possibly with respect to the outer annular ring).

他の実施形態は、レビュー、分類/調査のための発見、並びにオンツール、オフツール、及びオンSEMを含む検証/根本原因分析のための監視のために、ウェハ上で検出された欠陥を選択するためのコンピュータ実施方法に関係する。この方法は、ウェハ上の1つ又は複数のゾーンを識別することを含む。1つ又は複数のゾーンは、ウェハ上の1つ又は複数の欠陥タイプの位置に関連付けられている。1つ又は複数のそのようなゾーンの一実施形態が図26に示されている。図26に示されているように、ウェハ200上のゾーン198は、ウェハ上の1つ又は複数の欠陥タイプの位置に関連付けられるものとして識別される。例えば、このゾーンは、ウェハの中心からウェハの縁部へのリソグラフィ・プロセス又はエッチング変動においてウェハの外縁に近接する焦点誤差により引き起こされる欠陥タイプに関連する。   Other embodiments select defects detected on the wafer for review, discovery for classification / investigation, and monitoring for verification / root cause analysis including on-tool, off-tool, and on-SEM To a computer-implemented method for doing this. The method includes identifying one or more zones on the wafer. One or more zones are associated with the location of one or more defect types on the wafer. One embodiment of one or more such zones is shown in FIG. As shown in FIG. 26, the zone 198 on the wafer 200 is identified as being associated with the location of one or more defect types on the wafer. For example, this zone is associated with a defect type caused by a focus error close to the outer edge of the wafer in a lithographic process or etch variation from the wafer center to the wafer edge.

この方法は、さらに、レビュー対象の1つ又は複数のゾーンのみにおいて検出された欠陥を選択することも含む。例えば、図26に示されているように、ウェハ・マップ202は、ゾーン198のレイアウトでオーバーレイされる。このように、配置されているゾーンとそのゾーンに関連付けられている1つ又は複数の欠陥タイプとに基づいて、ウェハ・マップ202に示されている欠陥をレビューのため選択する。このような一例では、図26に示されているゾーンがウェハの外縁に近接するピンぼけ誤差に関連する場合に、この方法で、ゾーン198内で欠陥を(それのみ、主に、又は大量に)選択する。それとは別に、欠陥は、ゾーン198以外のウェハ上のゾーンから選択される。   The method further includes selecting defects detected in only one or more zones to be reviewed. For example, as shown in FIG. 26, the wafer map 202 is overlaid with a zone 198 layout. In this manner, the defects shown in the wafer map 202 are selected for review based on the located zone and the one or more defect types associated with the zone. In one such example, if the zone shown in FIG. 26 is associated with a defocus error close to the outer edge of the wafer, this method will cause defects in zone 198 (only, primarily, or in large quantities). select. Alternatively, the defects are selected from zones on the wafer other than zone 198.

1つのゾーンだけが図26に示されているが、ウェハは任意の個数の好適なゾーンに分けられることは理解されるであろう。それに加えて、ゾーンは、図26に示されているような環状ゾーン、角があるゾーン、角がある放射状のゾーン、又は矩形ゾーンとしてウェハ上で定められる。しかし、ゾーンは、不規則な(例えば、多角形)の形状とすることができる。それに加えて、ゾーンの全部又は一部が形状及び/又はサイズなど同じ特性を持っていてもよいし、持っていなくてもよい。   Although only one zone is shown in FIG. 26, it will be understood that the wafer is divided into any number of suitable zones. In addition, the zones are defined on the wafer as an annular zone, a cornered zone, a cornered radial zone, or a rectangular zone as shown in FIG. However, the zones can be irregular (eg, polygonal) shapes. In addition, all or part of the zone may or may not have the same characteristics such as shape and / or size.

上述の方法は、欠陥サンプルのレビューの結果をダイからウェハへ補間できるように欠陥サンプルを用意するために使用される。対照的に、典型的なレビュー・サンプル・プランは、レシピー最適化については100から200個の欠陥を、ウェハ全体の拡散の監視については25から100個の欠陥を含む。しかし、1つのダイだけで数万個のホット・スポットがある。ホット・スポットが発見のためにレビューされる。系統的欠陥が監視及び検証のためにレビューされる。したがって、この母集団から100から200個の欠陥を選択した後でも、すべて同じダイでそれらをレビューしないのが好ましい。その代わりに、選択された欠陥は、複数のダイに広がっているのが好ましい。上述の方法では、ゾーン分析結果を使用して、特定の欠陥タイプとウェハ上の特定のゾーンの間の相関を識別する。その際、本明細書で説明されている方法を使用して、ウェハ位置特有の欠陥を識別することができる。このように、この方法は、サンプリング・プランをこれらのゾーンの方へバイアスし、ダイ−ウェハ補間で使用するのに適した結果をもたらすようにすることを含む。この方法は、さらに、選択するステップの結果を記憶媒体に格納することを含む。選択するステップの結果は、本明細書で説明されている結果を含む。それに加えて、この方法は、本明細書でさらに説明されているように格納するステップを実行する。記憶媒体は、本明細書で説明されている記憶媒体を含む。   The method described above is used to prepare a defective sample so that the results of the review of the defective sample can be interpolated from die to wafer. In contrast, a typical review sample plan contains 100 to 200 defects for recipe optimization and 25 to 100 defects for monitoring diffusion across the wafer. However, there are tens of thousands of hot spots on a single die. Hot spots are reviewed for discovery. Systematic defects are reviewed for monitoring and verification. Therefore, even after selecting 100 to 200 defects from this population, it is preferable not to review them all on the same die. Instead, the selected defects are preferably spread over multiple dies. In the method described above, zone analysis results are used to identify the correlation between a particular defect type and a particular zone on the wafer. In doing so, the method described herein can be used to identify wafer position specific defects. As such, the method includes biasing the sampling plan towards these zones to provide results suitable for use in die-wafer interpolation. The method further includes storing the result of the selecting step on a storage medium. The result of the selecting step includes the result described herein. In addition, the method performs the storing step as further described herein. Storage media includes the storage media described herein.

上述のレビューのため欠陥を選択する方法の実施形態はそれぞれ、本明細書で説明されている(複数の)方法の実施形態の他の(複数の)ステップを含む。それに加えて、上述のレビューのため欠陥を選択する方法の実施形態はそれぞれ、本明細書で説明されているシステムの実施形態により実行される。   Each of the method embodiments for selecting defects for review described above includes other step (s) of the method embodiment (s) described herein. In addition, each of the above-described method embodiments for selecting defects for review is performed by the system embodiments described herein.

他の実施形態は、設計データに対する1つ又は複数の歩留まり関係プロセスを評価するコンピュータ実施方法に関係する。そのような一実施形態は、図27に示されている。図27に示されているステップは、方法の実施に本質的ではないことに留意されたい。1つ又は複数のステップを図27に例示されている方法から省いたり、又は追加したりすることができ、又はこの方法を、そのまま、この実施形態の範囲内で実施することができる。   Another embodiment relates to a computer-implemented method for evaluating one or more yield-related processes on design data. One such embodiment is shown in FIG. Note that the steps shown in FIG. 27 are not essential to the performance of the method. One or more steps may be omitted or added from the method illustrated in FIG. 27, or the method may be implemented as is within the scope of this embodiment.

図27に示されているように、この方法は、ステップ204に示されているように、ルール・チェックを使用して設計データにおける潜在的障害を識別することを含む。それとは別に、設計データにおける潜在的障害は、リピータ分析又は欠陥密度マップから観察された潜在的ホット・スポットを使用して識別される。このステップで識別される潜在的障害は、1つ又は複数の異なるタイプのDOIを含む。いくつかの実施形態では、このステップで識別される潜在的障害は、パターニング後潜在的障害(例えば、エッチング後潜在的障害)を含む。それに加えて、潜在的障害が識別された後、これは設計全体に伝搬されるが、設計における共通パターンの探索により検出される(例えば、任意パターン探索を介して)。いくつかの実施形態では、この方法は、すべての類似のPOIの配置を識別する任意パターン探索を含む。共通パターンは、潜在的障害をすべて見つけるために回転された、又はフリップされたパターンを探索することにより識別される。さらに、設計データにおける潜在的障害は、当業界で知られている他の好適な方法(例えば、モデリング)、ソフトウェア、及び/又はアルゴリズムを使用してステップ204において識別される。それに加えて、潜在的障害は、設計データに合わせて加工されたデバイスの障害の原因となりうる、又はデバイスの障害を実際に引き起こすことなく望ましくない形でデバイスの1つ又は複数の電気的パラメータを変える可能性のある設計データにおける領域又はパターンを含む。   As shown in FIG. 27, the method includes identifying potential faults in the design data using rule checking, as shown in step 204. Alternatively, potential faults in the design data are identified using potential hot spots observed from repeater analysis or defect density maps. The potential faults identified in this step include one or more different types of DOI. In some embodiments, the potential fault identified in this step includes a post-patterning potential fault (eg, a post-etch potential fault). In addition, after a potential fault is identified, it is propagated throughout the design, but is detected by searching for common patterns in the design (eg, via an arbitrary pattern search). In some embodiments, the method includes an arbitrary pattern search that identifies all similar POI placements. Common patterns are identified by searching for patterns that have been rotated or flipped to find all potential obstacles. Further, potential faults in the design data are identified in step 204 using other suitable methods (eg, modeling), software, and / or algorithms known in the art. In addition, a potential failure can cause failure of a device that has been machined to design data, or one or more electrical parameters of a device in an undesirable manner without actually causing device failure. Includes areas or patterns in design data that may change.

ステップ206に示されているように、この方法は、さらに、潜在的障害の1つ又は複数の属性を決定することを含む。判定される潜在的障害の(複数の)属性としては、例えば、タイプがある。潜在的障害の(複数の)属性は、実験的試験、シミュレーション結果、設計データ、又は他の方法により取り込まれる。この方法は、上述のように潜在的な障害を識別することを含むため、この方法は、潜在的障害をできる限り多く排除するよう加工に先立って設計データを変更することを含む。設計データのこのような変更は、本明細書で説明されているように実行される。しかし、加工に先立ってすべての潜在的障害を排除できるわけではないことは考えられるであろう。それに加えて、本明細書で説明されている方法において識別された潜在的障害は、加工時に実際に障害を引き起こしたり、歩留まりに影響を及ぼすことがある場合もない場合もある。したがって、潜在的障害の一部が加工(したがって、検査)に先立って排除されるが、本明細書で説明されている方法は、設計検査において潜在的障害が実際に不合格になった場合に可能な限り速やかに検出されるように検査が実行されるべき場所に関する重要な情報を与えることができる。それに加えて、本明細書で説明されている方法は、設計において潜在的障害を含む設計データの部分のウェハ上の領域の検査が最も適している検査パラメータで実行されるように設計の異なる領域がどのように検査されるべきかに関する重要情報を与え、これにより、潜在的障害が実際に障害を引き起こす場合に、検査により検出される確率を高めることができる。   As shown in step 206, the method further includes determining one or more attributes of the potential failure. The attribute (s) of the potential fault to be determined includes, for example, a type. The potential failure's attribute (s) are captured by experimental testing, simulation results, design data, or other methods. Since the method includes identifying potential faults as described above, the method includes modifying the design data prior to processing to eliminate as many potential faults as possible. Such modification of the design data is performed as described herein. However, it is conceivable that not all potential obstacles can be eliminated prior to processing. In addition, potential faults identified in the methods described herein may or may not actually cause faults during processing or affect yield. Thus, although some of the potential faults are eliminated prior to processing (and therefore inspection), the method described herein is used when a potential fault is actually rejected during design inspection. Important information regarding where the test should be performed can be given so that it can be detected as quickly as possible. In addition, the method described herein allows different areas of the design so that inspection of areas on the wafer of portions of the design data that contain potential obstacles in the design is performed with the most suitable inspection parameters. Can provide important information on how to be tested, which can increase the probability of being detected by the test if the potential fault actually causes the fault.

ステップ208に示されているように、この方法は、潜在的な障害の1つ又は複数の属性に基づいて潜在的障害が検出可能であるかどうかを判定することを含む。潜在的障害が検出可能かどうかは、さまざまな検査システムの知られている機能と組み合わせて潜在的障害の(複数の)属性に基づいて判定される。ステップ210に示されているように、この方法は、1つ又は複数の属性に基づいて複数の異なる検査システム(例えば、BF、DF、電圧コントラスト、EC、電子ビームなど)のうちのどれが潜在的障害を検出するのに最も適しているかを判定することを含む。   As shown in step 208, the method includes determining whether a potential fault is detectable based on one or more attributes of the potential fault. Whether a potential fault is detectable is determined based on the attribute (s) of the potential fault in combination with the known functionality of various inspection systems. As shown in step 210, the method can identify which of a number of different inspection systems (eg, BF, DF, voltage contrast, EC, electron beam, etc.) based on one or more attributes. Determining whether it is most suitable for detecting a physical failure.

いくつかの実施形態では、この方法は、ステップ212に示されているように、最も適していると判断された検査システムの1つ又は複数のパラメータを選択することを含む。そのような一実施形態では、(複数の)パラメータは、潜在的欠陥の1つ又は複数の属性に基づいて選択される。(複数の)パラメータは、本明細書でさらに説明されているように選択される。それに加えて、このステップで選択される(複数の)パラメータは、変化する、及び/又は制御可能な検査システムの(複数の)パラメータを含む。このようなパラメータの一例として、光学モード又は検査モードがある。好ましくは、潜在的な障害についてウェハの検査を最適化するように(複数の)パラメータが選択される(例えば、潜在的障害の配置で欠陥の欠陥捕捉率を高める、潜在的障害の配置で欠陥に対する感度を高めるなど)。   In some embodiments, the method includes selecting one or more parameters of the inspection system determined to be most suitable, as shown in step 212. In one such embodiment, the parameter (s) is selected based on one or more attributes of the potential defect. The parameter (s) is selected as described further herein. In addition, the parameter (s) selected in this step include the parameter (s) of the inspection system that are variable and / or controllable. An example of such a parameter is an optical mode or an inspection mode. Preferably, the parameter (s) are selected to optimize the inspection of the wafer for potential faults (eg, defects at potential fault placement, increasing defect capture rate of defects at potential fault placement). Increase sensitivity to).

いくつかの実施形態では、この方法は、場合によっては本明細書で説明されている他の情報と組み合わせて潜在的障害の位置に近接する設計データの1つ又は複数の属性に基づいて潜在的障害の1つ又は複数を優先順位付けすることを含む(例えば、欠陥に対する設計データの感受性、欠陥に対する設計データに対応するデバイスの電気的パラメータの感受性など)。このような優先順位付けは、本明細書でさらに説明されているように実行される。それに加えて、最も適している検査システム及び検査システムのパラメータは、本明細書でさらに説明されているような優先順位付けの結果に基づいて選択される。例えば、そのような実施形態では、最も適している検査システム及び検査システムのパラメータを、最も重要な欠陥が検査プロセスにより検出されるように1つ又は複数の最高優先度を有する潜在的障害に対する検査を最適化するように選択するとよい。最も適している検査システムのそのような決定とパラメータを選択することで、結果として、1つ又は複数の最低優先度を有する潜在的障害に対する検査の最適化が行われる場合もあれば、ない場合もある。   In some embodiments, the method may be based on one or more attributes of design data that are proximate to the location of the potential fault, possibly in combination with other information described herein. Prioritizing one or more of the faults (eg, sensitivity of design data to defects, sensitivity of electrical parameters of devices corresponding to design data to defects, etc.). Such prioritization is performed as described further herein. In addition, the most suitable inspection system and inspection system parameters are selected based on the prioritization results as further described herein. For example, in such an embodiment, the most suitable inspection system and inspection system parameters may be used to inspect for potential faults having one or more highest priorities so that the most important defects are detected by the inspection process. Should be selected to optimize. Selecting such determinations and parameters of the most suitable inspection system may result in, or may not, optimize the inspection for potential faults with one or more lowest priorities. There is also.

他の実施形態では、この方法は、ステップ214に示されているように、設計データを使って加工されたデバイスの歩留まりに対する潜在的障害の影響を決定することを含む。このように、この方法は、レシピー最適化と監視に使用される。他の実施形態では、この方法は、検出不可能であるが、歩留まりに影響があると判定された潜在的障害の影響を判定することを含む。このように、この方法は、検査により検出不可能な歩留まり損失の割合を決定することを含む。本明細書で説明されている方法において使用される歩留まりを予測する方法の一例は、本明細書に全体が説明されているかのように参照により組み込まれている、Satyaらの米国特許第6,813,572号において例示されている。   In other embodiments, the method includes determining the impact of a potential failure on the yield of the fabricated device using the design data, as shown in step 214. Thus, this method is used for recipe optimization and monitoring. In other embodiments, the method includes determining the impact of a potential failure that is undetectable but determined to affect yield. Thus, the method includes determining the percentage of yield loss that cannot be detected by inspection. One example of a method for predicting yield used in the methods described herein is Sataya et al., US Pat. No. 6, incorporated by reference as if fully set forth herein. No. 813,572.

したがって、上述の方法は、ホット・スポットの完全自動化予測、追跡、妥当性確認(何らかの初期手動セットアップが実行された後)に使用される。上述の方法は、さらに、複数の異なる検査システムのうちのどれが、記憶媒体に格納されている潜在的障害を検出するために最も適しているかを判定した結果を格納することを含む。このステップの結果は、本明細書で説明されている結果を含む。それに加えて、この方法は、本明細書でさらに説明されているように格納するステップを実行する。記憶媒体は、本明細書で説明されている記憶媒体を含む。   Thus, the method described above is used for fully automated prediction, tracking and validation of hot spots (after any initial manual setup has been performed). The above-described method further includes storing the results of determining which of a plurality of different inspection systems are most suitable for detecting potential faults stored on the storage medium. The results of this step include the results described herein. In addition, the method performs the storing step as further described herein. Storage media includes the storage media described herein.

上述の1つ又は複数の歩留まり関係プロセスを評価する方法の実施形態はそれぞれ、本明細書で説明されている(複数の)方法の他の(複数の)ステップを含む。それに加えて、上述の1つ又は複数の歩留まり関係プロセスを評価する方法の実施形態はそれぞれ、本明細書で説明されているシステムにより実行される。   Each of the method embodiments for evaluating one or more yield related processes described above includes other step (s) of the method (s) described herein. In addition, each of the method embodiments for evaluating one or more yield-related processes described above is performed by the system described herein.

本明細書で説明されている方法及びシステムは、総合的な設計、欠陥、歩留まりのソリューションを提供するために使用される。例えば、上述のように、この方法は、欠陥(インライン検査及び/又は電気的検査により検出される)を系統的欠陥とランダム欠陥とに分けることを含む。本明細書で説明されている方法及びシステムの実施形態は、さらに、ホット・スポットを管理するために使用される。   The methods and systems described herein are used to provide a comprehensive design, defect, and yield solution. For example, as described above, the method includes dividing defects (detected by in-line inspection and / or electrical inspection) into systematic defects and random defects. The method and system embodiments described herein are further used to manage hot spots.

パラメータ歩留まり損失に関係する欠陥を、半導体製造プロセスのパラメータに基づいてデバイスの電気的パラメータを決定するシミュレーションなどのシミュレーションの入力として使用することができる。このように、パラメータ歩留まり損失に関係する欠陥をウェハ上で実行されるプロセスに関する情報と組み合わせて使用し、シミュレーションのチューニング又は最適化を行うことができる。それに加えて、シミュレーション結果を使用して、パラメータ歩留まり損失に関係する欠陥を減らすために変更されるウェハ上で実行されるプロセスのパラメータを識別することができる。さらに、本明細書で説明されている方法のシミュレーションと結果を使用して、パラメータ歩留まり損失を低減するうえでクリティカルな1つ又は複数のプロセスのパラメータを識別することができる。   Defects related to parameter yield loss can be used as input for simulations, such as simulations, that determine device electrical parameters based on semiconductor manufacturing process parameters. In this way, defects related to parameter yield loss can be used in combination with information about processes performed on the wafer to tune or optimize the simulation. In addition, simulation results can be used to identify parameters of a process that is performed on a wafer that is modified to reduce defects associated with parameter yield loss. Further, simulations and results of the methods described herein can be used to identify parameters of one or more processes that are critical in reducing parameter yield loss.

系統的パターニング損失に関係する欠陥は、デバイスの設計とプロセスとの間の相互作用に関係するパターン欠陥を識別するために使用される。このように、これらの欠陥に関する情報を使用して、プロセスを変更するか、又は設計を変更するか、又はプロセス及び設計を変更し、これらの欠陥を低減することができる。   Defects related to systematic patterning loss are used to identify pattern defects related to the interaction between device design and process. In this way, information about these defects can be used to change the process, change the design, or change the process and design to reduce these defects.

上述のステップは、学んだ教訓を活かして将来の設計を改善するために実行される設計フィードバック・フェーズで実行される。言い換えると、ホット・スポット・データベースと監視フェーズから知識の伝達を設計フェーズに対して行うことができる(例えば、技術研究及び開発、製品設計、RET設計など)。このフェーズは、マルチソース空間において実行される(例えば、設計空間、ウェハ空間、試験空間、及びプロセス空間の間の相関を使用する)。このフェーズは、さらに、特定のセル設計と強い相関のあるホット・スポットに基づいて設計を改善することを含む。それに加えて、このフェーズは、提案されている設計ルールと強い相関のあるホット・スポットを使用して設計を改善することを含む。   The above steps are performed in a design feedback phase that is performed to take advantage of lessons learned and improve future designs. In other words, knowledge transfer from the hot spot database and monitoring phase can be made to the design phase (eg, technical research and development, product design, RET design, etc.). This phase is performed in multi-source space (eg, using correlation between design space, wafer space, test space, and process space). This phase further includes improving the design based on hot spots that are strongly correlated with a particular cell design. In addition, this phase includes improving the design using hot spots that are strongly correlated with the proposed design rules.

ランダム欠陥に関する情報を使用して、欠陥制限歩留まりを決定することができる(すなわち、すべての系統的欠陥とリピータ欠陥が排除された場合に達成可能な最大の歩留まり)。また、このような情報を使用して、デバイスに対するランダム欠陥の影響を決定し最高の歩留まりキラーであるランダム欠陥を識別するシミュレーションと組み合わせてオンライン及びオフライン監視を行うことができる。   Information about random defects can be used to determine defect limit yield (ie, maximum yield achievable if all systematic and repeater defects are eliminated). Such information can also be used to perform on-line and off-line monitoring in combination with simulations that determine the impact of random defects on the device and identify random defects that are the best yield killer.

本明細書で説明されている方法は、これらの方法の結果を使用して半導体加工プロセスを監視することを含む。半導体加工プロセスを監視するために使用される結果は、本明細書で説明されている結果(例えば、インライン検査データ、系統的欠陥情報、ランダム欠陥情報、障害密度マップ、ビン範囲によるグループ分け結果など)又は本明細書で説明されている結果の組合せを含む。本明細書で説明されている方法は、さらに、本明細書で説明されている方法のどれかの結果に基づいて1つ又は複数の半導体加工プロセスの1つ又は複数のパラメータを変更することを含む。(複数の)半導体加工プロセスの(複数の)パラメータは、フィードバック技術、フィードフォワード技術、現場の技術、又はそれらの何らかの組合せを使用して制御される。このように、本明細書で説明されている方法及びそれらの方法により生成される結果は、SPCアプリケーションに使用される。   The methods described herein include monitoring semiconductor processing processes using the results of these methods. The results used to monitor the semiconductor processing process include the results described herein (eg, inline inspection data, systematic defect information, random defect information, fault density map, binning grouping results, etc. Or a combination of the results described herein. The method described herein further includes changing one or more parameters of one or more semiconductor processing processes based on the results of any of the methods described herein. Including. The parameter (s) of the semiconductor processing process (s) are controlled using feedback technology, feedforward technology, field technology, or some combination thereof. Thus, the methods described herein and the results generated by those methods are used for SPC applications.

本明細書でさらに説明されているように、本明細書で説明されている方法及びシステムは、ビン範囲によるグループ分け、レビュー・サンプリング、検査セットアップ、本明細書で説明されている他の何らかの分析を改善するために設計データに基づいてオンツール歩留まり予測に使用される。本明細書で説明されている方法及びシステムは、他の現在使用されている方法及びシステムに勝る多数の利点を有している。例えば、KP分析に現在使用されている方法及びシステムでは、サイズ分布及び/又は分類により欠陥密度を考察することにより履歴歩留まりデータを全体的ランダム歩留まり損失予測に使用する。このような方法及びシステムの1つの欠点は、1つ又は複数の欠陥が1つのダイをだめにする確率を計算するときに他の欠陥グループ(例えば、サイズ・ビン、クラス・ビン、層)が考慮されないことにある。それに加えて、これらの方法及びシステムは、セットアップに統計的に有意な履歴データを必要とする。他の例では、KP分析に現在使用されている方法及びシステムでは、検出された欠陥のKPをよりよく予測するために領域内でサイズ及び/又は分類(例えば、類似パターン密度)を考慮することにより履歴歩留まりデータ及び欠陥1つ当たりの歩留まり損失予測を使用する。このような方法及びシステムの1つの欠点は、統計的に有意な履歴データがセットアップに必要であるという点である。他の例では、クリティカル領域分析(CAA)について現在使用されている方法及びシステムは、欠陥により歩留まり損失予測を決定し、さまざまな欠陥サイズに対するジオメトリ(線幅、間隔)によりダイ全体にわたるクリティカル領域の事前計算に依存する。このアプローチは、比較的多量の計算を行うが、1回計算すれば、配置に基づくクリティカル領域よりも広い領域の欠陥は、キラーであると予測される。このような方法及びシステムの1つの欠点は、統計的に有意な履歴データがセットアップに必要であるという点である。それに加えて、このような方法及びシステムは、多量の前処理を行う必要があり、また方法及びシステムの精度は、欠陥座標精度によって制限される。   As further described herein, the methods and systems described herein can be grouped by bin ranges, review sampling, inspection setups, or any other analysis described herein. Is used for on-tool yield prediction based on design data. The methods and systems described herein have a number of advantages over other currently used methods and systems. For example, currently used methods and systems for KP analysis use historical yield data for overall random yield loss prediction by considering defect density by size distribution and / or classification. One drawback of such methods and systems is that other defect groups (eg, size bins, class bins, layers) may be used when calculating the probability that one or more defects will spoil a die. It is not considered. In addition, these methods and systems require statistically significant historical data for setup. In other examples, the methods and systems currently used for KP analysis consider size and / or classification (eg, similar pattern density) within a region to better predict the KP of detected defects Using historical yield data and yield loss prediction per defect. One disadvantage of such methods and systems is that statistically significant historical data is required for setup. In another example, the methods and systems currently used for critical area analysis (CAA) determine yield loss prediction by defect, and the critical area across the die by geometry (line width, spacing) for various defect sizes. Depends on pre-calculation. This approach performs a relatively large amount of computation, but once computed, defects in a region larger than the critical region based on placement are expected to be killer. One disadvantage of such methods and systems is that statistically significant historical data is required for setup. In addition, such methods and systems require a large amount of preprocessing, and the accuracy of the methods and systems is limited by the defect coordinate accuracy.

対照的に、本明細書で説明されている方法及びシステムは、非常に正確な座標を使用しており、その結果、CAA及び本明細書で説明されている方法に対して歩留まり予測が改善される。本明細書で説明されている方法及びシステムは、さらに、アクティブなCAAに使用される。例えば、このアプローチでは、データを前処理して多数のサイズ及び配置にわたってルックアップ・テーブルを生成するのではなく、改善された配置とサイズに基づいて歩留まりを計算する。これは、検査システムで利用できる設計データを必要とし、また計算効率の高い可能性もある。それに加えて、本明細書で説明されている方法及びシステムは、系統的欠陥に対する、又はパターン・グループ化による分析を省くことを含み、その結果、計算効率がさらに改善される。さらに、本明細書で説明されている方法及びシステムを使用することで、オンツール結果の歩留まりを予測することができ、したがって、ウェハがチャンク上にある間に結果をレビュー対象の(例えば、レシピー最適化のための手動レビュー、高分解能イメージ取り込みなど)欠陥の優先順位付けに使用できる。   In contrast, the methods and systems described herein use very accurate coordinates, resulting in improved yield prediction over CAA and the methods described herein. The The methods and systems described herein are further used for active CAA. For example, this approach does not preprocess the data to generate a look-up table across multiple sizes and placements, but calculate yields based on improved placements and sizes. This requires design data that can be used in the inspection system and may be computationally efficient. In addition, the methods and systems described herein include omitting analysis for systematic defects or by pattern grouping, which further improves computational efficiency. In addition, the method and system described herein can be used to predict the yield of on-tool results, so that results can be reviewed (e.g., recipes while the wafer is on the chunk). Can be used to prioritize defects (manual review for optimization, high resolution image capture, etc.).

本発明のさまざまな態様の他の修正形態及び代替形態は、本明細書を参照することで当業界者には明白であろう。例えば、検査データと組み合わせて設計データを使用するための方法及びシステムが実現される。したがって、この説明は、例示的であると解釈されるべきであり、本発明を実施する一般的な方法を当業界者に教示することを目的としている。図に示され、本明細書で説明されている本発明の形態は、現在好ましい実施形態として解釈されるべきであると理解されるであろう。要素及び材料を、例示され、本明細書で説明されているものの代わりに使用することができ、パーツ及びプロセスを、逆にすることができ、本発明のいくつかの特徴を、独立して利用することができ、これらはすべて本発明のこの説明を利用した後で当業界者にとって明白なものになるであろう。添付の特許請求の範囲で説明されているように、本発明の精神及び範囲から逸脱することなく、本明細書で説明した要素に変更を加えることができる。   Other modifications and alternatives of various aspects of the invention will be apparent to those skilled in the art upon reference to this specification. For example, a method and system for using design data in combination with inspection data is implemented. Accordingly, this description is to be construed as illustrative and is for the purpose of teaching those skilled in the art the general manner of carrying out the invention. It will be understood that the form of the invention shown in the drawings and described herein is to be construed as a presently preferred embodiment. Elements and materials can be used in place of those illustrated and described herein, parts and processes can be reversed, and several features of the invention can be utilized independently. All of which will be apparent to those skilled in the art after utilizing this description of the invention. Changes may be made in the elements described herein without departing from the spirit and scope of the invention as set forth in the appended claims.

設計データ空間における検査データの位置を決定するためのコンピュータ実施方法の一実施形態を例示する流れ図である。2 is a flow diagram illustrating one embodiment of a computer-implemented method for determining the location of inspection data in a design data space. 所定のアライメント部位の異なる実施形態の上面を例示する略図である。1 is a schematic diagram illustrating the top surface of different embodiments of a predetermined alignment site. 所定のアライメント部位の異なる実施形態の上面を例示する略図である。1 is a schematic diagram illustrating the top surface of different embodiments of a predetermined alignment site. ウェハ−ウェハ間比較を実行するためのコンピュータ実施方法のさまざまな実施形態を例示する階層図である。FIG. 6 is a hierarchy diagram illustrating various embodiments of a computer-implemented method for performing a wafer-to-wafer comparison. ウェハ−ウェハ間比較を実行するためのコンピュータ実施方法の一実施形態を例示する略図である。1 is a schematic diagram illustrating one embodiment of a computer-implemented method for performing a wafer-to-wafer comparison. 複数のアニュラ・リングに分割されたウェハの表面の一領域に関して取り込まれた検査データの一実施形態の上面を例示する略図である。FIG. 6 is a schematic diagram illustrating the top surface of one embodiment of inspection data captured for a region of the surface of a wafer divided into a plurality of annular rings. 複数の放射状セクタに分割されたウェハの表面の一領域に関して取り込まれた検査データの一実施形態の上面を例示する略図である。FIG. 4 is a schematic diagram illustrating the top surface of one embodiment of inspection data captured for a region of the surface of a wafer divided into a plurality of radial sectors. ウェハ−ウェハ間比較を実行するためのコンピュータ実施方法の他の実施形態を例示する略図である。6 is a schematic diagram illustrating another embodiment of a computer-implemented method for performing a wafer-to-wafer comparison. ウェハ上に印刷されるダイの配列の一実施形態の上面を例示する略図である。1 is a schematic diagram illustrating the top surface of one embodiment of an array of dies printed on a wafer. 複数のフレームに分割されるウェハ上に印刷されるダイに関して取り込まれた検査データの一実施形態の上面を例示する略図である。FIG. 6 is a schematic diagram illustrating the top surface of one embodiment of inspection data captured for a die printed on a wafer that is divided into a plurality of frames. ウェハ−ウェハ間比較を実行するためのコンピュータ実施方法の追加の実施形態を例示する略図である。FIG. 6 is a schematic diagram illustrating an additional embodiment of a computer-implemented method for performing a wafer-to-wafer comparison. ウェハ上に印刷されるダイとウェハ上のスキャン経路の配列の一実施形態の上面を例示する略図である。1 is a schematic diagram illustrating the top surface of one embodiment of an array of dies printed on a wafer and scan paths on the wafer. ウェハに関して取り込まれた検査データの連続するスワスの上面を例示する略図である。Fig. 6 is a schematic diagram illustrating the top surface of a continuous swath of inspection data captured for a wafer. スワス・オーバーラップ領域におけるデータを使用してスワスNに関するスワス(N+1)の位置を決定するためのコンピュータ実施方法により選択されたウェハ及びアライメント部位について取り込まれた検査データの連続するスワスの上面を例示する略図である。Illustrates the top surface of successive swaths of inspection data captured for a selected wafer and alignment site by a computer implemented method for determining the position of swath (N + 1) with respect to swath N using data in the swath overlap region It is the schematic to do. アライメント部位が第1の検査スワスから比較的遠く隔てられているウェハに対し取り込まれた検査データの異なるスワスの一実施形態の上面を例示する略図である。FIG. 4 is a schematic diagram illustrating the top surface of one embodiment of a swath with different inspection data captured for a wafer whose alignment site is relatively far from the first inspection swath. ウェハに関して取り込まれた検査データの異なるスワスのさまざまな実施形態の上面を例示する略図である。FIG. 6 is a schematic diagram illustrating the top surface of various embodiments of different swaths of inspection data captured for a wafer. ウェハに関して取り込まれた検査データの異なるスワスのさまざまな実施形態の上面を例示する略図である。FIG. 6 is a schematic diagram illustrating the top surface of various embodiments of different swaths of inspection data captured for a wafer. ウェハに関して取り込まれた検査データの異なるスワスのさまざまな実施形態の上面を例示する略図である。FIG. 6 is a schematic diagram illustrating the top surface of various embodiments of different swaths of inspection data captured for a wafer. 設計データ空間における検査データの位置を決定するためのコンピュータ実施方法の他の実施形態を例示する流れ図である。6 is a flow diagram illustrating another embodiment of a computer-implemented method for determining the location of inspection data in a design data space. 設計データ空間における検査データの位置を決定するように構成されたシステムのさまざまな実施形態の側面を例示する略図である。1 is a schematic diagram illustrating aspects of various embodiments of a system configured to determine the location of inspection data in a design data space. ウェハ上で検出された欠陥をビン範囲に従って分けるコンピュータ実施方法の一実施形態を例示する略図である。6 is a schematic diagram illustrating one embodiment of a computer-implemented method of separating defects detected on a wafer according to bin ranges. 三角形になるようにウェハ上に配置されている、3つの異なるダイにおけるウェハ上のアライメント部位の一実施形態の上面を例示する略図である。FIG. 6 is a schematic diagram illustrating the top surface of one embodiment of an alignment site on a wafer in three different dies, arranged on a wafer in a triangular shape. ウェハ上で検出された欠陥をビン範囲に従って分けるコンピュータ実施方法の他の実施形態を例示する略図である。6 is a schematic diagram illustrating another embodiment of a computer-implemented method of separating defects detected on a wafer according to bin ranges. 本明細書で説明されている実施形態によりウェハ上で検出された欠陥をビン範囲に従って分けるコンピュータ実施方法を実行するように構成されたモジュールへの入力及びモジュールからの出力の一実施形態を例示する略図である。FIG. 4 illustrates one embodiment of input to and output from a module configured to perform a computer-implemented method of separating defects detected on a wafer according to bin ranges according to embodiments described herein. It is a schematic diagram. 図20のモジュールの出力の異なる実施形態を例示する略図である。21 is a schematic diagram illustrating different embodiments of the output of the module of FIG. 図20のモジュールの出力の異なる実施形態を例示する略図である。21 is a schematic diagram illustrating different embodiments of the output of the module of FIG. 図20のモジュールの入力及び出力の一実施形態を例示する略図である。21 is a schematic diagram illustrating one embodiment of the inputs and outputs of the module of FIG. 図20のモジュールの出力の一実施形態の上面を例示する略図である。21 is a schematic diagram illustrating the top surface of one embodiment of the output of the module of FIG. 設計データ及び欠陥データを表示し、分析するように構成されたシステムの一実施形態の側面を例示する略図である。1 is a schematic diagram illustrating aspects of one embodiment of a system configured to display and analyze design data and defect data. ウェハ上の1つ又は複数の欠陥タイプの位置に関連付けられているウェハ上の1つ又は複数のゾーンの一実施形態の上面を例示する略図である。1 is a schematic diagram illustrating the top surface of one embodiment of one or more zones on a wafer associated with the location of one or more defect types on the wafer. 1つ又は複数の歩留まり関連プロセスを設計データに関して評価するコンピュータ実施方法の一実施形態を例示する流れ図である。6 is a flow diagram illustrating one embodiment of a computer-implemented method for evaluating one or more yield-related processes with respect to design data.

Claims (19)

設計データ空間における検査データの位置を決定するためのコンピュータ実施方法であって、
ウェハ上のアライメント部位について検査システムにより取り込まれたデータを所定のアライメント部位に対するデータにアラインさせるステップと、
設計データ空間における前記所定のアライメント部位の位置に基づいて前記設計データ空間における前記ウェハ上の前記アライメント部位の位置を決定するステップと、
前記設計データ空間における前記ウェハ上の前記アライメント部位の前記位置に基づいて前記設計データ空間における前記検査システムにより前記ウェハについて取り込まれた検査データの位置を決定するステップと、
を含み、
前記ステップは記載された順に実行され、
前記設計データ空間における前記検査データの前記位置と前記設計データ空間における設計データの1つまたは複数の属性と、前記検査データの1つ又は複数の属性とに基づいて、前記ウェハの異なる部分で欠陥を検出する感度を決定するステップをさらに含み、前記検査データの前記1つ又は複数の属性は、欠陥が前記異なる部分において検出された場合、1つ又は複数のイメージ・ノイズ属性、又はその何らかの組合せを含み、
前記所定のアライメント部位に対する前記データは、前記所定のアライメント部位が前記ウェハ上にどのように印刷されるか示す1つ又は複数のシミュレートされたイメージ、または、前記検査システムにより取り込まれ、データ構造体に格納されている設計データにアラインされたデータを含むことを特徴とするコンピュータ実施方法。
A computer-implemented method for determining the location of inspection data in a design data space, comprising:
Aligning data captured by the inspection system for alignment sites on the wafer with data for a predetermined alignment site;
Determining the position of the alignment site on the wafer in the design data space based on the position of the predetermined alignment site in the design data space;
Determining the position of inspection data captured for the wafer by the inspection system in the design data space based on the position of the alignment site on the wafer in the design data space;
Including
The steps are performed in the order listed,
Defects in different parts of the wafer based on the location of the inspection data in the design data space, one or more attributes of the design data in the design data space, and one or more attributes of the inspection data Determining the sensitivity of detecting, wherein the one or more attributes of the inspection data are one or more image noise attributes, or some combination thereof, when defects are detected in the different portions Including
The data for the predetermined alignment site is captured by one or more simulated images showing how the predetermined alignment site is printed on the wafer, or by the inspection system, and a data structure A computer-implemented method comprising data aligned to design data stored in a body.
前記所定のアライメント部位に対する前記データは、前記所定のアライメント部位の1つ又は複数の属性を含み、前記アライメント部位に対する前記データは、前記アライメント部位の1つ又は複数の属性を含み、前記アラインさせることは、前記所定のアライメント部位の前記1つ又は複数の属性を前記アライメント部位の前記1つ又は複数の属性にアラインさせることを含む請求項1に記載の方法。   The data for the predetermined alignment site includes one or more attributes of the predetermined alignment site, and the data for the alignment site includes one or more attributes of the alignment site and aligns them. The method of claim 1, comprising aligning the one or more attributes of the predetermined alignment site to the one or more attributes of the alignment site. 前記所定のアライメント部位に対する前記データは、前記設計データ空間における設計座標にアラインされた標準参照ダイ・イメージの少なくとも一部を含む請求項1に記載の方法。   The method of claim 1, wherein the data for the predetermined alignment site includes at least a portion of a standard reference die image aligned with design coordinates in the design data space. 前記所定のアライメント部位は、x方向及びy方向でユニークな1つ又は複数の属性を有する少なくとも1つのアライメント・フィーチャ又は少なくとも2つのアライメント・フィーチャを備え、前記少なくとも2つのアライメント・フィーチャのうちの第1のフィーチャは、x方向でユニークな1つ又は複数の属性を有し、前記少なくとも2つのアライメント・フィーチャのうちの第2のフィーチャは、y方向でユニークな1つ又は複数の属性を有する請求項1に記載の方法。   The predetermined alignment site comprises at least one alignment feature or at least two alignment features having one or more attributes that are unique in the x and y directions, and the first of the at least two alignment features. A feature has one or more attributes that are unique in the x direction, and a second feature of the at least two alignment features has one or more attributes that are unique in the y direction. Item 2. The method according to Item 1. 前記アライメント部位に対する前記データは、前記検査データのスワス内にあり、前記検査データの前記位置を決定することは、前記アライメント部位の前記位置に基づいて前記設計データ空間における前記スワスの前記位置を決定することと、前記スワスの前記位置に基づいて前記設計データ空間における前記検査データの追加のスワスの位置を決定することとを含む請求項1に記載の方法。   The data for the alignment site is in a swath of the test data, and determining the position of the test data determines the position of the swath in the design data space based on the position of the alignment site. The method of claim 1, further comprising: determining a position of an additional swath of the inspection data in the design data space based on the position of the swath. 前記設計データの前記1つ又は複数の属性は、前記ウェハの前記検査データが取り込まれた検査が行われている現在のプロセス層、該プロセル層以外の異なるプロセス層、又はそれらの何らかの組合せに対する現在行われている検査のための前記設計データ、該設計データ以外の異なる設計データ、又はそれらの何らかの組合せに対する検査が行われている現在の前記ウェハ、該設ウェハ以外の他のウェハ、又はそれらの何らかの組合せに対するすでに取り込まれている検査データの1つ又は複数の属性に基づいて選択される請求項1に記載の方法。 Said one or more attributes of the design data, current process layers the inspection test data is captured has been performed of the wafer, different process layers other than the Puroseru layer, or the current for some combination thereof The design data for the inspection being performed , different design data other than the design data, or the current wafer being inspected against some combination thereof , another wafer other than the installed wafer, or their The method of claim 1, wherein the method is selected based on one or more attributes of inspection data already captured for any combination. 前記設計データの前記1つ又は複数の属性は、前記異なる部分においてすでに検出されている欠陥の実際の歩留まりである欠陥の歩留まりクリティカル度、前記異なる部分においてすでに検出されている設計データについて加工されたデバイス内に欠陥が一つ又は複数の電気的障害を引き起こす確率である前記欠陥の故障確率、又はそれらの何らかの組合せに基づいて選択される請求項1に記載の方法。 The one or more attributes of the design data are processed for the defect yield criticality, which is the actual yield of defects already detected in the different parts, for the design data already detected in the different parts The method of claim 1, wherein the defect is selected based on a failure probability of the defect, which is a probability that a defect causes one or more electrical failures in the device , or some combination thereof. さらに、感度を決定するステップは、前記設計データ空間における前記検査データの前記位置及びコンテキスト・マップに基づいて前記ウェハの前記異なる部分で前記欠陥を検出する感度を決定することを含み、前記コンテキスト・マップは、前記設計データ空間における設計データの1つ又は複数の属性に対する値を含む請求項1に記載の方法。   Further, determining sensitivity includes determining sensitivity to detect the defect in the different portions of the wafer based on the location and context map of the inspection data in the design data space, The method of claim 1, wherein the map includes values for one or more attributes of design data in the design data space. さらに、前記ウェハ上で加工されるデバイスの設計に対するスキーマ・データの1つ又は複数の属性、前記デバイスに対する物理的レイアウトの予想される電気的挙動の1つ又は複数の属性、又はそれらの何らかの組合せに基づいて前記ウェハ上の欠陥を検出するための1つ又は複数のパラメータを変更することを含む請求項1に記載の方法。   Further, one or more attributes of schema data for the design of the device being processed on the wafer, one or more attributes of the expected electrical behavior of the physical layout for the device, or some combination thereof The method of claim 1, comprising changing one or more parameters for detecting defects on the wafer based on: さらに、前記方法の1つ又は複数のステップの結果を使用して知識ベースを生成することと、前記知識ベースを使用して前記検査システムにより実行される検査プロセスを生成することとを含む請求項1に記載の方法。   The method further includes generating a knowledge base using the results of one or more steps of the method and generating an inspection process performed by the inspection system using the knowledge base. The method according to 1. さらに、前記設計データ空間における前記欠陥に対応する前記検査データの部分の前記位置と前記コンテキスト・マップに基づいて前記ウェハの前記異なる部分で検出された欠陥を分類することを含み、前記コンテキスト・マップは、前記設計データ空間における前記設計データの1つ又は複数の属性に対する値を含む請求項1に記載の方法。   Further comprising: classifying defects detected in the different portions of the wafer based on the position of the portion of the inspection data corresponding to the defects in the design data space and the context map; The method of claim 1, comprising: values for one or more attributes of the design data in the design data space. 前記検査データは、前記ウェハ上の欠陥に対するデータを含み、前記方法は、さらに、前記設計データ空間における前記検査データの前記位置に基づいて、前記設計データ空間における前記欠陥の位置を決定することと、前記設計データ空間における前記欠陥の前記位置と前記設計データ空間における前記設計データの1つ又は複数の属性とに基づいて、前記欠陥がニュイサンス欠陥であるかどうかを判定することとを含む請求項1に記載の方法。   The inspection data includes data for defects on the wafer, and the method further includes determining a position of the defect in the design data space based on the position of the inspection data in the design data space; Determining whether the defect is a nuisance defect based on the position of the defect in the design data space and one or more attributes of the design data in the design data space. The method according to 1. さらに、前記設計データ空間における前記検査データの前記位置と前記設計データ空間における前記設計データの1つ又は複数の属性とに基づいて、前記ウェハの異なる部分について取り込まれた前記検査システムの1つ又は複数の検出器からの出力の1つ又は複数の所定の属性を抽出することを含む請求項1に記載の方法。   Further, one or more of the inspection systems captured for different portions of the wafer based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space The method of claim 1, comprising extracting one or more predetermined attributes of outputs from the plurality of detectors. さらに、前記設計データ空間における前記検査データの前記位置と前記設計データ空間における前記設計データの1つ又は複数の属性とに基づいて、前記ウェハ上で検出された1つ又は複数の欠陥に対する故障確率値を決定することを含む請求項1に記載の方法。   And a failure probability for one or more defects detected on the wafer based on the location of the inspection data in the design data space and one or more attributes of the design data in the design data space. The method of claim 1, comprising determining a value. さらに、前記設計データ空間における前記検査データの前記位置に基づいて前記設計データ空間における前記ウェハ上で検出された欠陥の位置の座標を決定することと、前記設計データに対するフロア・プランに基づいて前記欠陥の前記位置の前記座標を設計セル座標に変換することとを含む請求項1に記載の方法。   Further, determining coordinates of a position of a defect detected on the wafer in the design data space based on the position of the inspection data in the design data space, and based on a floor plan for the design data Converting the coordinates of the location of a defect to design cell coordinates. レチクル検査システムにより生成されるレチクルのイメージは、前記設計データ空間において設計データとして使用され、前記レチクルは、前記ウェハ上で前記設計データを印刷するために使用される請求項1に記載の方法。   The method of claim 1, wherein an image of a reticle generated by a reticle inspection system is used as design data in the design data space, and the reticle is used to print the design data on the wafer. レチクル・イメージが前記ウェハ上にどのように印刷されるかを示すシミュレートされたイメージは、前記設計データ空間における前記設計データとして使用される請求項1に記載の方法。   The method of claim 1, wherein a simulated image showing how a reticle image is printed on the wafer is used as the design data in the design data space. さらに、前記ウェハ上に前記設計データを印刷するために使用されるレチクルについて取り込まれたレチクル検査データに基づいて前記設計データ空間における前記設計データに対するコンテキスト・マップを生成することを含む請求項1に記載の方法。   2. The method of claim 1, further comprising generating a context map for the design data in the design data space based on reticle inspection data captured for a reticle used to print the design data on the wafer. The method described. さらに、前記設計データ空間における前記検査データの前記位置とコンテキスト・マップとを使用して前記ウェハ上のレチクル欠陥の印刷可能性を判定するようにウェハ検査プロセスを実施することを含む請求項1に記載の方法。 Furthermore, in claim 1 comprising performing the wafer inspection process so to determine printability of reticle defects on the wafer using the said position and a context map of the inspection data in the design data space The method described.
JP2008541507A 2005-11-18 2006-11-20 Method and system for using design data in combination with inspection data Active JP5465880B2 (en)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US73829005P 2005-11-18 2005-11-18
US73794705P 2005-11-18 2005-11-18
US60/737,947 2005-11-18
US60/738,290 2005-11-18
US11/561,735 US7676077B2 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
US11/561,659 US7570796B2 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
PCT/US2006/061113 WO2007120280A2 (en) 2005-11-18 2006-11-20 Methods and systems for utilizing design data in combination with inspection data
US11/561,735 2006-11-20
US11/561,659 2006-11-20

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012111262A Division JP5466264B2 (en) 2005-11-18 2012-05-15 Method and system for using design data in combination with inspection data

Publications (3)

Publication Number Publication Date
JP2009516832A JP2009516832A (en) 2009-04-23
JP2009516832A5 JP2009516832A5 (en) 2010-01-14
JP5465880B2 true JP5465880B2 (en) 2014-04-09

Family

ID=38610775

Family Applications (12)

Application Number Title Priority Date Filing Date
JP2008541507A Active JP5465880B2 (en) 2005-11-18 2006-11-20 Method and system for using design data in combination with inspection data
JP2012111262A Active JP5466264B2 (en) 2005-11-18 2012-05-15 Method and system for using design data in combination with inspection data
JP2013134802A Active JP5763712B2 (en) 2005-11-18 2013-06-27 Method and system for using design data in combination with inspection data
JP2013134798A Active JP5932723B2 (en) 2005-11-18 2013-06-27 Method and system for using design data in combination with inspection data
JP2014077804A Active JP6023116B2 (en) 2005-11-18 2014-04-04 Method for using design data in combination with inspection data
JP2014255556A Active JP5869657B2 (en) 2005-11-18 2014-12-17 Method and system for using design data in combination with inspection data
JP2014255558A Active JP5965467B2 (en) 2005-11-18 2014-12-17 Method and system for using design data in combination with inspection data
JP2015184061A Active JP6312642B2 (en) 2005-11-18 2015-09-17 Method for using design data in combination with inspection data
JP2015184050A Active JP6312641B2 (en) 2005-11-18 2015-09-17 Method for using design data in combination with inspection data
JP2016033027A Active JP6127170B2 (en) 2005-11-18 2016-02-24 A system configured to separate defects detected on a wafer according to bin ranges
JP2016033030A Active JP6364036B2 (en) 2005-11-18 2016-02-24 Method for using design data in combination with inspection data
JP2016033028A Active JP6360845B2 (en) 2005-11-18 2016-02-24 Method for using design data in combination with inspection data

Family Applications After (11)

Application Number Title Priority Date Filing Date
JP2012111262A Active JP5466264B2 (en) 2005-11-18 2012-05-15 Method and system for using design data in combination with inspection data
JP2013134802A Active JP5763712B2 (en) 2005-11-18 2013-06-27 Method and system for using design data in combination with inspection data
JP2013134798A Active JP5932723B2 (en) 2005-11-18 2013-06-27 Method and system for using design data in combination with inspection data
JP2014077804A Active JP6023116B2 (en) 2005-11-18 2014-04-04 Method for using design data in combination with inspection data
JP2014255556A Active JP5869657B2 (en) 2005-11-18 2014-12-17 Method and system for using design data in combination with inspection data
JP2014255558A Active JP5965467B2 (en) 2005-11-18 2014-12-17 Method and system for using design data in combination with inspection data
JP2015184061A Active JP6312642B2 (en) 2005-11-18 2015-09-17 Method for using design data in combination with inspection data
JP2015184050A Active JP6312641B2 (en) 2005-11-18 2015-09-17 Method for using design data in combination with inspection data
JP2016033027A Active JP6127170B2 (en) 2005-11-18 2016-02-24 A system configured to separate defects detected on a wafer according to bin ranges
JP2016033030A Active JP6364036B2 (en) 2005-11-18 2016-02-24 Method for using design data in combination with inspection data
JP2016033028A Active JP6360845B2 (en) 2005-11-18 2016-02-24 Method for using design data in combination with inspection data

Country Status (5)

Country Link
EP (1) EP1955225A4 (en)
JP (12) JP5465880B2 (en)
KR (11) KR101682838B1 (en)
IL (14) IL191527A (en)
WO (2) WO2007120279A2 (en)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9002497B2 (en) * 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
KR101682838B1 (en) * 2005-11-18 2016-12-12 케이엘에이-텐코 코포레이션 Methods and systems for utilizing design data in combination with inspection data
CN101821635B (en) * 2007-08-03 2017-07-28 弗莱尔系统公司 Wireless remote detector systems and method
US9710903B2 (en) 2008-06-11 2017-07-18 Kla-Tencor Corp. System and method for detecting design and process defects on a wafer using process monitoring features
WO2010014609A2 (en) 2008-07-28 2010-02-04 Kla-Tencor Corporation Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
US8595666B2 (en) 2009-07-09 2013-11-26 Hitachi High-Technologies Corporation Semiconductor defect classifying method, semiconductor defect classifying apparatus, and semiconductor defect classifying program
US8594823B2 (en) * 2009-07-17 2013-11-26 KLA—Tencor Corporation Scanner performance comparison and matching using design and defect data
US20130068949A1 (en) * 2010-05-31 2013-03-21 Kotoko Urano Charged particle beam device provided with automatic aberration correction method
EP2447889A1 (en) * 2010-10-29 2012-05-02 Siemens Aktiengesellschaft Method for modeling a defect management in a manufacturing process and for handling the defect during the production process based on said modeled defect management
TWI574136B (en) * 2012-02-03 2017-03-11 應用材料以色列公司 Method of design-based defect classification and system thereof
US8718353B2 (en) * 2012-03-08 2014-05-06 Kla-Tencor Corporation Reticle defect inspection with systematic defect filter
JP5943722B2 (en) * 2012-06-08 2016-07-05 三菱重工業株式会社 Defect determination apparatus, radiation imaging system, and defect determination method
JP6092602B2 (en) * 2012-12-04 2017-03-08 株式会社安永 Defect inspection apparatus and defect inspection method
US9202763B2 (en) 2013-01-16 2015-12-01 Kabushiki Kaisha Toshiba Defect pattern evaluation method, defect pattern evaluation apparatus, and recording media
JP6152281B2 (en) 2013-02-25 2017-06-21 株式会社ニューフレアテクノロジー Pattern inspection method and pattern inspection apparatus
US10114368B2 (en) * 2013-07-22 2018-10-30 Applied Materials Israel Ltd. Closed-loop automatic defect inspection and classification
US9401016B2 (en) * 2014-05-12 2016-07-26 Kla-Tencor Corp. Using high resolution full die image data for inspection
KR102330565B1 (en) * 2014-07-08 2021-11-26 오르보테크 엘티디. Improved systems and methods for computerized direct writing
US10267746B2 (en) * 2014-10-22 2019-04-23 Kla-Tencor Corp. Automated pattern fidelity measurement plan generation
JP6770958B2 (en) * 2014-11-25 2020-10-21 ケーエルエー コーポレイション Landscape analysis and utilization
US9830421B2 (en) * 2014-12-31 2017-11-28 Kla-Tencor Corp. Alignment of inspection to design using built in targets
US9799575B2 (en) 2015-12-16 2017-10-24 Pdf Solutions, Inc. Integrated circuit containing DOEs of NCEM-enabled fill cells
US10199283B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wager using non-contact electrical measurements indicative of a resistance through a stitch, where such measurements are obtained by scanning a pad comprised of at least three parallel conductive stripes using a moving stage with beam deflection to account for motion of the stage
US9875536B2 (en) * 2015-03-31 2018-01-23 Kla-Tencor Corp. Sub-pixel and sub-resolution localization of defects on patterned wafers
US10539612B2 (en) * 2015-05-20 2020-01-21 Kla-Tencor Corporation Voltage contrast based fault and defect inference in logic chips
US9639645B2 (en) 2015-06-18 2017-05-02 Globalfoundries Inc. Integrated circuit chip reliability using reliability-optimized failure mechanism targeting
US9940704B2 (en) * 2015-06-19 2018-04-10 KLA—Tencor Corporation Pre-layer defect site review using design
US9891275B2 (en) 2015-06-24 2018-02-13 International Business Machines Corporation Integrated circuit chip reliability qualification using a sample-specific expected fail rate
TWI684225B (en) * 2015-08-28 2020-02-01 美商克萊譚克公司 Self directed metrology and pattern classification
US9576772B1 (en) * 2015-08-31 2017-02-21 Fei Company CAD-assisted TEM prep recipe creation
KR102387459B1 (en) 2015-11-20 2022-04-15 삼성전자주식회사 A method for forming pattern of a semiconductor device
US10387601B2 (en) * 2015-11-26 2019-08-20 Kla-Tencor Corporation Methods to store dynamic layer content inside a design file
US10978438B1 (en) 2015-12-16 2021-04-13 Pdf Solutions, Inc. IC with test structures and E-beam pads embedded within a contiguous standard cell area
US10593604B1 (en) 2015-12-16 2020-03-17 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using in-line measurements obtained from DOEs of NCEM-enabled fill cells
WO2017108432A1 (en) * 2015-12-22 2017-06-29 Asml Netherlands B.V. Apparatus and method for process-window characterization
TWI737659B (en) * 2015-12-22 2021-09-01 以色列商應用材料以色列公司 Method of deep learning - based examination of a semiconductor specimen and system thereof
KR102451650B1 (en) * 2016-02-05 2022-10-11 에스케이하이닉스 주식회사 Stacked type seniconductor apparatus
KR102483787B1 (en) * 2016-02-25 2023-01-04 에스케이하이닉스 주식회사 Apparatus and Method for Modeling of Defect to Semiconductor Apparatus, and Computer Program Therefor, and System for Inspection of Defect to Semiconductor Apparatus
US10339262B2 (en) * 2016-03-29 2019-07-02 Kla-Tencor Corporation System and method for defining care areas in repeating structures of design data
US9627370B1 (en) 2016-04-04 2017-04-18 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, GATE-short-configured, GATECNT-short-configured, and TS-short-configured, NCEM-enabled fill cells
US9905553B1 (en) 2016-04-04 2018-02-27 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, GATECNT-short-configured, and metal-short-configured, NCEM-enabled fill cells
US9929063B1 (en) 2016-04-04 2018-03-27 Pdf Solutions, Inc. Process for making an integrated circuit that includes NCEM-Enabled, tip-to-side gap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US10740888B2 (en) * 2016-04-22 2020-08-11 Kla-Tencor Corporation Computer assisted weak pattern detection and quantification system
KR102376200B1 (en) * 2016-05-12 2022-03-18 에이에스엠엘 네델란즈 비.브이. Identification of hot spots or defects by machine learning
US11010886B2 (en) * 2016-05-17 2021-05-18 Kla-Tencor Corporation Systems and methods for automatic correction of drift between inspection and design for massive pattern searching
US10192302B2 (en) * 2016-05-25 2019-01-29 Kla-Tencor Corporation Combined patch and design-based defect detection
US10304177B2 (en) * 2016-06-29 2019-05-28 Kla-Tencor Corporation Systems and methods of using z-layer context in logic and hot spot inspection for sensitivity improvement and nuisance suppression
US10902576B2 (en) * 2016-08-12 2021-01-26 Texas Instruments Incorporated System and method for electronic die inking after automatic visual defect inspection
US10204290B2 (en) * 2016-10-14 2019-02-12 Kla-Tencor Corporation Defect review sampling and normalization based on defect and design attributes
US10395358B2 (en) * 2016-11-10 2019-08-27 Kla-Tencor Corp. High sensitivity repeater defect detection
US11047806B2 (en) * 2016-11-30 2021-06-29 Kla-Tencor Corporation Defect discovery and recipe optimization for inspection of three-dimensional semiconductor structures
US11237119B2 (en) * 2017-01-10 2022-02-01 Kla-Tencor Corporation Diagnostic methods for the classifiers and the defects captured by optical tools
US9748153B1 (en) 2017-03-29 2017-08-29 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second does of standard cell compatible, NCEM-enabled fill cells, with the first DOE including side-to-side short configured fill cells, and the second DOE including tip-to-side short configure
US9773774B1 (en) 2017-03-30 2017-09-26 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including chamfer short configured fill cells, and the second DOE including corner short configured fill cells
US10598617B2 (en) * 2017-05-05 2020-03-24 Kla-Tencor Corporation Metrology guided inspection sample shaping of optical inspection results
JP6819451B2 (en) * 2017-05-08 2021-01-27 信越化学工業株式会社 Large synthetic quartz glass substrate and its evaluation method and manufacturing method
DE112017007576T5 (en) * 2017-05-22 2020-03-05 Kla Corporation ZONE ANALYSIS FOR RECIPE OPTIMIZATION AND MEASUREMENT
KR102440742B1 (en) * 2017-05-25 2022-09-05 삼성전자주식회사 Inspecting system for semiconductor device and operating method of the same
US10648925B2 (en) * 2017-06-05 2020-05-12 Kla-Tencor Corporation Repeater defect detection
US10754261B2 (en) * 2017-06-06 2020-08-25 Kla-Tencor Corporation Reticle optimization algorithms and optimal target design
US9786649B1 (en) 2017-06-27 2017-10-10 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including via open configured fill cells, and the second DOE including stitch open configured fill cells
US9768083B1 (en) 2017-06-27 2017-09-19 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including snake open configured fill cells
US10096530B1 (en) 2017-06-28 2018-10-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including stitch open configured fill cells
US9865583B1 (en) 2017-06-28 2018-01-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including snake open configured fill cells, and the second DOE including stitch open configured fill cells
US10620135B2 (en) * 2017-07-19 2020-04-14 Kla-Tencor Corp. Identifying a source of nuisance defects on a wafer
KR102614266B1 (en) * 2017-08-22 2023-12-14 삼성전자주식회사 A method for wafer inspection, and a method for manufacturing a semiconductor device using the same
US11037286B2 (en) * 2017-09-28 2021-06-15 Applied Materials Israel Ltd. Method of classifying defects in a semiconductor specimen and system thereof
KR20190073756A (en) 2017-12-19 2019-06-27 삼성전자주식회사 Semiconductor defect classification device, method for classifying defect of semiconductor, and semiconductor defect classification system
KR102340166B1 (en) 2018-02-26 2021-12-16 에프. 호프만-라 로슈 아게 Methods and systems for calibrating and using a camera to detect an analyte in a sample
US10585049B2 (en) * 2018-03-10 2020-03-10 Kla-Tencor Corporation Process-induced excursion characterization
US10677588B2 (en) * 2018-04-09 2020-06-09 Kla-Tencor Corporation Localized telecentricity and focus optimization for overlay metrology
US11797950B2 (en) * 2018-08-27 2023-10-24 Basf Corporation Method and system to digitally track and monitor an automotive refinish repair process
WO2020079809A1 (en) * 2018-10-18 2020-04-23 株式会社図研 Design aid device, design aid method, and program
US11049745B2 (en) * 2018-10-19 2021-06-29 Kla Corporation Defect-location determination using correction loop for pixel alignment
US11600505B2 (en) * 2018-10-31 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for systematic physical failure analysis (PFA) fault localization
CN109583721B (en) * 2018-11-16 2024-06-18 北京奇虎科技有限公司 Channel assessment method and device and electronic equipment
JP2020161769A (en) * 2019-03-28 2020-10-01 Tasmit株式会社 Image generation method
TWI700644B (en) * 2019-04-02 2020-08-01 精英電腦股份有限公司 Synchronous positioning device and method for circuit board or plate member
JP7245733B2 (en) * 2019-06-26 2023-03-24 株式会社日立ハイテク Wafer observation device and wafer observation method
US11526152B2 (en) * 2019-12-19 2022-12-13 X Development Llc Techniques for determining fabricability of designs by searching for forbidden patterns
CN113820922A (en) * 2020-06-18 2021-12-21 台湾积体电路制造股份有限公司 Hot spot prediction method, device and recording medium
CN111708255B (en) * 2020-06-19 2023-03-07 上海华虹宏力半导体制造有限公司 Method for forming SSA table of OPC
JP2022047442A (en) * 2020-09-11 2022-03-24 パナソニックIpマネジメント株式会社 Information processing method and information processing system
JP7443268B2 (en) 2021-01-05 2024-03-05 株式会社ニューフレアテクノロジー Defect inspection method
CN117157648A (en) * 2021-03-30 2023-12-01 西门子工业软件有限公司 Method and system for detecting false errors on components of an AOI machine inspected board
KR20230033445A (en) 2021-09-01 2023-03-08 에스케이하이닉스 주식회사 Method for failure analysis on semiconductor wafer and system thereof
KR102672272B1 (en) * 2022-02-11 2024-06-04 큐알티 주식회사 Beam inspection apparatus comprising plurality of a guide concentric circle for testing of semiconductor, and method of inspection for beam
KR102547617B1 (en) * 2022-06-23 2023-06-26 큐알티 주식회사 Semiconductor device test apparatus that provides an accelerated environment and method for testing semiconductor devices in an accelerated environment using the same
US20240112326A1 (en) * 2022-09-30 2024-04-04 Kla Corporation Inspection of adaptive patterned workpieces with dynamic design and deep learning-based rendering
KR102594471B1 (en) * 2022-12-07 2023-10-26 주식회사디아이 Multi-test zone controller for semiconductor test equipment

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02110565A (en) * 1988-10-20 1990-04-23 Matsushita Electron Corp Method and device for photo-mask inspection
US5054097A (en) * 1988-11-23 1991-10-01 Schlumberger Technologies, Inc. Methods and apparatus for alignment of images
US5252507A (en) * 1990-03-30 1993-10-12 Tactical Fabs, Inc. Very high density wafer scale device architecture
JP3017839B2 (en) * 1991-06-10 2000-03-13 東芝機械株式会社 Defect inspection method and inspection device
JP3730263B2 (en) * 1992-05-27 2005-12-21 ケーエルエー・インストルメンツ・コーポレーション Apparatus and method for automatic substrate inspection using charged particle beam
JPH06119452A (en) * 1992-10-02 1994-04-28 Fujitsu Ltd Device and method for processing image
JPH06265480A (en) * 1993-03-12 1994-09-22 Toshiba Corp Pattern defect inspection method and device
JP3370379B2 (en) * 1993-03-19 2003-01-27 株式会社日立製作所 Method for manufacturing semiconductor device
JP3051279B2 (en) * 1993-05-13 2000-06-12 シャープ株式会社 Bump appearance inspection method and bump appearance inspection device
US5544256A (en) 1993-10-22 1996-08-06 International Business Machines Corporation Automated defect classification system
JP3466286B2 (en) * 1994-08-09 2003-11-10 富士通株式会社 Pattern inspection method and pattern inspection device
US5991699A (en) 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
JPH0915161A (en) * 1995-06-27 1997-01-17 Hitachi Ltd Method and equipment for defect inspection
JPH10260011A (en) * 1997-03-19 1998-09-29 Olympus Optical Co Ltd Positioning device
JP3564958B2 (en) * 1997-08-07 2004-09-15 株式会社日立製作所 Inspection method and inspection apparatus using electron beam
JPH11251377A (en) * 1998-03-02 1999-09-17 Hitachi Ltd Defect inspection method/device and observation or analysis method/system for defect
JP4073088B2 (en) * 1998-08-20 2008-04-09 株式会社ルネサステクノロジ Manufacturing method of semiconductor substrate
JP2000065747A (en) * 1998-08-26 2000-03-03 Toshiba Corp Apparatus and method for inspection of defect of pattern
JP2000306964A (en) * 1999-04-22 2000-11-02 Hitachi Ltd Inspection data processing method, and inspection data processor
JP2000200356A (en) * 1999-01-08 2000-07-18 Hitachi Ltd Method and device for defect classification
JP3350477B2 (en) * 1999-04-02 2002-11-25 セイコーインスツルメンツ株式会社 Wafer inspection equipment
JP2001230289A (en) * 2000-02-15 2001-08-24 Hitachi Ltd Fault analyzing method and system
US7120285B1 (en) * 2000-02-29 2006-10-10 Advanced Micro Devices, Inc. Method for evaluation of reticle image using aerial image simulator
US6862142B2 (en) * 2000-03-10 2005-03-01 Kla-Tencor Technologies Corporation Multi-detector microscopic inspection system
US6507800B1 (en) * 2000-03-13 2003-01-14 Promos Technologies, Inc. Method for testing semiconductor wafers
JP3920003B2 (en) * 2000-04-25 2007-05-30 株式会社ルネサステクノロジ Inspection data processing method and apparatus
FR2813145B1 (en) * 2000-08-18 2002-11-29 St Microelectronics Sa METHOD FOR MANUFACTURING A CAPACITOR WITHIN AN INTEGRATED CIRCUIT, AND CORRESPONDING INTEGRATED CIRCUIT
US6634018B2 (en) * 2000-08-24 2003-10-14 Texas Instruments Incorporated Optical proximity correction
JP3678133B2 (en) * 2000-10-30 2005-08-03 株式会社日立製作所 Inspection system and semiconductor device manufacturing method
JP4216592B2 (en) * 2000-11-30 2009-01-28 シノプシス ゲーエムベーハー Process and apparatus for measuring integrated circuit characteristics
JP2002244275A (en) * 2001-02-15 2002-08-30 Toshiba Corp Method and device for defect inspection of photomask and recording medium
JP4014379B2 (en) * 2001-02-21 2007-11-28 株式会社日立製作所 Defect review apparatus and method
JP2003023056A (en) * 2001-07-10 2003-01-24 Hitachi Ltd Method for sorting defect of semiconductor device, method for predicting yield of the semiconductor device, method for manufacturing the semiconductor device, defect-sorting system of semiconductor device and semiconductor device-sorting apparatus, and program used therefor and recording medium
JP4122735B2 (en) * 2001-07-24 2008-07-23 株式会社日立製作所 Semiconductor device inspection method and inspection condition setting method
JP3904419B2 (en) * 2001-09-13 2007-04-11 株式会社日立製作所 Inspection device and inspection system
US6751519B1 (en) * 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US6918101B1 (en) * 2001-10-25 2005-07-12 Kla -Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6886153B1 (en) 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
JP2003215060A (en) * 2002-01-22 2003-07-30 Tokyo Seimitsu Co Ltd Pattern inspection method and inspection apparatus
JP2004031709A (en) * 2002-06-27 2004-01-29 Seiko Instruments Inc Waferless measuring recipe generating system
JP4073265B2 (en) * 2002-07-09 2008-04-09 富士通株式会社 Inspection apparatus and inspection method
US6959251B2 (en) 2002-08-23 2005-10-25 Kla-Tencor Technologies, Corporation Inspection system setup techniques
JP2004117016A (en) * 2002-09-24 2004-04-15 Nec Kansai Ltd Semiconductor wafer defect inspecting apparatus
US7457736B2 (en) * 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
US6882745B2 (en) * 2002-12-19 2005-04-19 Freescale Semiconductor, Inc. Method and apparatus for translating detected wafer defect coordinates to reticle coordinates using CAD data
JP2004227886A (en) * 2003-01-22 2004-08-12 Hitachi High-Technologies Corp Scanning electron microscope
JP2004296592A (en) * 2003-03-26 2004-10-21 Dainippon Screen Mfg Co Ltd Defect classification equipment, defect classification method, and program
JP4230838B2 (en) * 2003-06-27 2009-02-25 株式会社日立ハイテクノロジーズ Inspection recipe setting method and defect inspection method in defect inspection apparatus
JP2004294358A (en) * 2003-03-28 2004-10-21 Hitachi High-Technologies Corp Method and apparatus for inspecting defect
US6952653B2 (en) * 2003-04-29 2005-10-04 Kla-Tencor Technologies Corporation Single tool defect classification solution
JP2004333386A (en) * 2003-05-09 2004-11-25 Nec Corp Reticle inspection apparatus and reticle inspection method
JP4229767B2 (en) * 2003-06-30 2009-02-25 株式会社東京精密 Image defect inspection method, image defect inspection apparatus, and appearance inspection apparatus
US9002497B2 (en) 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US7135344B2 (en) 2003-07-11 2006-11-14 Applied Materials, Israel, Ltd. Design-based monitoring
KR20050072166A (en) * 2004-01-02 2005-07-11 삼성전자주식회사 Method for testing wafer
JP4771714B2 (en) * 2004-02-23 2011-09-14 株式会社Ngr Pattern inspection apparatus and method
US7194709B2 (en) * 2004-03-05 2007-03-20 Keith John Brankner Automatic alignment of integrated circuit and design layout of integrated circuit to more accurately assess the impact of anomalies
JP4778685B2 (en) * 2004-03-10 2011-09-21 株式会社日立ハイテクノロジーズ Pattern shape evaluation method and apparatus for semiconductor device
JP2005283326A (en) * 2004-03-30 2005-10-13 Hitachi High-Technologies Corp Defect review method and its device
US7137083B2 (en) * 2004-04-01 2006-11-14 Verigy Ipco Verification of integrated circuit tests using test simulation and integrated circuit simulation with simulated failure
JP4154374B2 (en) * 2004-08-25 2008-09-24 株式会社日立ハイテクノロジーズ Pattern matching device and scanning electron microscope using the same
US7760347B2 (en) * 2005-05-13 2010-07-20 Applied Materials, Inc. Design-based method for grouping systematic defects in lithography pattern writing system
KR101682838B1 (en) * 2005-11-18 2016-12-12 케이엘에이-텐코 코포레이션 Methods and systems for utilizing design data in combination with inspection data

Also Published As

Publication number Publication date
KR20150033708A (en) 2015-04-01
JP2013238606A (en) 2013-11-28
JP6023116B2 (en) 2016-11-09
IL234346A (en) 2017-07-31
JP2015092173A (en) 2015-05-14
JP6312641B2 (en) 2018-04-18
KR101682838B1 (en) 2016-12-12
IL234318A (en) 2017-04-30
IL191527A0 (en) 2008-12-29
IL234290A (en) 2016-10-31
JP2013217940A (en) 2013-10-24
KR20130043223A (en) 2013-04-29
IL253189B (en) 2018-11-29
JP2015062034A (en) 2015-04-02
KR20140091575A (en) 2014-07-21
KR20130055013A (en) 2013-05-27
IL234317A (en) 2017-04-30
WO2007120279A3 (en) 2008-11-20
IL234316A (en) 2017-04-30
JP6127170B2 (en) 2017-05-10
IL234289A (en) 2016-10-31
KR101565071B1 (en) 2015-11-03
KR101672157B1 (en) 2016-11-02
IL234344A (en) 2016-11-30
JP2014167476A (en) 2014-09-11
JP6364036B2 (en) 2018-07-25
JP5869657B2 (en) 2016-02-24
JP2016139811A (en) 2016-08-04
JP2016136151A (en) 2016-07-28
KR101370154B1 (en) 2014-03-04
JP2009516832A (en) 2009-04-23
IL230260A (en) 2016-05-31
KR20130055014A (en) 2013-05-27
JP2016029376A (en) 2016-03-03
EP1955225A4 (en) 2009-11-04
WO2007120280A2 (en) 2007-10-25
KR101885585B1 (en) 2018-08-07
WO2007120280A3 (en) 2008-09-18
JP6312642B2 (en) 2018-04-18
JP2016106228A (en) 2016-06-16
JP2016011962A (en) 2016-01-21
JP5763712B2 (en) 2015-08-12
WO2007120279A2 (en) 2007-10-25
JP5932723B2 (en) 2016-06-08
KR20170118240A (en) 2017-10-24
KR20150123962A (en) 2015-11-04
KR20080080130A (en) 2008-09-02
IL234345A (en) 2016-11-30
KR101789004B1 (en) 2017-10-20
KR20160138593A (en) 2016-12-05
KR20180088924A (en) 2018-08-07
JP6360845B2 (en) 2018-07-18
KR101530456B1 (en) 2015-06-22
IL253189A0 (en) 2017-08-31
IL234319A (en) 2016-10-31
KR101665168B1 (en) 2016-10-11
KR20150013351A (en) 2015-02-04
IL230235A (en) 2015-05-31
JP5965467B2 (en) 2016-08-03
KR101613048B1 (en) 2016-04-15
JP5466264B2 (en) 2014-04-09
EP1955225A2 (en) 2008-08-13
KR101285967B1 (en) 2013-07-12
JP2012168195A (en) 2012-09-06
IL234343A (en) 2016-11-30
IL191527A (en) 2014-09-30

Similar Documents

Publication Publication Date Title
JP6312641B2 (en) Method for using design data in combination with inspection data
US9401014B2 (en) Methods and systems for utilizing design data in combination with inspection data
US8139843B2 (en) Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) Methods and systems for determining a position of inspection data in design data space

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20081215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091112

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091112

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111107

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111115

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120215

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120222

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120315

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120323

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120416

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120425

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120515

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121113

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130813

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131112

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140121

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140123

R150 Certificate of patent or registration of utility model

Ref document number: 5465880

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250