KR20080012379A - 반도체 장치의 제조 방법 및 기판 처리 장치 - Google Patents

반도체 장치의 제조 방법 및 기판 처리 장치 Download PDF

Info

Publication number
KR20080012379A
KR20080012379A KR1020077030296A KR20077030296A KR20080012379A KR 20080012379 A KR20080012379 A KR 20080012379A KR 1020077030296 A KR1020077030296 A KR 1020077030296A KR 20077030296 A KR20077030296 A KR 20077030296A KR 20080012379 A KR20080012379 A KR 20080012379A
Authority
KR
South Korea
Prior art keywords
gas
substrate
processing chamber
supplying
thin film
Prior art date
Application number
KR1020077030296A
Other languages
English (en)
Other versions
KR100960273B1 (ko
Inventor
사다요시 호리이
히데하루 이타타니
카즈히로 하라다
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20080012379A publication Critical patent/KR20080012379A/ko
Application granted granted Critical
Publication of KR100960273B1 publication Critical patent/KR100960273B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/65Electrodes comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/318DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor the storage electrode having multiple segments

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Abstract

성막레이트가 빠르고, 생산성이 높은 반도체 장치의 제조방법 및 기판처리장치를 제공한다. 처리실 내에 기판을 반입하는 공정과, 처리실 내에 액체원료를 기화한 원료 가스의 기판 상에의 흡착을 돕기 위한 흡착 보조 가스를 공급하여 기판 상에 흡착시키는 공정과, 처리실 내에 원료 가스를 공급하여 기판 상의 흡착 보조 가스와 반응시켜 기판 상에 흡착시키는 공정과, 처리실 내에 반응 가스를 공급하여 기판 상에 흡착한 원료 가스와 반응시키는 공정을 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 기판 상에 원하는 막두께의 박막을 형성하는 공정과, 박막 형성 후의 기판을 처리실 내로부터 반출하는 공정을 갖는다.
흡착보조가스, 원료가스, 반응가스

Description

반도체 장치의 제조 방법 및 기판 처리 장치{Process for Production of Semiconductor Device and Apparatus for Treatment of Substrate}
이 발명은, 기판 상에 박막, 예를 들면 도전성 금속막 등의 박막을 형성하기 위한 반도체 장치의 제조 방법 및 기판 처리 장치에 관한 것이다.
도전성 금속막 등의 박막을 구비한 반도체 장치로서, 예를 들면 DRAM의 캐패시터(capacitor)가 있다.
DRAM의 캐패시터는, 미세화에 따른 축적 전하 용량을 확보하기 위해, 하부 전극막 또는 상부 전극막의 금속화의 연구가 활발화하고 있다. 예를 들면, 하부 전극막 또는 상부 전극막의 재료로서는, Ru, Pt, Ir의 귀금속 또는 그 산화물이 후보로 되어 있다. 또한, 배리어 메탈(barrier metal)막의 재료로서는, TiN, TaN 등이 사용된다.
또한, 캐패시터의 전극 형상은, 높은 애스팩트비(aspect ratio)의 실린더형이 주류가 되어 있다. 그 때문에, 하부 전극막, 상부 전극막, 배리어 메탈막을 포함한 모든 막이, 단차(段差) 피복성이 뛰어나야 할 필요가 있다.
이와 같은 사정으로부터, 성막의 방법으로서, 종래의 스퍼터링(sputtering)법이 아니라, 단차 피복성이 뛰어난 CVD(chemical vapor deposition)법이 사용되고 있다. 특히, 유기 금속 액체 원료와, 산소 함유 가스, 수소 함유 가스 또는 질소 함유 가스와의 반응이 이용되고 있다.
상술한 CVD법에 있어서 단차 피복성을 향상시키기 위해서는, 저온화는 불가피하다. 그러나, 저온화에 의해 유기 액체 원료 중의 탄소나 산소가 불순물로서 박막 중에 많이 남아 있어, 박막의 전기 특성을 열화 시킨다는 문제가 있었다. 또한, 성막 후의 열처리에 의하여, 불순물이 가스 이탈하여 막이 벗겨지는 문제가 있었다. 아울러, 몇 가지 유기 액체 원료에 있어서는 인큐베이션 타임(incubation time)이 증대하여, 생산성이 떨어진다는 문제가 있었다.
그 때문에, 소위 ALD(Atomic Layer Deposition) 법이 이용되고 있다. ALD법에서는, 유기 액체 원료를 기화한 원료 가스만을 기판에 공급하여 흡착시킨 후, 플라즈마(plasma)에 의해 여기한 수소 또는 암모니아 가스 등의 반응 가스를 기판에 공급하여 박막을 생성하는 공정을 1 사이클로 하고, 이 사이클을 복수회 반복함으로써 원하는 두께의 박막을 형성한다. 그러나, ALD법에서는 높은 성막 레이트를 얻기 어렵고, 생산성이 저하하기 쉽다는 문제가 있었다.
본 발명의 목적은, 성막 레이트가 빠르고, 생산성이 높은 반도체 장치의 제조 방법 및 기판 처리 장치를 제공하는데 있다.
본 발명의 한 형태에 따르면, 처리실 내에 기판을 반입하는 공정과, 상기 처리실 내에 액체 원료를 기화시킨 원료 가스의 상기 기판 상에의 흡착을 돕기 위한 흡착 보조 가스를 공급하여 상기 기판 상에 흡착시키는 공정과, 상기 처리실 내에 상기 원료 가스를 공급하여 상기 기판 상의 흡착 보조 가스와 반응시켜 상기 기판 상에 흡착시키는 공정과, 상기 처리실 내에 반응 가스를 공급하여 상기 기판 상에 흡착한 상기 원료 가스와 반응시키는 공정을 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 상기 기판 상에 원하는 막두께(膜厚)의 박막을 형성하는 공정과, 상기 박막 형성 후의 상기 기판을 상기 처리실 내로부터 반출하는 공정을 가지는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 따르면, 처리실 내에 기판을 반입하는 공정과, 상기 처리실 내에 액체 원료를 기화한 원료 가스의 배위자(配位子, ligand)를 분해하는 배위자 분해 가스를 공급하여 상기 기판 상에 흡착시키는 공정과, 상기 처리실 내에 상기 원료 가스를 공급하여 상기 기판 상의 상기 배위자 분해 가스와 반응시켜 기판 상에 흡착시키는 공정과, 상기 처리실 내에 반응 가스를 공급하여 상기 기판 상에 흡착한 상기 원료 가스와 반응시키는 공정을 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 상기 기판 상에 원하는 막두께의 박막을 형성하는 공정과, 박막 형성 후의 상기 기판을 상기 처리실 내로부터 반출하는 공정을 갖는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 따르면, 처리실 내에 기판을 반입하는 공정과, 상기 처리실 내에 산소 원자를 포함하는 가스를 공급하여 상기 기판 상에 흡착시키는 공정과, 상기 처리실 내에 액체 원료를 기화한 원료 가스를 공급하여 상기 기판 상에의 상기 산소 원자를 포함하는 가스와 반응시켜 기판 상에 흡착시키는 공정과, 상기 처리실 내에 산소 원자를 포함하지 않는 반응 가스를 공급하여 상기 기판 상에 흡착한 상기 원료 가스와 반응시키는 공정을 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 상기 기판 상에 원하는 막두께의 박막을 형성하는 공정과 박막 형성 후의 상기 기판을 상기 처리실 내로부터 반출하는 공정을 갖는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 따르면, 처리실 내에 기판을 반입하는 공정과, 상기 처리실 내에 액체 원료를 기화한 원료 가스를 공급하여 상기 기판 상에 흡착시키는 공정과, 상기 처리실 내에 반응 가스를 공급하여 상기 기판 상에 흡착한 상기 원료 가스와 반응시키는 공정을 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 상기 기판 상에 소정 막두께의 박막을 형성하는 초기 성막 공정과, 상기 처리실 내에 상기 원료 가스의 상기 기판 상에 흡착을 돕기 위한 흡착 보조 가스를 공급하여 상기 기판 상에 흡착시키는 공정과, 상기 처리실 내에 상기 원료 가스를 공급하여 상기 기판 상에 상기 흡착 보조 가스와 반응시켜 상기 기판 상에 흡착시키는 공정과, 상기 처리실 내에 상기 반응 가스를 공급하여 상기 기판 상에 흡착한 상기 원료 가스와 반응시키는 공정을 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 초기 성막 공정에 의해 형성한 상기 소정 막두께의 박막 상에 원하는 막두께의 박막을 형성하는 본 성막 공정과, 상기 원하는 막두께의 박막 형성 후의 상기 기판을 상기 처리실 내로부터 반출하는 공정을 갖는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 따르면, 기판을 처리하는 처리실과, 상기 처리실 내에 액체 원료를 기화한 원료 가스를 공급하기 위한 원료 가스 공급 라인과, 상기 처리실 내에 상기 원료 가스와 반응하는 반응 가스를 공급하기 위한 반응 가스 공급 라인과, 상기 처리실 내에 상기 원료 가스의 상기 기판 상의 흡착을 돕기 위한 흡착 보조 가스를 공급하기 위한 흡착 보조 가스 공급 라인과, 상기 처리실 내에 상기 흡착 보조 가스를 공급하여 상기 기판 상에 흡착시킨 후, 상기 원료 가스를 상기 처리실 내에 공급하여 상기 기판 상에 상기 흡착 보조 가스와 반응시켜 상기 기판 상에 흡착시키고, 그 후 상기 반응 가스를 상기 처리실 내에 공급하여 상기 기판 상에 흡착한 상기 원료 가스와 반응시키고, 이를 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 상기 기판 상에 원하는 막두께의 박막을 형성하도록 제어하는 컨트롤러를 갖는 기판 처리 장치가 제공된다.
도 1은 실시예 1에 따른 반도체 장치의 제조 방법의 한 공정으로서의 기판 처리 공정을 나타내는 도면.
도 2는 실시예 2에 따른 반도체 장치의 제조 공정의 한 공정으로서의 기판 처리 공정을 나타내는 도면.
도 3은 실시예 3에 따른 반도체 장치의 제조 공정의 한 공정으로서의 기판 처리 공정을 나타내는 도면.
도 4는 실시예 1부터 3에 있어서 공통으로 사용한 본 발명의 하나의 실시예에 따른 기판 처리 장치의 구성예를 나타내는 개략도.
도 5는 본 발명의 하나의 실시 형태를 실시할 때의 성막 메커니즘을 예시하는 도로서, (a)는 원료 가스의 흡착을 보조하는 흡착 보조 가스를 기판 표면에 공급하여 흡착시키는 모양을 나타내고, (b)는 원료 가스를 기판 표면에 공급하여 흡 착 보조 가스와 반응시켜 기판 상에 흡착시키는 모양을 나타내며, (c)는 반응 가스를 기판 표면에 공급하여 원료 가스와 반응시키는 모양을 나타내고, (d)는 1 사이클이 종료한 후의 기판 표면의 모양을 나타내는 도면.
도 6은 종래의 ALD법을 실시할 때의 성막 메커니즘을 예시하는 도로서, (a)는 기판 표면에 원료 가스를 공급하여 흡착시키는 모양을 나타내고, (b)는 기판 표면에 반응 가스를 공급하여 원료 가스와 반응시켜 성막 하는 모양을 나타내며, (c)는 1 사이클로 기판 표면에 생성되는 박막의 모양을 나타내는 도면.
도 7은 종래의 ALD법과 본 발명의 하나의 실시 형태와의 성막 레이트의 차이를 나타내는 도로서, (a)는 종래의 ALD법에 따르는 성막의 모양을 나타내는 도이고, (b)는 본 발명의 하나의 실시형태에 따른 성막의 모양을 나타내는 도.
도 8은 실시예 1부터 3에 있어서 형성한 하부 전극막, 상부 전극막을 구비한 DRAM의 캐패시터 부분의 단면도.
<부호의 설명>
1 : 실리콘 기판 5 : 처리실
15 : 원료 가스 공급 라인 29 : 반응 가스 공급 라인
30 : 흡착 보조 가스 공급 라인 60 : 컨트롤러
Ru : 루테늄 원자 h1 : 배위자
h2 : 배위자
(A) 본 발명의 하나의 실시형태에 따른 성막 메커니즘
상술한 바와 같이, 종래의 ALD법에서는, 원료 가스를 기판에 공급하여 흡착시킨 후, 반응 가스를 기판에 공급하여 박막을 생성하는 공정을 1 사이클로 하고, 이 사이클을 복수 회 반복함으로써 원하는 두께의 박막을 형성하고 있다. 그러나, 종래의 ALD법에서는, 특히, 반응 가스로서 플라즈마에 의해 여기한 수소 가스나 암모니아 가스를 사용하는 경우, 각 사이클에 있어서 반응 가스가 기판의 표면을 청정화하기 때문에, 이에 의하여 다음 사이클에 있어서 원료 가스의 기판 표면(생성된 박막의 표면)에의 흡착이 일어나기 어려워져, 성막 레이트가 저하한다는 문제가 있다는 것을 발명자가 발견했다. 따라서 발명자는, 이에 관련된 지식을 바탕으로, 본 발명을 완성시키기에 이르렀다.
이하, 본 발명의 실시예를 설명하기 전에, 명확하게 하기 위해, 본 발명의 하나의 실시 형태에 있어서 반도체 장치 제조 방법의 하나의 공정으로서의 기판 처리 공정과, 이 성막 메커니즘에 대하여, 도 5를 사용해 설명한다. 참조하는 도면에 있어서, 도 5는, 본 발명의 하나의 실시 형태를 실시할 때의 성막 메커니즘을 예시하는 도로서, (a)는 원료 가스의 흡착을 보조하는 흡착 보조 가스를 기판 표면에 공급하여 흡착시키는 모양을 나타내고, (b)는 원료 가스를 기판 표면에 공급하여 흡착 보조 가스와 반응시켜 기판 상에 흡착시키는 모양을 나타내며, (c)는 반응 가스를 기판 표면에 공급하여 원료 가스와 반응시키는 모양을 나타내고, (d)는 1 사이클이 종료한 후의 기판 표면의 모양을 나타낸다.
(1) 기판을 반입하는 공정
먼저, 처리실에 기판을 반입한다. 여기에서 처리실이란, 그 내부에 기판을 격납하고, 기판을 격납한 상태에서 처리실 내에 후술하는 각종 가스를 충전하거나, 또는 배기할 수 있는 밀폐 용기 등을 말한다. 처리실에 격납되는 기판의 표면은, 예를 들면, 처리실 내부에 설치된 히터 등을 사용하여 소정 온도로 승온(昇溫)할 수 있도록 되어 있다. 한편, 본 발명의 하나의 실시 형태에 있어서는, 처리 대상의 기판으로서, 예를 들면, 표면에 SiO2 막이 형성되어 있는 실리콘 기판(1)을 사용하는 것으로 한다.
(2) 원료 가스의 기판 상의 흡착을 돕기 위한 흡착 보조 가스를 공급하는 공정
뒤이어, 원료 가스의 기판 상의 흡착을 돕기 위한 흡착 보조 가스를, 기판을 격납한 처리실 내에 공급한다. 한편, 흡착 보조 가스의 공급 전에, 처리실 내를 배기하고 처리실 내의 분위기를 질소 가스 등의 불활성 가스로 치환해 둔다. 또한, 처리실 내의 압력을 소정 압력으로 조정하고, 기판의 온도를 소정 온도로 승온해 둔다.
여기에서, 원료 가스란, 액체 원료를 기화시킴으로써 얻어지는 가스를 말한다. 액체 원료는, 용도에 따라 여러 가지 종류로부터 적의 선택할 수 있다. 예를 들면, DRAM의 캐패시터를 제조할 때에는, 하부 전극막 또는 상부 전극막용으로서 Ru, RuO2, Pt, Ir, IrO2 등을 포함하는 액체 원료를 사용할 수 있다. 또한, 배리어 메탈막용으로서는, Ti, N, TaN 등을 포함하는 액체 원료를 사용할 수 있다.
구체적으로는, 하부 전극막 또는 상부 전극막용의 액체 원료로서 Ru(C2H5C5H4)2 (bisethylcyclopentadienyl ruthenium), Ru(C5H5)(C4H9C5H4)(butylruthenoscene), Ru[CH3COCHCO(CH2)3 CH33 tris(2,4-octandionato) ruthenium, Ru(C2H5C5H4)(CH3)C5H5 (2,4-dimethylpentadienyl)(ethylcyclopentadienyl) ruthenium, Ru(C7H8)(C7H11O2) 등의 유기 액체 금속을 사용할 수 있다.
또한, 배리어 메탈막용의 액체 원료로서 Ti[(OCH(CH3)2)4, Ti(OCH2CH3)4, Ti[N(CH3)2]4, Ti[N(CH3CH2)2]4, Ta(C2H5O)5 등의 유기 액체 금속을 사용할 수 있다.
한편, 본 발명의 하나의 실시 형태에 있어서는, 원료 가스로서 Ru(C2H5C5H4)(CH3)C5H5를 기화시킨 가스(이하, DER 가스라고 한다)를 사용하는 것으로 한다. DER 가스의 가스 분자는, 루테늄 원자(Ru)와, 루테늄 원자(Ru)에 각각 결합하고 있는 배위자(h1)(C2H5C5H4) 및 배위자(h2)(CH3)C5H5로 구성되어 있다.
한편, 흡착 보조 가스로서는, 원료 가스의 배위자를 분해하는 배위자 분해 가스를 사용할 수 있다. 원료 가스의 배위자를 분해하는 가스로서는, 예를 들면, 산소 가스(O2), 수증기(H2O), 또는 수산기 래디컬(OH*) 등의 산소 원자(O2)를 포함한 가스를 사용할 수 있다. 본 발명의 하나의 실시 형태에 있어서는, 흡착 보조 가스로서, 산소 가스를 사용하는 것으로 한다.
상술한 바와 같이, 처리실 내에 산소 가스를 공급하면, 도 5(a)에 나타내는 바와 같이, 산소 원자(O) 또는 산소 분자(O2)가, 실리콘 기판(1) 상의 SiO2막의 댕글링 본드(dangling bond)와 결합하던지, SiO2막 표면에 물리적으로 흡착하고 있는 상태가 된다.
(3) 원료 가스를 공급하는 공정
뒤이어, 처리실 내에, 원료 가스로서의 DER 가스를 공급한다. 한편, DER 가스의 공급 전에는, 처리실 내를 배기하여 잔류 가스를 제거하든지, 처리실 내의 잔류 가스를 질소 가스 등의 불활성 가스에 치환해 둔다.
처리실 내에 DER 가스를 공급하면, DER 가스 분자는, 실리콘 기판(1)의 표면에 형성된 SiO2막이하, 표면에 형성된 막도 포함하여 단지 실리콘 기판(1)이라고도 한다에 흡착하고 있는 산소 원자 또는 산소 분자와 용이하게 반응하여 분해하고, 도 5(b)에 나타내는 상태가 된다.
즉, 실리콘 기판(1)에 흡착하고 있는 산소 원자 또는 산소 분자는, DER 가스 분자의 루테늄 원자(Ru)로부터 배위자(h1) 및 배위자(h2) 중 적어도 어느 한쪽을 뗀다. 따라서, 한쪽의 배위자가 떨어진 DER 가스 분자나 양쪽의 배위자가 떨어지고 남겨진 루테늄 원자(Ru)는 실리콘 기판(1) 상의 SiO2막과 화학 흡착한다.
한편, 루테늄 원자(Ru)로부터 떼어진 배위자(h1) 및 배위자(h2)는, 다시 산소와 반응하여 분해하고, 예를 들면 H2O나 CO2 등의 휘발성 물질을 생성한다. 그리 고, 루테늄 원자(Ru)로부터 떨어진 배위자(h1) 또는 (h2)가 분해할 때에 생성하는 탄소(C)는, 실리콘 기판(1) 상에 부생성물로서 흡착한다.
상술한 액체 원료를 기화한 원료 가스에 있어서, DER 가스는, Ru(C2H5C5H4)2 [bisethylcyclopentadienyl ruthenium가스. 이하 Ru(EtCp)2가스라고 한다]보다 불안정하여 분해하기 쉽다. 즉, 루테늄 원자(Ru)로부터 배위자가 떨어지기 쉽고, 한쪽의 배위자가 떨어진 원료 가스나 루테늄 원자(Ru)가 기판에 흡착하기 쉽다. 바꾸어 말하면, DER 가스는, Ru(EtCp) 가스보다 반응성이 높고, 특히 ALD법에 따르는 성막에 있어서 원료 가스로서 사용했을 경우에 빠른 성막 레이트를 얻기 쉽다.
(4) 반응 가스를 공급하는 공정
뒤이어, 반응 가스를 처리실 내에 공급한다. 반응 가스의 공급 전에, 처리실 내를 배기하여 잔류 가스를 제거하든지, 처리실 내의 잔류 가스를 질소 가스 등의 불활성 가스로 치환해 둔다.
여기에서, 반응 가스란, 실리콘 기판(1) 상에 흡착한 DER 가스와 반응하여 박막을 생성함과 동시에, 실리콘 기판(1) 상의 표면을 청정화하는 가스를 말한다. 청정화란, 실리콘 기판(1)에 흡착한 산소를 제거하든지, 실리콘 기판(1)에 흡착한 산소를 산소 이외의 것과 치환하든지, 실리콘 기판(1)에 흡착한 탄소를 제거하든지, 또는 실리콘 기판(1)에 흡착한 원료 가스 자체를 제거하는 것을 말한다. 여기에서, 실리콘 기판(1)에 흡착한 원료 가스 자체를 제거하는 것이란, 실리콘 기판(1) 상에 분해하지 않고 흡착하고 있는 DER 가스 분자를 실리콘 기판(1) 상으로 부터 제거하는 것을 의미한다.
반응 가스로서는, 산소 원자(O)를 포함하지 않고 수소 원자(H)를 포함하는 가스(이하, 수소 함유 가스라고 한다), 질소 원자(N)를 포함하는 가스(이하, 질소 함유 가스라고 한다)를 플라즈마에 의해 활성화한 가스를 사용할 수 있다. 수소 함유 가스 및 질소 함유 가스의 종류는, 원료 가스의 종류 등에 따라 적절히 선택할 수 있어, 예를 들면, 수소 가스(H2) 또는 암모니아 가스(NH3)를 사용할 수 있다. 특히, 상술한 청정화 작용에는, 수소 래디컬(H*), 수소(H2), 원자상의 수소(H) 등의 수소 함유 물질이 크게 기여하고 있다고 생각된다.
또한, 플라즈마에 의해 활성화한 수소 함유 가스에 아르곤을 첨가함으로써, 수소 래디컬의 라이프 타임(life time)을 연장시키는 것이 유효한 경우가 있다. 또한, 플라즈마 여기만으로서 원료 가스가 분해하는 경우에는, 반응 가스로서 아르곤 가스를 사용할 수 있다.
본 발명의 하나의 실시 형태에 있어서는, 반응 가스로서 플라즈마 여기된 암모니아 가스와 아르곤의 혼합 가스를 사용하고 있다.
반응 가스를 공급하면, 도 5(c)에 나타내는 바와 같이, 실리콘 기판(1)의 표면은 반응 가스에 의해 청정화된다. 즉, 부생성물로서 실리콘 기판(1)에 흡착하고 있던 탄소(C)는, 반응 가스에 포함된 활성 수소와 반응하여, 예를 들면 메탄(CH4) 등의 휘발성 물질로 변환되어 탈리한다. 또한 실리콘 기판에 흡착하고 있던 한쪽의 배위자가 떨어진 DER 가스 분자 중 일부는, 나머지 배위자가 떨어져, 루테늄 원 자(Ru)가 된다. 그리고, 나머지 배위자가 떨어지지 않은 DER 가스 분자와 루테늄 원자(Ru)만이 실리콘 기판(1) 상의 SiO2막에 흡착하고 있는 상태가 된다. 이 청정화 작용에 의하여, 형성되는 루테늄 박막 중에 불순물이 잔류하는 것을 방지하여, 루테늄 박막의 전기 특성을 향상시키거나 또는 루테늄 박막의 실리콘 기판(1)에의 밀착성을 향상시킬 수 있다.
반응 가스를 공급한 후에는, 처리실 내를 배기하든지, 처리실 내를 질소 가스 등의 불활성 가스로 치환한다. 상술한 (2)부터 (4)까지의 공정에서 생성된 루테늄 박막의 모양을 도 5(d)에 나타낸다. 한편, 이 상태에서는, 실리콘 기판(1) 상에 루테늄 원자(Ru)만이 아니라, 한쪽의 배위자가 떨어지지 않은 DER 가스 분자도 다소 흡착하고 있으나, 이 떨어지지 않고 남은 배위자는, 다음의 사이클의 (2)의 공정에서 공급되는 산소 가스와 반응함으로써 떨어지게 된다. 이와 같이, 처리실 내에, 산소 가스, DER 가스, 반응 가스를 각각 순차로 공급함으로써, 처리실 내에서의 가스 반응이 억제되어, 실리콘 기판(1) 상에 단차 피복성이 좋게 성막 할 수 있다.
(5) 반복 공정
따라서, 상술한 (2)부터 (4)까지의 공정을 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 실리콘 기판(1) 상에 원하는 막두께의 루테늄 박막을 형성한다. 한편, 상기에 있어서는, 1 사이클에 의한 루테늄 박막의 성막 행위를 '생성'이라고 표현하고, 이 사이클을 복수 회 반복함으로써 원하는 막두께의 루테늄 박막의 성막 행위를 '형성'이라고 표현하고 있다.
(6) 기판을 반출하는 공정
원하는 막두께의 루테늄 박막을 형성한 후에, 실리콘 기판(1)을 처리실 내로부터 반출하여, 기판 처리 공정을 완료한다.
(B) 종래의 ALD법에 따르는 성막 메커니즘
뒤이어, 본 발명의 하나의 실시 형태와 비교하기 위해, 종래의 ALD법에 의한 기판 처리 공정과 이 성막 메커니즘에 대하여, 도 6을 사용하여 설명한다.
참조하는 도면에 있어서, 도 6은 종래의 ALD법을 실시할 때의 성막 메커니즘을 예시하는 도이며, (a)는 기판 표면에 원료 가스를 공급하여 흡착시키는 모양을 나타내고, (b)는 기판 표면에 반응 가스를 공급하여 원료 가스와 반응시켜 성막 하는 모양을 나타내며, (c)는 1 사이클로 기판 표면에 생성되는 박막의 모양을 나타낸다.
본 발명의 하나의 실시 형태와 종래의 ALD법은, 처리실 내에 원료 가스의 기판 상에의 흡착을 돕기 위한 가스를 공급하지 않는다는 점이 다르다.
(1) 원료 가스를 공급하는 공정
먼저, 처리실 내에 실리콘 기판(1)을 격납하고, 원료 가스로서의 DER 가스를 공급한다. DER 가스의 공급 전에는, 처리실 내를 배기하든지, 처리실 내의 분위기를 질소 가스 등의 불활성 가스로 치환해 둔다.
처리실 내에 DER 가스를 공급함으로써, 도 6(a)에 나타내는 바와 같이, DER 가스 분자는 분해하지 않고 실리콘 기판(1) 상의 SiO2막에 물리 흡착한다.
(2) 반응 가스를 공급하는 공정
뒤이어, 처리실 내를 배기하여 반응 가스를 공급한다. 반응 가스를 공급하기 전에는, 처리실 내의 잔류 가스를 배기하든지, 처리실 내의 잔류 가스를 질소 가스 등의 불활성 가스로 치환해 둔다.
처리실 내에 반응 가스를 공급하면, 실리콘 기판(1)의 표면은 반응 가스에 의해 청정화 되어 도 6(b)에 나타내는 상태가 된다. 즉, 플라즈마로 여기된 암모니아 가스가 함유하는 활성 수소 또는 질소의 청정화 작용에 의해, SiO2막 상에 물리 흡착하고 있던 DER 가스 분자의 대부분이 제거되어 버린다. 또한, 실리콘 기판(1)에 산소가 흡착하고 있는 경우에는, 그 산소는 제거되든지, 산소 이외의 것과 치환된다. 그리고, 미량의 DER 가스 분자만이 분해되어 극히 적은 루테늄 원자(Ru)가 실리콘 기판(1) 상의 SiO2막과 화학 흡착한다. 이 때, 배위자(h1) 및 배위자(h2)는 수소와 결합하여 휘발성 물질을 생성한다.
뒤이어, 처리실 내를 배기하면, 도 6(c)에 나타내는 바와 같이, 실리콘 기판(1)의 표면은 청정화되어 있고, 미량의 루테늄 원자(Ru)만이 실리콘 기판(1)에 화학 흡착하고 있는 상태가 된다.
(3) 반복 공정
그 후에는, 상술한 (1)부터 (3)까지의 공정을 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 실리콘 기판(1) 상에 원하는 막두께의 루테늄 박막을 형성한다.
(4) 기판을 반출하는 공정
원하는 막두께의 루테늄 박막을 형성 후에, 실리콘 기판(1)을 처리실 내로부터 반출하고, 기판 처리 공정을 완료한다.
(C) 본 발명의 하나의 실시형태에 따른 효과
이상에 나타낸 바와 같이, 본 발명의 하나의 실시 형태에 의하면, 각 사이클에 있어서 DER 가스를 공급하기 전에, 실리콘 기판(1)의 표면에 산소 가스를 미리 흡착시키고 있다. 그 때문에, 실리콘 기판(1)에 DER 가스를 공급하면 DER 가스 분자로부터 배위자(h1) 및 배위자(h2)가 분해하기 쉽고, 한쪽의 배위자가 떨어진 DER 가스 분자나 루테늄 원자(Ru)가 실리콘 기판(1)에 화학 흡착하기 쉽다. 또한, 성막의 초기 단계에 있어서는, 실리콘 기판(1)의 표면에 DER 가스가 흡착한 상태에서 표면 반응이 발생하기 때문에, 인큐베이션 타임의 발생을 억제할 수 있다.
이에 대하여, 종래의 ALD법에 있어서는, DER 가스를 공급할 때, 실리콘 기판(1)의 표면에 산소 가스가 존재하지 않는다. 그 때문에, DER 가스 분자가 분해하기 어렵고, DER 가스 분자나 루테늄 원자(Ru)의 실리콘 기판(1)에의 화학 흡착이 일어나기 어렵다. 또한, 반응 가스를 공급하면, 실리콘 기판(1)에 물리 흡착하고 있던 DER 가스 분자는, 대부분이 제거된다. 또한, 반응 가스에 의한 기판 표면의 청정화 작용에 의하여, 반응 가스 공급 후에 DER 가스를 공급하더라도 DER 가스 분자의 배위자가 떨어지지 않게 되어, DER 가스 분자나 루테늄 원자(Ru)D,; 실리콘 기판(1)에의 화학 흡착이 더욱 일어나기 어려워진다.
도 7은, 종래의 ALD법과 본 발명의 하나의 실시 형태와의 성막레이트의 차이 를, 동일 시간에 형성한 루테늄막의 막두께의 차이로 나타낸 도이다. 도 7에 의하면, (b)에 나타내는 본 발명의 하나의 실시 형태 쪽이, (a)에 나타내는 종래의 ALD법에 비해 성장 레이트가 빠르고, 동일 시간에 실리콘 기판(1) 상에 루테늄(Ru)막을 보다 두껍게 형성할 수 있는 것을 알 수 있다.
이상에 의하여, 본 발명의 하나의 실시 형태에 따르면, 종래의 ALD법과 비교하여, 성막 레이트를 올려 생산성의 향상을 꾀할 수 있다. 이에 관련한 효과는, 반응 가스가 산소를 포함하지 않고, 기판의 표면의 청정화 작용을 갖는 경우에는, 특히 유효하다.
실시예
이하에, 본 발명의 실시예에 대하여, 도 1부터 도 4 및 도 8을 참조하면서 설명한다. 참조하는 도면에 있어서, 도 1부터 도 3은, 후술하는 실시예 1부터 실시예 3에 따른 반도체 장치의 제조 방법의 한 공정으로서의 기판 처리 공정을 각각 나타내고 있다. 또한, 도 4는, 실시예 1부터 실시예3에 있어서 공통으로 사용되는 본 발명의 하나의 실시예에 따른 기판 처리 장치의 구성예를 나타내고 있다. 또한, 도 8은, 실시예 1부터 3에 있어서 형성되는 하부 전극막, 상부 전극막을 구비한 DRAM의 캐패시터 부분의 단면도이다.
<실시예 1>
실시예 1에서는, 본 발명에 따른 반도체 장치의 제조 방법을 적용하여, DRAM 의 캐패시터의 하부 전극막 및 상부 전극막을 형성하는 방법에 대해 설명한다.
(1) DRAM의 캐패시터의 구조
먼저, DRAM의 캐패시터 구조와 그 제조 방법에 대해, 도 8을 사용해 설명한다.
처음에, 실리콘 기판(1) 상에, SiO2 등의 절연체로 되는 층간 절연막(100)을 형성한다. 그리고 층간 절연막(100)을 관통하도록, 컨택트 홀(contact hole)(107)을 개구한다.
뒤이어, 개구한 컨택트 홀(107)의 내부에, 실리콘 기판(1)과 접속하기 위한 컨택트 플러그(contact plug)(101)를 형성한다. 컨택트 플러그(101)는 폴리 실리콘을 재료로 하여도 되며, 텅스텐을 재료로 하여도 된다.
뒤이어, 컨택트 플러그(101)의 상부 공간을 매립하도록 배리어 메탈막(102)을 형성한다. 배리어 메탈막(102)의 재료로서는, TiN나 TaN가 예시되고 있다. 한편, 배리어 메탈막(102)은, 전극을 구성하는 재료나 산화제가, 컨택트 플러그(101)로 확산하는 것을 방지한다.
뒤이어, 층간 절연막(100) 상과 배리어 메탈막(102) 상의 전체 면에, 층간절연막(103)을 형성한다. 그 후, 층간절연막(103)을 관통하도록 컨택트 홀(108)을 개구한다.
뒤이어, 본 발명에 따른 반도체 장치의 제조 방법을 적용하여, 컨택트 홀(108) 내부와 층간절연막(103) 상의 모든 면에, 하부 전극막(104)을 형성한다. 하부 전극막(104)의 형성 방법에 대하여는, (3)에서 후술한다.
뒤이어, 컨택트 홀(108) 내의 하부 전극막(104)을 잔류시키면서, 층간절연막(103) 상의 하부 전극막(104)을 제거한다. 그 후, 잔류시킨 컨택트 홀(108) 내의 하부 전극막(104)의 내부를 에칭(etching)하고, 하부 전극막(104)의 형상을 실린더상으로 한다.
뒤이어, 하부 전극막(104) 상과 층간절연막(103) 상의 모든 면에, 소정의 제조 방법으로 용량 절연막(105)을 형성한다.
최후로, 본 발명에 따른 반도체 장치의 제조 방법을 적용하여, 용량 절연막(105) 상의 모든 면에 상부 전극막(106)을 형성하고, 도 8에 나타내는 캐패시터의 제조를 완료한다. 상부 전극막(106)의 형성 방법에 대하여도 (3)에서 후술한다.
(2) 기판 처리 장치의 구성
뒤이어, 실시예 1에서 사용되는 본 발명의 하나의 실시예에 따른 기판 처리 장치의 구성에 대하여, 도 4를 사용하여 설명한다. 도 4에 예시하는 기판 처리 장치는, 실시예 1에 도시한 ALD법에 의한 성막 방법의 실시에 국한하지 않고, CVD법에 의한 성막 방법의 실시에도 적용할 수 있다.
기판 처리 장치는, 실리콘 기판(1)을 내부에 반입하여 박막을 형성하기 위해 처리실(5)을 구비하고 있다. 처리실(5)는 처리 용기(5a)의 내부에 형성된다. 처리실(5)의 측면부에는, 기판 반입 반출구(2a)를 개폐하는 게이트변(gate valve)(2)이 설치되어 있다. 그리고, 반송 로봇(도시하지 않음)이, 게이트변(2)을 열어서 개방된 기판 반입 반출구(2a)를 개재하여 처리실(5)의 내외에 실리콘 기판(1)을 반송할 수 있게 되어 있다.
처리실(5)의 내부에는, 실리콘 기판(1)을 하방으로부터 지지하기 위한 서셉터(susceptor)(41)가 설치되어 있다. 그리고, 서셉터(41)는, 히터 유닛(40)에 의해 하방으로부터 지지되고 있다. 히터 유닛(40)은, 실리콘 기판(1)을 가열하기 위한 히터(4)를 내장하고 있다. 그리고, 히터(4)는, 온도 제어 수단(17)에 의하여 제어되고, 서셉터(41) 상의 실리콘 기판(1)을 소정의 온도로 가열할 수 있도록 되어 있다.
히터 유닛(40)은, 승강기구(39)에 의해 처리실(5) 내를 승강 자재하게 설치되고, 필요에 따라 회전 자재하게 설치되어 있다. 히터 유닛(40)은, 실리콘 기판(1)의 반송 시에는 실선으로 나타내는 위치까지 하강하고, 서셉터(41)의 표면보다 돌출한 돌상(突上)핀(3)이, 실리콘 기판(1)을 지지한다. 또한, 실리콘 기판(1) 상에 대한 성막 시에는, 히터 유닛(40)은 점선으로 나타내는 위치까지 상승하고, 돌상 핀(3)이 서셉터(41)의 표면보다 가라앉기 때문에, 서셉터(41)가 실리콘 기판(1)을 지탱한다.
처리실(5)의 저면부에는, 처리실(5)을 배기하기 위한 배기관(9)이 연결되어 있다.
처리실(5)의 상면부에는, 처리실(5)에 가스를 공급하기 위한 샤워 헤드(shower head)(27)가 설치되어 있다. 샤워 헤드(27)는, 샤워 헤드(27)에 공급되는 가스를 확산시키기 위한 확산판(7)과, 확산판(7)에 의해 확산된 가스를 분산하기 위한 버퍼 공간(28)과, 분산된 가스를 처리실(5) 내에 샤워상으로 분사하기 위 한 샤워판(8)으로 구성되어 있다.
샤워 헤드(27)의 상부에는, 원료 가스를 처리실(5) 내에 공급하기 위한 원료 가스 공급 라인(15)이 접속되어 있다. 또한, 샤워 헤드(27)의 상부에는, 원료 가스와 반응하는 반응 가스를 처리실(5) 내에 공급하기 위한 반응 가스 공급 라인(29)과, 원료 가스의 실리콘 기판(1) 상에 흡착을 돕는 흡착 보조 가스를 처리실(5) 내에 공급하기 위한 흡착 보조 가스 공급 라인(30)이, 각각 개폐 밸브(14), (13)를 개재하여 접속되어 있다.
상술한 원료 가스 공급 라인(15)은, 원료 가스로서의 DER 가스를 처리실(5) 내에 공급한다.
원료 가스 공급 라인(15)에는, DER 가스를 공급하기 위한 DER 가스관(31)과, 불활성 가스로서의 질소 가스를 공급하기 위한 질소 가스관(32)과, 반응 가스로서의 암모니아 가스를 공급하기 위한 암모니아 가스관(33)이, 각각 개폐 밸브(6), (10), (16)를 개재하여 접속되어 있다.
DER 가스관(31)에는, 액체 원료로서의 루테늄 원자를 포함한 유기 액체 금속 원료인 DER를 기화시켜 DER 가스를 생성시키는 기화기(50)가 접속되어 있다. 또한, 질소 가스관(32)은, 원료 가스의 공급 정지 시에 원료 가스 공급 라인(15) 내에 질소 가스를 공급하고, 원료 가스 공급 라인(15) 내에 반응 가스나 흡착 보조 가스가 역류(침입)하는 것을 방지한다. 또한, 질소 가스관(32)은, 처리실(5) 내에 질소 가스를 공급하고, 처리실(5) 내의 잔류 가스를 질소 가스로 치환한다. 또한, 암모니아 가스관(33)은, 원료 가스 공급 라인(15)을 개재하여, 암모니아 가스를 플라즈마 로 여기하지 않고 처리실(5) 내에 공급할 수 있도록 되어 있다.
상술한 반응 가스 공급 라인(29)은, 플라즈마 여기된 암모니아 가스를 포함한 반응 가스를, 처리실(5) 내에 공급한다.
반응 가스 공급 라인(29)에는, 리모트 플라즈마 여기 수단으로서 플라즈마 발생기(11)가 연결되어 있다. 그리고, 플라즈마 발생기(11)에는, 전술한 암모니아 가스관(33)으로부터 분기한 분기관(34)과, 플라즈마 착화용 가스로서의 아르곤 가스를 공급하기 위한 아르곤 가스관(35)이 접속되어 있다. 플라즈마 발생기(11)는, 아르곤 가스관(35)으로부터 공급되는 아르곤 가스에 의하여 플라즈마를 발생시키고, 플라즈마가 발생한 플라즈마 발생기(11) 내에 분기관(34)로부터 공급되는 암모니아 가스를 첨가함으로써, 암모니아 가스를 플라즈마 여기하여 반응 가스를 생성한다.
한편, 반응 가스 공급 라인(29)의 개폐 밸브(14)의 상류, 즉 개폐 밸브(14)와 플라즈마 발생기(11)와의 사이에는, 바이패스관(36)이 개폐 밸브(12)를 개재하여 접속되어 있다.
바이패스관(36)은, 개폐 밸브(12)를 열어, 플라즈마 발생기(11)에서 생성되는 반응 가스를, 처리실(5)을 바이패스하여 배기관(9)으로 뺀다.
상술한 흡착 보조 가스 공급 라인(30)은, 원료 가스의 실리콘 기판(1) 상에의 흡착을 돕는 흡착 보조 가스로서의 산소 가스를 처리실(5) 내에 공급한다.
흡착 보조 가스 공급 라인(30)에는, 산소 가스를 공급하기 위한 산소 가스관(37)이, 개폐 밸브(23)를 개재하여 접속되어 있다. 또한, 흡착 보조 가스 공급 라인(30)에는, 질소 가스를 공급하기 위한 질소 가스관(38)이, 개폐 밸브(24)를 개재하여 접속되어 있다.
질소 가스관(38)은 흡착 보조 가스의 공급 정지 시에 질소 가스를 공급하여, 흡착 보조 가스 공급 라인(30) 내에 원료 가스나 반응 가스가 역류(침입)하는 것을 방지한다. 또한, 질소 가스관(38)은, 반응 가스의 공급 정지 시에 흡착 보조 가스 공급 라인(30)을 개재하여 반응 가스 공급 라인(29) 내에 질소 가스를 공급하여, 반응 가스 공급 라인(29) 내에 원료 가스가 침입하는 것을 방지한다. 또한, 질소 가스관(38)은, 처리실(5) 내에 질소 가스를 공급하여 처리실(5) 내를 가스 치환한다.
상술한 개폐 밸브(6), (10), (16), (12), (13), (14), (23) 및 (24)는, 컨트롤러(60)에 의해 각각 개폐 제어된다.
또한, 상술한 배관(32), (33), (35), (37) 및 (38)에는, 가스 유량을 제어하기 위한 유량 제어기(21), (20), (19), (25) 및 (26)가 각각 설치된다. 또한, 상술한 배관(31)에는, DER의 액체 유량을 제어하기 위한 액체 유량 제어기(22)가 설치된다. 각 유량 제어기는, 컨트롤러(60)에 의해 각각 제어된다.
또한, 상술한 배기관(9)에는, 처리실(5)의 내부 압력을 조정하기 위한 배기 배관 컨덕턴스 제어부(18)가 설치된다. 배기 배관 컨덕턴스 제어부(18)는, 컨트롤러(60)에 의해 제어된다. 한편, 컨트롤러(60)는 기타, 기판 처리 장치를 구성하는 각부의 동작을 제어한다.
(3) 루테늄막의 형성 방법
뒤이어, 상술한 기판 처리 장치를 사용한 하부 전극막, 상부 전극막의 형성 방법에 대해, 도 1을 사용하여 설명한다. 이하의 설명에 있어서, 기판 처리 장치를 구성하는 각부의 동작은 컨트롤러(60)에 의해 제어된다. 실리콘 기판(1)을 처리실(5) 내에 반입하기 전에, 미리 처리실(5) 내에 질소 가스를 충만시켜 처리실(5) 내의 분위기를 질소 등의 불활성 가스로 치환해 둔다.
먼저, 상술한 처리실(5) 내에, 표면에 SiO2막이 형성되어 있는 실리콘 기판(1)을 반입하고 히터 유닛(40)을 상승시킴으로써 서셉터(41) 상에 실리콘 기판(1)을 올려놓고, 실리콘 기판(1)을 성막 위치까지 상승시킨다. 그리고, 개폐 밸브(6), (10), (16), (14), (23)를 닫고, 개폐 밸브(24), (13)를 열어, 처리실(5) 내에 질소 가스를 공급하면서 배기관(9)으로부터 배기함으로써 처리실(5) 내를 질소 가스로 충만시킨다. 그리고, 실리콘 기판(1)을 히터(4)에 의하여 소정 온도까지 가열하고, 처리실(5) 내의 압력을 원하는 값으로 안정시킨다(개시). 구체적으로는, 예를 들면 실리콘 기판(1)의 표면 온도는 200~350, 처리실 내 압력은 0.1~수십 Torr(13.3~수천 Pa)로 한다.
뒤이어, 개폐 밸브(24)를 닫고, 개폐 밸브(23)를 열어, 원료 가스의 실리콘 기판(1) 상에의 흡착을 돕는 가스로서의 산소 가스를 확산판(7), 샤워판(8)을 통해 처리실(5) 내에 공급하면서 배기관(9)으로 배기하여, 실리콘 기판(1) 상에 흡착시킨다(공정 1). 구체적으로는, 예를 들면 산소 가스의 유량은 1~1000sccm으로 하고, 1 사이클 마다 공급 시간은 1~60초로 한다.
뒤이어, 개폐 밸브(23)를 닫고, 개폐 밸브(24)를 열어, 처리실(5) 내에 질소 가스를 공급하면서, 또한 배기관(9)을 사용하여 처리실(5) 내의 잔류 가스를 배기함으로써, 처리실(5) 내를 질소 가스로 치환한다(공정 2).
뒤이어, 개폐 밸브(13)을 닫고, 개폐 밸브(6)를 열어, 확산판(7), 샤워판(8)을 통해 처리실(5) 내에 원료 가스로서의 DER 가스를 공급하면서 배기관(9)으로 배기한다(공정 3). 구체적으로는, 예를 들면 DER의 유량은 0.01~0.2g/분으로 하고, 1 사이클 마다 공급 시간은 1~60초로 한다. 그 결과, DER 가스 분자는 실리콘 기판(1)에 흡착하고 있는 산소 가스와 반응하여 분해하고, 한쪽의 배위자가 붙은 DER 가스 분자나 루테늄 원자(Ru)가 실리콘 기판(1) 상의 SiO2막에 화학 흡착한다.
뒤이어, 개폐 밸브(6)를 닫고, 개폐 밸브(10)를 열어, 처리실(5) 내에 질소 가스를 공급하면서, 또한 배기관(9)을 이용해 처리실(5) 내의 잔류 가스를 배기함으로써, 처리실(5) 내를 질소 가스로 치환한다(공정 4).
또한 그 사이, 아르곤 가스 및 암모니아 가스를 플라즈마 발생기(11)에 공급하여 여기함으로써 반응 가스를 생성시키면서, 개폐 밸브(12)를 열어 처리실(5)를 바이패스하여 배기시킨다. 한편, 그 사이 개폐 밸브(13)를 열어서, 흡착 보조 가스 공급 라인(30)을 거쳐 반응 가스 공급 라인(29) 내에 질소 가스를 공급하여 DER 가스가 플라즈마 발생기(11)에 역류(침입)하는 것을 막는다.
뒤이어, 개폐 밸브(10), (12), (13)를 닫고, 개폐 밸브(14)를 열어, 반응 가스를 처리실(5) 내에 공급하면서 배기관(9)으로 배기한다(공정 5). 구체적으로는, 예를 들면 반응 가스의 유량은 100~2000sccm로 하고, 1 사이클 마다 공급 시간은 1~60초로 한다. 그 결과, 실리콘 기판(1)의 표면은, 반응 가스에 의하여 청정화된다. 또한, 실리콘 기판(1)에 흡착하고 있는 한쪽의 배위자가 떨어진 DER 가스 분자 중 일부는, 남은 배위자가 떨어진다.
뒤이어, 개폐 밸브(14)를 닫고, 개폐 밸브(13)를 열어 처리실(5) 내에 질소 가스를 공급하면서, 배기관(9)을 이용해 처리실(5) 내의 잔류 가스를 배기함으로써, 처리실(5) 내를 질소 가스로 치환한다(공정 6).
그리고, 공정 1부터 공정 6까지를 1 사이클로 하고, 실리콘 기판(1) 상에 원하는 막두께의 루테늄 박막이 형성될 때까지 이 사이클을 복수 회 반복한다. 그 후, 루테늄 박막 형성 후의 실리콘 기판(1)을 처리실(5) 내로부터 반출하여, 기판 처리 공정을 완료한다(종료).
예를 들면, 1 사이클 마다 생성되는 루테늄 막두께는 0.01~0.1nrn가 되고, 사이클 수를 50~1000으로 하면, 총 막두께는 5~15nm가 된다.
<실시예 2>
실시예 2에 있어서도, 본 발명에 따른 반도체 장치의 제조 방법을 적용하여, DRAM의 캐패시터 하부 전극막 및 상부 전극막을 형성하는 방법에 대해 설명한다.
실시예 2에 있어서는, 성막의 초기 단계에서만 실시예 1과 같은 방법으로 성막 하고, 도중부터 DER 가스와 산소 가스를 동시에 공급하는 열 CVD법을 이용해 성막 하는 점이 실시예 1과 다르다. 기타의 조건은 실시예 1과 실질적으로 동일하다.
(1) DRAM의 캐패시터의 구조 및 기판 처리 장치의 구성
실시예 1와 동일하다.
(2) 루테늄막의 형성 방법
실시예 2에 있어서 하부 전극막, 상부 전극막의 형성 방법에 대하여, 도 2를 사용해 설명한다. 이하의 설명에 있어서, 기판 처리 장치를 구성하는 각부의 동작은 컨트롤러(60)에 의해 제어된다. 실리콘 기판(1)을 처리실(5) 내에 반입하기 전에, 미리 처리실(5) 내를 질소 가스를 충만시켜 처리실(5) 내의 분위기를 질소 등의 불활성 가스로 치환해 둔다.
먼저, 상술한 처리실(5) 내에, 표면에 SiO2막이 형성되어 있는 실리콘 기판(1)을 반입하고, 히터 유닛(40)을 상승시킴으로써 서셉터(41) 상에 실리콘 기판(1)을 올려놓고, 실리콘 기판(1)을 성막 위치까지 상승시킨다. 그리고, 개폐 밸브(6), (10), (16), (14), (23)를 닫고, 개폐 밸브(24), (13)를 열어 처리실(5) 내에 질소 가스를 공급하면서 배기관(9)으로부터 배기함으로써, 처리실(5) 내를 질소 가스로 충만시킨다. 그리고, 실리콘 기판(1)을 히터(4)에 의해 소정 온도까지 가열하고, 처리실(5) 내의 압력을 원하는 값으로 안정시킨다(개시). 구체적으로는, 예를 들면 실리콘 기판(1)의 표면 온도는 200~350, 처리실 내 압력은 0.1~수십 Torr(13.3~수천 Pa)로 한다.
그 후, 실시예 1에 있어서 공정 1부터 공정 6까지를 1 사이클로 하고, 이 사이클을 소정 회 반복하여, 실리콘 기판(1) 상에 원하는 막두께의 루테늄막을 형성한다. 이 때의 사이클 수는 실시예 1의 경우보다 적게, 예를 들면 20~100회로 한 다. 다른 구체적 조건은, 실시예 1과 동일하게 한다. 그 결과, 예를 들면 1 사이클 마다 루테늄막의 막두께는 실시예 1과 마찬가지로 0.01~0.1nm가 되고, 사이클을 소정 회수 반복한 후의 막두께는 1~5nm가 된다.
그 후, 개폐 밸브(24)를 닫고, 개폐 밸브(6), (23)을 열어, DER 가스와 산소 가스를 처리실(5) 내에 동시에 공급하면서 배기관(9)으로 배기한다. 그리고 열 CVD법에 의하여, 전술한 공정으로 성막한 루테늄 박막 상에, 원하는 막두께의 박막을 새로이 형성한다(열 CVD 공정). 한편, 열 CVD 공정은, 상술한 공정과, 동일 반응실 내에서, 동일한 온도에서 실시하는 것이, 생산성이나 코스트의 관점으로 보아 바람직하다. 실시예 2에 있어서도, 상술한 공정과 동일한 처리실(5) 내에서 열 CVD를 시행하는 것으로 하고, 기판 온도나 처리실 내 압력도 동일 조건으로 한다. 또한, 열 CVD 공정에 있어서 DER 유량은 예를 들면 0.01~0.2g/분으로 하고, 산소 가스의 유량은 예를 들면 1~1000sccm으로 한다.
그 후, 개폐 밸브(6), (23)를 닫고, 개폐 밸브(24)를 열어 처리실(5) 내에 질소 가스를 공급하면서, 배기관(9)으로 처리실(5) 내의 잔류 가스를 배기함으로써, 처리실(5) 내를 질소 가스로 치환한다. 그 후, 루테늄 박막 형성 후의 실리콘 기판(1)을 처리실(5) 내로부터 반출하여, 기판 처리 공정을 완료한다(종료).
공정 1부터 공정 6까지의 사이클을 반복하는 공정을 포함하여, 열 CVD 공정을 실시한 후의 루테늄막의 총 막두께는 예를 들면 5~15 nm가 된다.
<실시예 3>
실시예 3에 있어서도, 본 발명에 따른 반도체 장치의 제조 방법을 적용하여, DRAM의 캐패시터 하부 전극막 및 상부 전극막을 형성하는 방법에 대해 설명한다.
실시예 3에 있어서도, 초기 단계에서는 산소 가스를 사용하지 않고 DER 가스와 반응 가스를 교대로 공급하는 ALD법에 의하여 성막하고, 도중부터 실시예 1과 동일한 방법으로 성막하는 점이 실시예 1과 다르다. 기타 조건은 실시예 1과 실질적으로 동일하다.
(1) DRAM의 캐패시터 구조 및 기판 처리 장치의 구성
실시예 1과 동일하다.
(2) 루테늄막의 형성 방법
실시예 3에 있어서 하부 전극막, 상부 전극막의 형성 방법에 대해, 도 3을 사용해 설명한다. 한편, 이하의 설명에 있어서, 기판 처리 장치를 구성하는 각부의 동작은 컨트롤러(60)에 의하여 제어된다. 실리콘 기판(1)을 처리실(5) 내에 반입하기 전에, 미리 처리실(5) 내에 질소 가스를 충만시켜 처리실(5) 내의 분위기를 질소 등 불활성 가스로 치환해 둔다.
먼저, 상술한 처리실(5) 내에, 표면이 SiO2막으로 형성되어 있는 실리콘 기판(1)을 반입하고, 히터 유닛(40)을 상승시킴으로써 서셉터(41) 상에 실리콘 기판(1)을 올려놓고, 실리콘 기판(1)을 성막 위치까지 상승시킨다. 그리고, 개폐 밸브(6), (10), (16), (14), (23)를 닫고, 개폐 밸브(24), (13)를 열어, 처리실(5) 내에 질소 가스를 공급하면서 배기관(9)으로 배기함으로써 처리실(5) 내를 질소 가스로 충만시킨다. 그리고, 실리콘 기판(1)을 히터(4)에 의하여 소정 온도까지 가열 하고, 처리실(5) 내의 압력을 원하는 값으로 안정시킨다(개시). 구체적으로는, 예를 들면 실리콘 기판(1)의 표면온도는 200~350, 처리실 내 압력은 0.1~수십Torr(13.3~수천 Pa)로 한다.
뒤이어, 개폐 밸브(24)를 닫고, 개폐 밸브(6)를 열어, 확산판(7), 샤워판(8)을 통해 처리실(5) 내에 원료 가스로서 DER 가스를 공급하면서 배기관(9)으로부터 배기한다(공정 1). 구체적으로는, 예를 들면 DER의 유량은 0.01~0.2g/분으로 하고, 1 사이클 마다 공급 시간은 1~60초로 한다. 그 결과, DER 가스 분자는 실리콘 기판(1) 상에 물리 흡착한다.
뒤이어, 개폐 밸브(6)를 닫고 개폐 밸브(10)를 열어 처리실(5) 내에 질소 가스를 공급하면서, 또한 배기관(9)을 사용하여 처리실(5) 내의 잔류 가스를 배기함으로써, 처리실(5) 내를 질소 가스로 치환한다(공정 2).
또한, 그 사이, 아르곤 가스 및 암모니아 가스를 플라즈마 발생기(11)에 공급하여 여기시켜 반응 가스를 생성하면서, 개폐 밸브(12)를 열어 처리실(5)를 바이패스하여 배기시킨다. 또한 그 사이, 개폐 밸브(13)를 열어, 흡착 보조 가스 공급 라인(30)을 개재하여 반응 가스 공급 라인(29) 내에 질소 가스를 공급하여 DER 가스가 플라즈마 발생기(11)에 역류(침인)하는 것을 막는다.
뒤이어, 개폐 밸브(10), (12), (13)를 닫고, 개폐 밸브(14)를 열어, 반응 가스를 처리실(5) 내에 공급하면서 배기관(9)으로부터 배기한다(공정 3). 구체적으로는, 예를 들면 반응 가스의 유량은 10~5000sccm로 하고, 1 사이클 마다, 공급 시간은 1~60초로 한다. 그 결과, 실리콘 기판(1) 상에 물리 흡착하고 있던 DER 가스 분 자의 일부가 분해하고, 루테늄 원자(Ru)가 실리콘 기판(1) 상의 SiO2막과 화학 흡착한다.
뒤이어, 개폐 밸브(14)를 닫고, 개폐 밸브(13)를 열어 처리실(5) 내에 질소 가스를 공급하면서, 배기관(9)을 사용하여 처리실(5) 내의 잔류 가스를 배기함으로써, 처리실(5) 내를 질소 가스로 치환한다(공정 4).
그리고, 공정 1부터 공정 4까지를 1 사이클로 하여, 실리콘 기판(1) 상에 원하는 막두께의 루테늄 박막이 형성될 때까지 이 사이클을 복수 회 반복한다. 이에 따른 공정을 초기 성막 공정이라고 부른다. 초기 성막 공정에 있어서 사이클 수는, 루테늄막의 막두께가 예를 들면 1~10nm가 될 때까지 실시한다.
뒤이어, 초기 성막 공정에 의해 형성한 루테늄 박막 상에, 실시예 1과 동일한 방법으로 원하는 두께의 루테늄 박막을 형성한다(공정 5~10). 이에 따른 공정을 본(本)성막 공정이라고 부른다. 본성막 공정에 있어서는, 예를 들면 산소 가스의 유량을 10~5000sccm로 하고, 1 사이클 마다 공급 시간은 1~60초로 한다. 기타의 조건은 초기 성막 조건과 동일하게 한다.
본성막 공정에 있어서 사이클 수는, 예를 들면 본성막 공정에 의한 루테늄막의 막두께가 5~15nm가 되고, 초기 성막 공정과 본성막 공정에 의한 총 막두께가 6~25nm에 이를 때까지 실시한다.
그 후, 개폐 밸브(6), (14), (23)를 닫고, 개폐 밸브(24)를 열어 처리실(5) 내에 질소 가스를 공급하면서, 배기관(9)을 통해 처리실(5) 내의 잔류 가스를 배기 함으로써, 처리실(5) 내를 질소 가스로 치환한다. 그 후, 루테늄 박막 형성 후의 실리콘 기판(1)을 처리실(5) 내로부터 반출하여, 기판 처리 공정을 완료한다(종료).
한편, 하부 전극막(104)의 형성에 있어서는, 상술한 실시예 1부터 3에 나타낸 어떤 방법도 사용할 수 있다. 다만, 실시예 1 및 2에 나타낸 방법을 사용했을 경우에는, 루테늄 박막의 성막 중에 하지(下地)가 되는 배리어 메탈막(102)이 산화하는 것도 생각할 수 있고, 컨택트 저항이 증가하는 것도 생각할 수 있다. 예를 들면, 배리어 메탈막(102)이 TiN막인 경우, 루테늄막의 성막 시에 사용하는 산소에 의하여 TiN막이 산화되어 TiO2 등의 절연막이 형성되고, 컨택트 저항이 높아지는 것도 생각할 수 있다. 이에 대하여, 실시예 3의 방법을 사용하면, 성막 초기 단계에 있어서 산소를 사용하지 않기 때문에 배리어 메탈막(102)과 산소가 반응하는 일은 없어, 배리어 메탈막(102)의 산화를 막을 수 있어, 컨택트 저항의 증가를 방지할 수 있기 때문에, 보다 바람직하다.
<본 발명의 바람직한 형태>
제1 형태는, 처리실 내에 기판을 반입하는 공정과, 상기 처리실 내에 액체 원료를 기화한 원료 가스의 상기 기판 상에의 흡착을 돕기 위한 흡착 보조 가스를 공급하여 상기 기판 상에 흡착시키는 공정과, 상기 처리실 내에 상기 원료 가스를 공급하여 상기 기판 상의 상기 흡착 보조 가스와 반응시켜 상기 기판 상에 흡착시키는 공정과, 상기 처리실 내에 반응 가스를 공급하여 상기 기판 상에 흡착한 상기 원료 가스와 반응시키는 공정을 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 상기 기판 상에 원하는 막두께의 박막을 형성하는 공정과, 상기 박막 형성 후의 상기 기판을 상기 처리실 내로부터 반출하는 공정을 가진 반도체 장치의 제조 방법이다.
제1 형태에 따르면, 처리실 내에 원료 가스를 공급하기 전에, 처리실 내에 원료 가스의 기판 상에의 흡착을 돕기 위한 흡착 보조 가스를 공급하여 기판 상에 흡착시킴으로써, 원료 가스의 기판 표면에의 흡착을 촉진할 수 있다. 또한, 성막의 초기 단계에 있어서는, 기판의 표면에 원료 가스가 흡착한 상태에서 표면 반응이 발생하기 때문에, 인큐베이션 타임의 발생을 억제 할 수 있다. 따라서, 성막 레이트를 올려 생산성을 향상시킬 수 있다.
또한, 제1 형태에 따르면, 처리실 내에, 흡착 보조 가스, 원료 가스, 반응 가스를 각각 순차로 공급함으로써, 처리실 내에서의 가스의 반응이 억제되고, 기판 상에 단차 피복성이 좋게 성막 할 수 있다.
한편, 제1 형태에 있어서, 반응 가스에 청정화 작용이 있는 경우, 형성하는 박막 중에 불순물이 잔류하는 것을 방지하고, 박막의 전기특성을 향상시키고, 또한 박막의 기판에의 밀착성을 향상시킬 수 있다. 또한, 기판 표면이 청정화되었을 경우, 원료 가스가 기판 표면에 흡착하기 어려워지나, 원료 가스를 처리실 내에 공급하기 전에 흡착 보조 가스를 공급하여 기판 상에 흡착시킴으로써, 원료 가스 공급 전에, 기판 표면의 상태를 원료 가스가 흡착하기 쉬운 상태로 바꿀 수 있다.
제2 형태는, 제1 형태에 있어서, 상기 흡착 보조 가스는, 상기 원료 가스의 배위자를 분해하는 가스인 반도체 장치의 제조 방법이다.
제2 형태에 따르면, 흡착 보조 가스로서, 원료 가스의 배위자를 분해하는 가스를 사용함으로써, 기판 상에서의 원료 가스의 분해를 촉진하고, 이에 의해 원료 가스의 기판 표면에 흡착을 촉진하여, 성막 레이트를 향상시킬 수 있다.
제3 형태는, 제1 형태에 있어서, 상기 흡착 보조 가스는, 산소 원자를 포함한 가스인 반도체 장치의 제조 방법이다.
제3 형태에 따르면, 흡착 보조 가스로서, 산소 원자를 포함한 가스를 사용함으로써, 기판 상에서의 원료 가스의 분해를 촉진하고, 이에 의해 원료 가스의 기판 표면에 흡착을 촉진하여, 성막 레이트를 향상시킬 수 있다.
제4 형태는, 제1 형태에 있어서, 상기 흡착 보조 가스는, 산소 가스, 수증기, 또는 수산기 래디컬인 반도체 장치의 제조 방법이다.
제4 형태에 따르면, 흡착 보조 가스로서 산소 가스, 수증기, 또는 수산기 래디컬을 사용함으로써, 기판 상에서의 원료 가스의 분해를 촉진하고, 이에 의하여 원료 가스의 기판 표면에 흡착을 촉진하여, 성막 레이트를 향상시킬 수 있다.
제5 형태는, 제1 형태에 있어서, 상기 반응 가스는, 상기 기판 상에 흡착한 상기 원료 가스와 반응하여 박막을 생성함과 동시에, 상기 기판의 표면을 청정화하는 가스인 반도체 장치의 제조 방법이다.
제5 형태에 따르면, 반응 가스로서 기판의 표면을 청정화하는 가스를 사용함으로써, 형성하는 박막 중에 불순물이 잔류하는 것을 방지하고, 박막의 전기적 특성을 향상시키고, 또한 박막의 기판에의 밀착성을 향상시킬 수 있다. 또한, 기판 표면이 청정화 됨으로써, 원료 가스가 기판 표면에 흡착하기 어려워지나, 원료 가스를 처리실 내에 공급하기 전에 흡착 보조 가스를 공급하여 기판 상에 흡착시킴으로써, 원료 가스 공급 전에, 기판 표면 상태를 원료 가스가 흡착하기 쉬운 상태로 바꿀 수 있다.
제6 형태는, 제1 형태에 있어서, 상기 반응 가스는, 상기 기판 상에 흡착한 상기 원료 가스와 반응하여 박막을 생성함과 동시에, 상기 기판 상에 흡착한 산소를 제거하거나, 상기 기판 상에 흡착한 산소를 산소 이외의 것과 치환하거나, 상기 기판 상에 흡착한 탄소를 제거하거나, 또는 상기 기판 상에 흡착한 상기 원료 가스 자체를 제거하는 가스인 반도체 장치의 제조 방법이다.
제6 형태에 따르면, 반응 가스로서, 기판 상에 흡착한 산소를 제거하든지, 기판 상에 흡착한 산소를 산소 이외의 것과 치환하든지, 기판 상에 흡착한 탄소를 제거하거나, 또는 상기 기판 상에 흡착한 상기 원료 가스 자체를 제거하는 가스를 사용함으로써, 형성하는 박막 중에 불순물이 잔류하는 것을 방지하고, 박막의 전기적 특성을 향상시키며, 또한 박막의 기판에의 밀착성을 향상시킬 수 있다. 또한, 이와 같은 반응 가스를 사용함으로써 기판 표면이 청정화되어, 원료 가스가 기판 표면에 흡착하기 어려워지나, 원료 가스를 처리실 내에 공급하기 전에 흡착 보조 가스를 공급하여 기판 상에 흡착시킴으로써, 원료 가스 공급 전에, 기판 표면 상태를 원료 가스가 흡착하기 쉬운 상태로 바꿀 수 있다.
제7 형태는, 제1 형태에 있어서, 상기 반응 가스는, 산소 원자를 포함하지 않는 가스인 반도체장치의 제조 방법이다.
제7 형태에 따르면, 반응 가스로서, 산소 원자를 포함하지 않는 가스를 사용함으로써, 형성하는 박막 중에 불순물이 잔류하는 것을 방지하고, 박막의 전기적 특성을 향상시키며, 또한 박막의 기판에 밀착성을 향상시킬 수 있다. 또한, 반응 가스로서 산소 원자를 포함하지 않는 가스를 사용함으로써, 원료 가스가 기판 표면에 흡착하기 어려워지나, 원료 가스를 처리실 내에 공급하기 전에 흡착 보조 가스를 공급하여 기판 상에 흡착시킴으로써, 원료 가스 공급 전에, 기판 표면 상태를 원료 가스가 흡착하기 쉬운 상태로 바꿀 수 있다.
제8 형태는, 제1 형태에 있어서, 상기 반응 가스는, 수소 원자를 포함한 가스, 질소 원자를 포함한 가스, 또는 아르곤 가스를 플라즈마에 의해 활성화한 가스인 반도체 장치의 제조 방법이다.
제8 형태에 따르면, 반응 가스로서 수소 원자를 포함한 가스, 질소 원자를 포함한 가스, 또는 아르곤 가스를 플라즈마에 의해 활성화한 가스를 사용함으로써, 형성하는 박막 중에 불순물이 잔류하는 것을 방지하고, 박막의 전기적 특성을 향상시키며, 또한 박막의 기판에 밀착성을 향상시킬 수 있다. 또한, 이와 같은 반응 가스를 사용함으로써 기판 표면이 청정화되고, 원료 가스가 기판 표면에 흡착하기 어려워지나, 원료 가스를 처리실 내에 공급하기 전에 흡착 보조 가스를 공급하여 기판 상에 흡착시킴으로써, 원료 가스 공급 전에, 기판 표면 상태를 원료 가스가 흡착하기 쉬운 상태로 바꿀 수 있다.
제9 형태는, 제1 형태에 있어서, 상기 반응 가스는, 수소 가스 또는 암모니아 가스를 플라즈마에 의해 활성화한 가스인 반도체 장치의 제조 방법이다.
제9 형태에 따르면, 반응 가스로서 수소 가스 또는 암모니아 가스를 플라즈마에 의해 활성화한 가스를 사용함으로써, 형성하는 박막 중에 불순물이 잔류하는 것을 방지하고, 박막의 전기적 특성을 향상시키며, 또한 박막의 기판에 밀착성을 향상시킬 수 있다. 또한, 이와 같은 반응 가스를 사용함으로써 기판 표면이 청정화되고, 원료 가스가 기판 표면에 흡착하기 어려워지나, 원료 가스를 처리실 내에 공급하기 전에 흡착 보조 가스를 공급하여 기판 상에 흡착시킴으로써 원료 가스 공급 전에, 기판 표면 상태를 원료 가스가 흡착하기 쉬운 상태로 바꿀 수 있다.
제10 형태는, 제1 형태에 있어서, 상기 박막을 형성하는 공정에서는, 상기 사이클을 복수 회 반복한 후, 상기 처리실 내에 상기 원료 가스와 산소 원자를 포함한 가스를 동시에 공급하여, 열 CVD법에 의해 상기 기판 상에 원하는 막두께의 박막을 형성하는 반도체 장치의 제조 방법이다.
제10 형태에 따르면, 열 CVD법을 사용하여 박막을 형성하기 전에, 제1 발명에 따른 반도체 장치의 제조 방법을 사용하여 하지막을 형성함으로써, 인큐베이션 타임의 발생을 억제할 수 있다. 또한, 도중부터 열 CVD법을 사용하여 박막을 형성함으로써, 더욱 성막 레이트를 올려 생산성을 향상시킬 수 있다.
제11 형태는, 제1 형태에 있어서, 상기 액체 원료는 루테늄 원자를 포함한 액체 원료이고, 상기 형성하는 박막은 루테늄 원자를 포함한 막인 반도체 장치의 제조 방법이다.
제11 형태와 같이, 액체 원료를 루테늄 원자를 포함한 액체 원료로 하고, 형성하는 박막을 루테늄 원자를 포함하는 박막으로 하는 경우에, 특히, 원료 가스가 기판 표면에 흡착하기 어려워진다는 문제가 생기지만, 원료 가스를 처리실 내에 공급하기 전에 흡착 보조 가스를 공급하여, 기판 상에 흡착시킴으로써, 원료 가스 공급 전에, 기판 표면의 상태를 원료 가스가 흡착하기 쉬운 상태로 바꿀 수 있다.
제12 형태는, 처리실 내에 기판을 반입하는 공정과, 상기 처리실 내에 액체 원료를 기화한 원료 가스의 배위자를 분해하는 배위자 분해 가스를 공급하여 상기 기판 상에 흡착시키는 공정과, 상기 처리실 내에 상기 원료 가스를 공급하여 상기 기판 상의 상기 배위자 분해 가스와 반응시켜 기판 상에 흡착시키는 공정과, 상기 처리실 내에 반응 가스를 공급하여 상기 기판 상에 흡착한 상기 원료 가스와 반응시키는 공정을 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 상기 기판 상에 원하는 막두께의 박막을 형성하는 공정과, 박막 형성 후의 상기 기판을 상기 처리실 내로부터 반출하는 공정을 갖는 반도체장치의 제조 방법이다.
제12 형태에 따르면, 원료 가스를 처리실 내에 공급하기 전에, 원료 가스의 배위자를 분해하는 가스를 공급하여 기판 상에 흡착해 두어, 원료 가스 공급 시에 있어서 기판 상에서의 원료 가스의 분해를 촉진하고, 이에 의해 원료 가스의 기판 표면에의 흡착을 촉진하여, 성막 레이트를 올릴 수 있다.
제13 형태는, 처리실 내에 기판을 반입하는 공정과, 상기 처리실 내에 산소 원자를 포함한 가스를 공급하여 상기 기판 상에 흡착시키는 공정과, 상기 처리실 내에 액체 원료를 기화한 원료 가스를 공급하여 상기 기판 상에 상기 산소 원자를 포함한 가스와 반응시켜 기판 상에 흡착시키는 공정과, 상기 처리실 내에 산소 원자를 포함하지 않는 반응 가스를 공급하여 상기 기판 상에 흡착한 상기 원료 가스 와 반응시키는 공정을 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 상기 기판 상에 원하는 막두께의 박막을 형성하는 공정과, 박막 형성 후의 상기 기판을 상기 처리실 내로부터 반출하는 공정을 갖는 반도체 장치의 제조 방법이다.
제13 형태에 따르면, 원료 가스를 처리실 내에 공급하기 전에, 산소 원자를 포함한 가스를 공급하여 기판 상에 흡착하여 둠으로써, 원료 가스 공급 시에 있어서 기판 상에서의 원료 가스의 분해를 촉진하고, 이에 의하여 원료 가스의 기판 표면에 흡착을 촉진하여, 성막 레이트를 올릴 수 있다.
제14 형태는, 처리실 내에 기판을 반입하는 공정과, 상기 처리실 내에 액체 원료를 기화한 원료 가스를 공급하여 상기 기판 상에 흡착시키는 공정과, 상기 처리실 내에 반응 가스를 공급하여 상기 기판 상에 흡착한 상기 원료 가스와 반응시키는 공정을 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 상기 기판 상에 소정 막두께의 박막을 형성하는 초기 성막 공정과, 상기 처리실 내에 상기 원료 가스의 상기 기판 상에의 흡착을 돕기 위한 흡착 보조 가스를 공급하여 상기 기판 상에 흡착시키는 공정과, 상기 처리실 내에 상기 원료 가스를 공급하여 상기 기판 상의 상기 흡착 보조 가스와 반응시켜 상기 기판 상에 흡착시키는 공정과, 상기 처리실 내에 상기 반응 가스를 공급하여 상기 기판 상에 흡착한 상기 원료 가스와 반응시키는 공정을 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 초기 성막 공정에 의해 형성한 상기 소정 막두께의 박막 상에 원하는 막두께의 박막을 형성하는 본성막 공정과, 상기 원하는 막두께의 박막 형성 후의 상기 기판을 상기 처리실 내로부터 반출하는 공정을 가진 반도체 장치의 제조 방법이다.
초기 성막 공정에 있어서는, 처리실 내에 원료 가스의 기판 상에의 흡착을 돕기 위한 흡착 보조 가스를 공급하지 않기 때문에, 흡착 보조 가스와, 박막을 형성하는 하지와의 반응을 방지할 수 있다. 또한, 본성막 공정에 있어서는, 처리실 내에 원료 가스를 공급하기 전에, 처리실 내에 흡착 보조 가스를 공급하여 기판 표면에 흡착하도록 해 둠으로써, 원료 가스의 기판 표면에 흡착을 촉진하고, 성막 레이트를 올려, 생산성을 향상시킬 수 있다.
제15 형태는, 기판을 처리하는 처리실과, 상기 처리실 내에 액체 원료를 기화한 원료 가스를 공급하기 위한 원료 가스 공급 라인과, 상기 처리실 내에 상기 원료 가스와 반응하는 반응 가스를 공급하기 위한 반응 가스 공급 라인과, 상기 처리실 내에 상기 원료 가스의 상기 기판 상에의 흡착을 돕기 위한 흡착 보조 가스를 공급하기 위한 흡착 보조 가스 공급 라인과, 상기 처리실 내에 상기 흡착 보조 가스를 공급하여 상기 기판 상에 흡착시킨 후, 상기 원료 가스를 상기 처리실 내에 공급하여 상기 기판 상의 상기 흡착 보조 가스와 반응시켜 상기 기판 상에 흡착시키고, 그 후 상기 반응 가스를 상기 처리실 내에 공급하여 상기 기판 상에 흡착한 상기 원료 가스와 반응시키고, 이를 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 상기 기판 상에 원하는 막두께의 박막을 형성하도록 제어하는 컨트롤러를 가지는 기판 처리 장치이다.
컨트롤러가, 흡착 보조 가스, 원료 가스 및 반응 가스를, 처리실 내에 순차 공급하도록 제어함으로써, 제1 형태의 반도체 장치의 제조 방법을 자동화할 수 있어, 작업은 한층 용이하게 된다.
한편, 컨트롤러가, 흡착 보조 가스, 원료 가스 및 반응 가스를, 처리실 내에 순차로 공급하도록 제어한 후에, 원료 가스와 산소 원자를 포함한 가스를 동시에 공급하도록 하면, 제10 형태의 반도체 장치의 제조 방법을 자동화할 수 있어, 이 경우에 있어서도 작업은 한층 용이하게 된다.
또한, 컨트롤러가, 원료 가스와 반응 가스를 처리실 내에 순차 공급하도록 제어한 후, 흡착 보조 가스, 원료 가스 및 반응 가스를 처리실 내에 순차로 공급하도록 하면, 제14 형태의 반도체 장치의 제조 방법을 자동화할 수 있어, 이 경우에 있어서도 작업은 한층 용이하게 된다.
본 발명에 따르면, 성막 레이트가 빠르고, 생산성이 높은 반도체 장치의 제조 방법 및 기판 처리 장치를 제공할 수 있다.

Claims (15)

  1. 처리실 내에 기판을 반입하는 공정과,
    상기 처리실 내에 액체 원료를 기화한 원료 가스의 상기 기판 상에의 흡착을 돕기 위한 흡착 보조 가스를 공급하여 상기 기판 상에 흡착시키는 공정과,
    상기 처리실 내에 상기 원료 가스를 공급하여 상기 기판 상에의 상기 흡착 보조 가스와 반응시켜 상기 기판 상에 흡착시키는 공정과, 상기 처리실 내에 반응 가스를 공급하여 상기 기판 상에 흡착한 상기 원료 가스와 반응시키는 공정
    을 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 상기 기판 상에 원하는 막두께의 박막을 형성하는 공정과,
    상기 박막 형성 후의 상기 기판을 상기 처리실 내로부터 반출하는 공정
    을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  2. 제 1항에 있어서, 상기 흡착 보조 가스는, 상기 원료 가스의 배위자를 분해하는 가스인 것을 특징으로 하는 반도체 장치의 제조 방법.
  3. 제 1항에 있어서, 상기 흡착 보조 가스는, 산소 원자를 포함한 가스인 것을 특징으로 하는 반도체 장치의 제조 방법.
  4. 제 1항에 있어서, 상기 흡착 보조 가스는, 산소 가스, 수증기, 또는 수산기 래디컬인 것을 특징으로 하는 반도체 장치의 제조 방법.
  5. 제 1항에 있어서, 상기 반응 가스는, 상기 기판 상에 흡착한 상기 원료 가스와 반응하여 박막을 생성함과 동시에, 상기 기판의 표면을 청정화하는 가스인 것을 특징으로 하는 반도체 장치의 제조 방법.
  6. 제 1항에 있어서, 상기 반응 가스는, 상기 기판 상에 흡착한 상기 원료 가스와 반응하여 박막을 생성함과 동시에, 상기 기판 상에 흡착한 산소를 제거하거나, 또는 상기 기판 상에 흡착한 산소를 산소 이외의 것으로 치환하거나, 또는 상기 기판 상에 흡착한 탄소를 제거하거나, 또는 상기 기판 상에 흡착한 상기 원료 가스 자체를 제거하는 가스인 것을 특징으로 하는 반도체 장치의 제조 방법.
  7. 제 1항에 있어서, 상기 반응 가스는, 산소 원자를 포함하지 않는 가스인 것을 특징으로 하는 반도체 장치의 제조 방법.
  8. 제 1항에 있어서, 상기 반응 가스는, 수소 원자를 포함한 가스, 질소 원자를 포함한 가스, 또는 아르곤 가스를 플라즈마에 의해 활성화한 가스인 것을 특징으로 하는 반도체 장치의 제조 방법.
  9. 제 1항에 있어서, 상기 반응 가스는, 수소 가스 또는 암모니아 가스를 플라 즈마에 의해 활성화한 가스인 것을 특징으로 하는 반도체장치의 제조 방법.
  10. 제 1항에 있어서, 상기 박막을 형성하는 공정에서는, 상기 사이클을 복수 회 반복한 후, 상기 처리실 내에 상기 원료 가스와 산소 원자를 포함한 가스를 동시에 공급하고, 열 CVD법에 의해 상기 기판 상에 원하는 막두께의 박막을 형성하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  11. 제 1항에 있어서, 상기 액체 원료는 루테늄 원자를 포함한 액체 원료이고, 상기 형성하는 박막은 루테늄 원자를 포함한 막인 것을 특징으로 하는 반도체 장치의 제조 방법.
  12. 처리실 내에 기판을 반입하는 공정과,
    상기 처리실 내에 액체 원료를 기화한 원료 가스의 배위자를 분해하는 배위자 분해 가스를 공급하여 상기 기판 상에 흡착시키는 공정과,
    상기 처리실 내에 상기 원료 가스를 공급하여 상기 기판 상에의 상기 배위자 분해 가스와 반응시켜 기판 상에 흡착시키는 공정과,
    상기 처리실 내에 반응 가스를 공급하여 상기 기판 상에 흡착한 상기 원료 가스와 반응시키는 공정
    을 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 상기 기판 상에 원하는 막두께의 박막을 형성하는 공정과,
    박막 형성 후의 상기 기판을 상기 처리실 내로부터 반출하는 공정
    을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  13. 처리실 내에 기판을 반입하는 공정과,
    상기 처리실 내에 산소 원자를 포함한 가스를 공급하여 상기 기판 상에 흡착시키는 공정과,
    상기 처리실 내에 액체 원료를 기화한 원료 가스를 공급하여 상기 기판 상의 상기 산소 원자를 포함한 가스와 반응시켜 기판 상에 흡착시키는 공정과,
    상기 처리실 내에 산소 원자를 포함하지 않는 반응 가스를 공급하여 상기 기판 상에 흡착한 상기 원료 가스와 반응시키는 공정
    을 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 상기 기판 상에 원하는 막두께의 박막을 형성하는 공정과,
    박막 형성 후의 상기 기판을 상기 처리실 내로부터 반출하는 공정
    을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  14. 처리실 내에 기판을 반입하는 공정과,
    상기 처리실 내에 액체 원료를 기화한 원료 가스를 공급하여 상기 기판 상에 흡착시키는 공정과, 상기 처리실 내에 반응 가스를 공급하여 상기 기판 상에 흡착한 상기 원료 가스와 반응시키는 공정을 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 상기 기판 상에 소정 막두께의 박막을 형성하는 초기 성막 공정과,
    상기 처리실 내에 상기 원료 가스의 상기 기판 상에의 흡착을 돕기 위한 흡착 보조 가스를 공급하여 상기 기판 상에 흡착시키는 공정과,
    상기 처리실 내에 상기 원료 가스를 공급하여 상기 기판 상의 상기 흡착 보조 가스와 반응시켜 상기 기판 상에 흡착시키는 공정과,
    상기 처리실 내에 상기 반응 가스를 공급하여 상기 기판 상에 흡착한 상기 원료 가스와 반응시키는 공정
    을 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 초기 성막 공정에 의해 형성한 상기 소정 막두께의 박막 상에 원하는 막두께의 박막을 형성하는 본 성막 공정과,
    상기 원하는 막두께의 박막 형성 후의 상기 기판을 상기 처리실 내로부터 반출하는 공정
    을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  15. 기판을 처리하는 처리실과,
    상기 처리실 내에 액체 원료를 기화한 원료 가스를 공급하기 위한 원료 가스 공급 라인과,
    상기 처리실 내에 상기 원료 가스와 반응하는 반응 가스를 공급하기 위한 반응 가스 공급 라인과,
    상기 처리실 내에 상기 원료 가스의 상기 기판 상에의 흡착을 돕기 위한 흡착 보조 가스를 공급하기 위한 흡착 보조 가스 공급 라인과,
    상기 처리실 내에 상기 흡착 보조 가스를 공급하여 상기 기판 상에 흡착시킨 후, 상기 원료 가스를 상기 처리실 내에 공급하여 상기 기판 상의 상기 흡착 보조 가스와 반응시켜 상기 기판 상에 흡착시키고, 그 후 상기 반응 가스를 상기 처리실 내에 공급하여 상기 기판 상에 흡착한 상기 원료 가스와 반응시키고, 이를 1 사이클로 하고, 이 사이클을 복수 회 반복하여, 상기 기판 상에 원하는 막두께의 박막을 형성하도록 제어하는 컨트롤러
    를 포함하는 것을 특징으로 하는 기판 처리 장치.
KR1020077030296A 2005-06-13 2006-06-13 반도체 장치의 제조 방법 및 기판 처리 장치 KR100960273B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005172405 2005-06-13
JPJP-P-2005-00172405 2005-06-13

Publications (2)

Publication Number Publication Date
KR20080012379A true KR20080012379A (ko) 2008-02-11
KR100960273B1 KR100960273B1 (ko) 2010-06-04

Family

ID=37532288

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077030296A KR100960273B1 (ko) 2005-06-13 2006-06-13 반도체 장치의 제조 방법 및 기판 처리 장치

Country Status (4)

Country Link
US (1) US8435905B2 (ko)
JP (1) JP4813480B2 (ko)
KR (1) KR100960273B1 (ko)
WO (1) WO2006134930A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150037464A (ko) * 2013-09-30 2015-04-08 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20180011746A (ko) * 2016-07-25 2018-02-02 도쿄엘렉트론가부시키가이샤 단층 막 매개된 정밀 막 퇴적

Families Citing this family (353)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5829060B2 (ja) * 2011-07-01 2015-12-09 株式会社アルバック 酸化膜エッチング装置、及び酸化膜エッチング方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) * 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
JP5764228B1 (ja) * 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
WO2018022510A1 (en) * 2016-07-25 2018-02-01 Tokyo Electron Limited Monolayer film mediated precision material etch
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
JP7189848B2 (ja) 2019-08-07 2022-12-14 株式会社東芝 半導体装置およびその製造方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7273086B2 (ja) * 2021-03-24 2023-05-12 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び基板処理装置
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20240056552A (ko) 2021-10-29 2024-04-30 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
DE10228103A1 (de) 2002-06-24 2004-01-15 Bayer Cropscience Ag Fungizide Wirkstoffkombinationen
KR100985363B1 (ko) * 2002-07-15 2010-10-04 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조방법 및 기판처리 장치
US6869876B2 (en) 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150037464A (ko) * 2013-09-30 2015-04-08 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US9064695B1 (en) 2013-09-30 2015-06-23 Hitachi Kokusai Electric Inc. Substrate processing apparatus, non-transitory computer-readable recording medium and method of manufacturing semiconductor device
US9502236B2 (en) 2013-09-30 2016-11-22 Hitachi Kokusai Electric Inc. Substrate processing apparatus, non-transitory computer-readable recording medium and method of manufacturing semiconductor device
KR20180011746A (ko) * 2016-07-25 2018-02-02 도쿄엘렉트론가부시키가이샤 단층 막 매개된 정밀 막 퇴적
US10340137B2 (en) 2016-07-25 2019-07-02 Tokyo Electron Limited Monolayer film mediated precision film deposition

Also Published As

Publication number Publication date
JP4813480B2 (ja) 2011-11-09
US8435905B2 (en) 2013-05-07
WO2006134930A1 (ja) 2006-12-21
US20090035947A1 (en) 2009-02-05
KR100960273B1 (ko) 2010-06-04
JPWO2006134930A1 (ja) 2009-01-08

Similar Documents

Publication Publication Date Title
KR100960273B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
KR100975268B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
JP4803578B2 (ja) 成膜方法
JP4559223B2 (ja) 半導体装置の製造方法及び基板処理装置
KR20070107143A (ko) 성막 장치 및 성막 방법
KR100989028B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
KR20120056293A (ko) 금속 산화막의 성막 방법, 산화 망간막의 성막 방법 및 컴퓨터 판독 가능한 기억 매체
KR101757515B1 (ko) 루테늄막의 형성 방법 및 기억 매체
US7435678B2 (en) Method of depositing noble metal electrode using oxidation-reduction reaction
JP4770145B2 (ja) 成膜方法及び成膜装置
JP2008205325A (ja) 半導体装置の製造方法、及び基板処理装置
KR101493130B1 (ko) 산화루테늄막의 성막 방법 및 기억 매체
JP2008075166A (ja) 半導体装置の製造方法、及び基板処理装置
KR101789864B1 (ko) 금속막의 성막 방법 및 기억 매체
JP2008075167A (ja) 半導体装置の製造方法、及び基板処理装置
JP2009299101A (ja) 半導体装置の製造方法および基板処理装置
JP2007059735A (ja) 半導体装置の製造方法および基板処理装置
JP2014158019A (ja) 半導体装置の製造方法、基板処理装置およびプログラム

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130503

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140502

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150416

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160418

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170421

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180503

Year of fee payment: 9