KR20150037464A - 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 - Google Patents

기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 Download PDF

Info

Publication number
KR20150037464A
KR20150037464A KR1020140025782A KR20140025782A KR20150037464A KR 20150037464 A KR20150037464 A KR 20150037464A KR 1020140025782 A KR1020140025782 A KR 1020140025782A KR 20140025782 A KR20140025782 A KR 20140025782A KR 20150037464 A KR20150037464 A KR 20150037464A
Authority
KR
South Korea
Prior art keywords
gas
process gas
plasma unit
supply
processing
Prior art date
Application number
KR1020140025782A
Other languages
English (en)
Other versions
KR101601661B1 (ko
Inventor
유키토모 히로치
카즈유키 토요다
카즈히로 모리미츠
타케토시 사토
테츠오 야마모토
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20150037464A publication Critical patent/KR20150037464A/ko
Application granted granted Critical
Publication of KR101601661B1 publication Critical patent/KR101601661B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 제1 처리 가스와 플라즈마화된 제2 처리 가스를 교호적으로 처리 용기에 공급하여 기판을 처리하는 기판 처리 장치로서, 상기 제1 처리 가스를 공급하는 제1 가스 공급계; 상기 제2 처리 가스를 공급하는 제2 가스 공급계; 상기 처리 용기의 상류에 배치되어 적어도 상기 제2 처리 가스를 플라즈마화하는 플라즈마 유닛; 및 상기 제1 처리 가스와 상기 제2 처리 가스가 교호적으로 공급되도록 상기 제1 가스 공급계와 상기 제2 가스 공급계를 제어하는 것과 함께, 상기 제2 처리 가스의 공급이 시작되기 전부터 상기 제2 처리 가스의 플라즈마화에 필요한 전력 인가를 실행하도록 상기 플라즈마 유닛을 제어하는 제어부;를 구비한다.

Description

기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체{SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM}
본 발명은 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체에 관한 것이다.
반도체 장치의 제조 공정에서는 플라즈마를 이용한 기판 처리 장치가 이용된다. 플라즈마를 이용한 기판 처리 장치로서 예컨대 특허문헌 1에 기재되는 바와 같이 매엽식(枚葉式)의 장치가 알려져 있다.
1. 일본 특개 2008-211211호 공보
플라즈마를 이용한 기판 처리 장치에서는 스루풋을 향상시키는 것과 함께 플라즈마 여기(勵起)된 처리 가스의 공급량이나 공급 시간을 정확하도록 규정하기 위해서 처리 가스의 흐름에 확실하게 동기화하여 플라즈마의 ON/OFF를 보다 고속으로 전환할 수 있는 것이 바람직하다.
본 발명은 처리 가스의 흐름에 확실하게 동기화하여 플라즈마의 ON/OFF를 보다 고속으로 전환할 수 있는 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체를 제공하는 데 있다.
본 발명의 일 형태에 의하면, 제1 처리 가스와 플라즈마화된 제2 처리 가스를 교호적으로 처리 용기에 공급하여 기판을 처리하는 기판 처리 장치에 있어서, 상기 제1 처리 가스를 공급하는 제1 가스 공급계; 상기 제2 처리 가스를 공급하는 제2 가스 공급계; 상기 처리 용기의 상류에 배치되어 적어도 상기 제2 처리 가스를 플라즈마화시키는 플라즈마 유닛; 및 상기 제1 처리 가스와 상기 제2 처리 가스가 교호(交互)적으로 공급되도록 상기 제1 가스 공급계와 상기 제2 가스 공급계를 제어하는 것과 함께, 상기 제2 처리 가스의 공급이 시작되기 전부터 상기 제2 처리 가스의 플라즈마화에 필요한 전력 인가를 실행하도록 상기 플라즈마 유닛을 제어하는 제어부;를 구비하는 기판 처리 장치가 제공된다.
또한 본 발명의 일 형태에 의하면, 제1 처리 가스와, 플라즈마 유닛에 의해 플라즈마화된 제2 처리 가스를 처리 용기에 교호적으로 공급하여 기판을 처리하는 반도체 장치의 제조 방법으로서, 상기 제2 처리 가스가 상기 플라즈마 유닛에 공급되지 않을 때에 상기 플라즈마 유닛에서 상기 제2 처리 가스의 플라즈마화에 필요한 전력 인가를 시작하는 공정; 및 상기 플라즈마 유닛에서 상기 전력 인가가 실행되는 상태에서 상기 제2 처리 가스의 공급을 시작하는 공정;을 포함하는 반도체 장치의 제조 방법이 제공된다.
또한 본 발명의 일 형태에 의하면, 제1 처리 가스를 기판의 처리 용기에 공급하는 제1 공정; 불활성 가스를 플라즈마 유닛의 하류로부터 상기 처리 용기에 공급하는 제2 공정; 상기 플라즈마 유닛에서 플라즈마화된 제2 처리 가스를 상기 처리 용기를 향하여 공급하는 제3 공정; 및 불활성 가스를 상기 플라즈마 유닛의 하류로부터 상기 처리 용기에 공급하는 제4 공정;을 포함하고, 상기 제3 공정에서는 상기 제2 공정이 종료되기 전에 상기 플라즈마화된 제2 처리 가스의 공급을 시작하는 것과 함께 상기 제4 공정이 시작된 후에 상기 플라즈마화된 제2 처리 가스의 공급을 종료하는 반도체 장치의 제조 방법이 제공된다.
또한 본 발명의 다른 형태에 의하면, 제1 처리 가스를 기판의 처리 용기에 공급하는 제1 공정; 상기 플라즈마 유닛에 의해 플라즈마화된 제2 처리 가스를 상기 처리 용기에 공급하는 제2 공정; 및 상기 제2 공정을 제외한 공정에서 상기 기판의 처리에 기여하지 않는 비처리 가스를 상기 플라즈마 유닛에 공급하는 것에 의해 상기 플라즈마 유닛에서 플라즈마를 생성시키는 제3 공정;을 포함하는 반도체 장치의 제조 방법이 제공된다.
또한 본 발명의 다른 형태에 의하면, 제1 처리 가스와, 플라즈마 유닛에 의해 플라즈마화된 제2 처리 가스를 처리 용기에 교호적으로 공급하여 기판을 처리하기 위한 프로그램이 격납된 컴퓨터 판독 가능한 기록 매체에 있어서, 상기 제2 처리 가스가 상기 플라즈마 유닛에 공급되지 않을 때에 상기 플라즈마 유닛에서 상기 제2 처리 가스의 플라즈마화에 필요한 전력 인가를 시작하는 순서; 및 상기 플라즈마 유닛에서 상기 전력 인가가 실행되는 상태에서 상기 제2 처리 가스의 공급을 시작하는 순서;를 컴퓨터에 실행시키는 프로그램이 격납된 컴퓨터 판독 가능한 기록 매체가 제공된다.
본 발명에 따른 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체에 의하면, 처리 가스의 흐름에 확실하게 동기화하여 플라즈마의 ON/OFF를 보다 고속으로 전환할 수 있다.
도 1은 본 발명의 제1 실시 형태에 따른 기판 처리 장치를 도시하는 도면.
도 2는 본 발명의 제1 실시 형태에 따른 기판 처리 공정을 도시하는 플로우 차트.
도 3은 본 발명의 제1 실시 형태에 따른 성막 공정을 도시하는 플로우 차트.
도 4는 도 3에 도시하는 성막 공정의 타임 차트.
도 5는 도 3에 도시하는 성막 공정에서의 플라즈마 착화의 타이밍을 도시하는 타임 차트.
도 6은 본 발명의 제2 실시 형태에 따른 기판 처리 장치를 도시하는 도면.
도 7은 본 발명의 제3 실시 형태에 따른 성막 공정을 도시하는 타임 차트.
도 8은 본 발명의 제4 실시 형태에 따른 기판 처리 장치를 도시하는 도면.
도 9는 본 발명의 제4 실시 형태에 따른 성막 공정을 도시하는 플로우 차트.
도 10은 도 9에 도시하는 성막 공정의 타임 차트.
도 11은 종래 기술에 따른 성막 공정을 도시하는 타임 차트.
도 12는 도 11에 도시하는 성막 공정에서의 플라즈마 착화의 타이밍을 도시하는 타임 차트.
<제1 실시 형태>
이하, 본 발명의 제1 실시 형태에 대하여 설명한다.
(1) 기판 처리 장치의 구성
우선 본 발명의 제1 실시 형태에 따른 기판 처리 장치에 대하여 설명한다.
도 1은 본 발명의 제1 실시 형태에 따른 기판 처리 장치(100)를 도시하는 도면이다. 기판 처리 장치(100)는 도시와 같이 매엽식의 기판 처리 장치로서 구성된다.
기판 처리 장치(100)는 처리 용기(202)를 구비한다. 처리 용기(202)는 예컨대 횡단면(橫斷面)이 원형이며 편평한 밀폐 용기로서 구성된다. 또한 처리 용기(202)는 예컨대 알루미늄(Al)이나 스텐레스(SUS) 등의 금속 재료에 의해 구성된다. 처리 용기(202) 내에는 기판으로서의 실리콘 웨이퍼 등의 웨이퍼(200)를 처리하는 처리 공간(201)과, 반송 공간(203)이 형성된다. 처리 용기(202)는 상부 용기(202a)와 하부 용기(202b)로 구성된다. 상부 용기(202a)와 하부 용기(202b) 사이에는 칸막이 판(204)이 설치된다. 상부 용기(202a)에 둘러싸인 공간이며 칸막이 판(204)보다 상방(上方)의 공간이 처리 공간(201)이고, 하부 용기(202b)에 둘러싸여진 공간이며 칸막이 판보다 하방(下方)의 공간이 반송 공간(203)이다.
하부 용기(202b)의 측면에는 게이트 밸브(205)에 인접한 기판 반입출구(206)가 설치되고, 웨이퍼(200)는 기판 반입출구(206)를 개재하여 도시되지 않는 반송실과의 사이를 이동한다. 하부 용기(202b)의 저부(底部)에는 리프트 핀(207)이 복수 설치된다.
처리 공간(201) 내에는 웨이퍼(200)를 지지하는 기판 지지부(210)가 설치된다. 기판 지지부(210)는 웨이퍼(200)를 재치(載置)하는 재치면(211)과, 재치면(211)을 표면에 가지는 기판 재치대(212)와, 기판 재치대(212)에 내포된 가열원(源)으로서의 히터(213)를 주로 구비한다. 기판 재치대(212)에는 리프트 핀(207)이 관통하는 복수의 관통공(214)이 리프트 핀(207)의 각각에 대응하는 위치에 설치된다.
기판 재치대(212)는 샤프트(217)에 의해 지지된다. 샤프트(217)는 처리 용기(202)의 저부를 관통하고, 또한 처리 용기(202)의 외부에서 승강 기구(218)에 접속된다. 승강 기구(218)를 작동시켜서 샤프트(217) 및 기판 재치대(212)를 승강시키는 것에 의해 기판 재치면(211) 상에 재치되는 웨이퍼(200)를 승강시키는 것이 가능하도록 이루어진다. 또한 샤프트(217)의 하부의 주위는 벨로스(219)에 의해 피복되고, 이에 의해 처리 공간(201) 내는 기밀하도록 보지(保持)된다.
기판 재치대(212)는 웨이퍼(200) 반송 시에는 기판 재치면(211)이 기판 반입출구(206)와 마찬가지의 높이(웨이퍼 반송 위치)까지 하강하고, 웨이퍼(200) 처리 시에는 도 1에 도시되는 바와 같이 웨이퍼(200)가 처리 공간(201) 내의 처리 위치(웨이퍼 처리 위치)까지 상승한다.
구체적으로는 기판 재치대(212)를 웨이퍼 반송 위치까지 하강시켰을 때에는 리프트 핀(207)의 상단부가 기판 재치면(211)의 상면으로부터 돌출하고, 리프트 핀(207)이 웨이퍼(200)를 하방으로부터 지지한다. 또한 기판 재치대(212)를 웨이퍼 처리 위치까지 상승시켰을 때에는 리프트 핀(207)은 기판 재치면(211)의 상면으로부터 매몰하고, 기판 재치면(211)이 웨이퍼(200)를 하방으로부터 지지한다. 또한 리프트 핀(207)은 웨이퍼(200)와 접촉하기 위해서 예컨대 석영이나 알루미나 등의 재질로 형성하는 것이 바람직하다.
〔배기계〕
처리 공간(201)[상부 용기(202a)]의 내벽 측면에는 처리 공간(201)의 분위기를 배기하는 배기구(221)가 설치된다. 배기구(221)에는 배기관(222)이 접속되고, 배기관(222)에는 처리 공간(201) 내를 소정의 압력으로 제어하는 APC(Auto Pressure Controller) 등의 압력 조정기(223)와 진공 펌프(224)가 순서대로 직렬로 접속된다. 주로 배기구(221), 배기관(222), 압력 조정기(223), 진공 펌프(224)에 의해 배기계(220)가 구성된다.
〔가스 도입구〕
처리 공간(201)의 상부에 설치되는 후술의 샤워 헤드(230)의 상면(천정 벽)에는 처리 공간(201) 내에 각종 가스를 공급하기 위한 가스 도입구(241)가 설치된다. 가스 도입구(241)에는 가스 공급계가 접속된다. 이 가스 공급계의 구성에 대해서는 후술한다.
〔샤워 헤드〕
가스 도입구(241)와 처리 공간(201) 사이에는 가스 분산 기구로서의 샤워 헤드(230)가 설치된다. 가스 도입구(241)는 샤워 헤드(230)의 개체(蓋體)(231)에 접속된다. 가스 도입구(241)로부터 도입되는 가스는 개체(231)에 설치된 공(孔)(231a)을 개재하여 샤워 헤드(230)의 버퍼 공간(232)에 공급된다.
샤워 헤드의 개체(231)는 예컨대 금속으로 형성된다. 개체(231)와 상부 용기(202a) 사이에는 절연(絶緣) 블록(233)이 설치되고, 개체(231)와 상부 용기(202a) 사이를 절연한다.
샤워 헤드(230)에서 버퍼 공간(232)과 처리 공간(201) 사이에는 가스 도입구(241)로부터 도입되는 가스를 분산시키기 위한 분산 판(234)이 설치된다. 분산 판(234)은 기판 재치면(211)과 대향하도록 배치된다. 또한 분산 판(234)에는 복수의 관통공(234a)이 형성된다.
버퍼 공간(232)에는 공급된 가스의 흐름을 형성하는 가스 가이드(235)가 설치된다. 가스 가이드(235)는 공(231a)을 정점으로 하여 분산 판(234)에 근접함에 따라 지름이 커지는 원추 형상으로 이루어진다.
버퍼 공간(232)의 측방에는 배기관(236)이 접속된다. 배기관(236)에는 배기의 ON/OFF를 전환하는 밸브(237), 배기 버퍼 공간(232) 내를 소정의 압력으로 제어하는 APC(Auto Pressure Controller) 등의 압력 조정기(238)와 진공 펌프(239)가 순서대로 직렬로 접속된다.
〔가스 공급계〕
전술한 바와 같이 가스 도입 공(241)에는 가스 공급계가 접속된다. 가스 공급계는 공통 가스 공급관(242), 제1 가스 공급계(243), 제2 가스 공급계(244), 제3 가스 공급계(245) 및 리모트 플라즈마 유닛(RPU)(250)을 구비한다. 가스 도입 공(241)에는 공통 가스 공급관(242)이 접속되고, 공통 가스 공급관(242)에는 제1 가스 공급계(243)와 제3 가스 공급계(245)가 접속되는 것과 함께 리모트 플라즈마 유닛(250)을 개재하여 제2 가스 공급계(244)가 접속된다.
〔제1 가스 공급계(243)〕
제1 가스 공급계(243)의 가스 공급관(243a)에는 상류 방향부터 순서대로 가스 공급원(243b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(243c), 개폐 밸브인 밸브(243d)가 설치된다.
가스 공급원(243b)에는 제1 원소를 함유하는 가스(이하, 「제1 원소 함유 가스」)가 저장된다. 제1 원소 함유 가스는 가스 공급관(243a)에 설치된 매스 플로우 컨트롤러(243c)와 밸브(243d)를 통과하여 공통 가스 공급관(242)에 유입되고, 또한 샤워 헤드(230)를 개재하여 처리 용기(202)에 공급된다.
제1 원소 함유 가스는 원료 가스, 즉 처리 가스 중 하나이다. 여기서 제1 원소는 예컨대 금속 원소이며, 제1 원소 함유 가스는 금속 함유 가스이다. 본 실시 형태에서는 금속 원소로서 티타늄(Ti)을 이용한다. 티타늄 함유 가스로서는 예컨대 TDMAT(Tetrakis-Dimethyl-Amino-Titanium: Ti[N(CH3)2]4) 가스를 이용할 수 있다. 또한 TDMAT는 액체 원료이며, 예컨대 가스 공급원(243b)의 구성 요소로서 기화기(도시되지 않음)를 설치하고, 상기 기화기로 액체 원료를 기화시키는 것에 의해 기체 원료로서 이용할 수 있다.
또한 티타늄 함유 가스로서는 TiCl4 등을 이용해도 좋다. 또한 금속 원소는 티타늄에 한정되지 않고, 텅스텐(W), 탄탈(Ta), 지르코늄(Zr), 하프늄(Hf), 루테늄(Ru), 코발트(Co), 니켈(Ni) 등 다른 원소이어도 좋다. 또한 제1 원소 함유 가스는 금속 함유 가스에 한정되지 않고, 실리콘 함유 가스 등이어도 좋다.
〔제2 가스 공급계(244)〕
제2 가스 공급계(244)의 가스 공급관(244a)에는 상류 방향부터 순서대로 가스 공급원(244b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(244c), 개폐 밸브인 밸브(244d)가 설치된다.
가스 공급원(243b)에는 제2 원소를 함유하는 가스(이하, 「제2 원소 함유 가스」)가 저장된다. 제2 원소 함유 가스는 가스 공급관(244a)에 설치된 매스 플로우 컨트롤러(244c)와 밸브(244d)를 통과하여 리모트 플라즈마 유닛(250)에 공급된다. 리모트 플라즈마 유닛(250)에 공급된 제2 원소 함유 가스는 리모트 플라즈마 유닛(250)을 통과할 때에 플라즈마 여기된다. 플라즈마 여기된 제2 원소 함유 가스는 공통 가스 공급관(242)에 유입되고, 또한 샤워 헤드(230)를 개재하여 처리 용기(202)에 공급된다.
제2 원소 함유 가스는 처리 가스 중 하나이다. 또한 제2 원소 함유 가스는 반응 가스 또는 개질 가스로서 생각해도 좋다.
여기서 제2 원소 함유 가스는 산화제로서의 산소 함유 가스이며, 산소 원소(O)를 포함한다. 본 실시 형태에서는 산소 함유 가스로서 산소(O2) 가스를 이용한다. 또한 제2 원소 함유 가스는 산소 함유 가스에 한정되지 않고, 질화제로서의 질소 함유 가스, 예컨대 암모니아(NH3)이어도 좋다. 또한 제2 원소 함유 가스로서는 플라즈마에 의해 활성화될 수 있는 다른 가스도 이용할 수 있다.
〔제3 가스 공급계(245)〕
제3 가스 공급계(245)의 가스 공급관(245a)에는 상류 방향부터 순서대로 가스 공급원(245b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(245c), 개폐 밸브인 밸브(245d)가 설치된다.
가스 공급원(245b)에는 불활성 가스가 저장된다. 불활성 가스는 가스 공급관(245a)에 설치된 매스 플로우 컨트롤러(245c)와 밸브(245d)를 통과하여 공통 가스 공급관(242)에 유입되고, 또한 샤워 헤드(230)를 개재하여 처리 용기(202)에 공급된다.
본 실시 형태에서는 불활성 가스로서 질소(N2) 가스를 이용한다. 또한 불활성 가스로서는 N2가스 외에 예컨대 헬륨(He) 가스, 네온(Ne) 가스, 아르곤(Ar) 가스 등의 희가스를 이용할 수 있다.
〔리모트 플라즈마 유닛(250)〕
리모트 플라즈마 유닛(250)으로서는 예컨대 ICP(Inductively Coupled Plasma)장치를 이용할 수 있다.
ICP장치는 유전 코일과, 이에 전력을 공급하는 고주파 전원 등으로 구성되고, 유전 코일에 고주파 전원으로부터 전력을 공급하였을 때에 리모트 플라즈마 유닛(250)의 임피던스 매칭이 되어 있으면[예컨대 리모트 플라즈마 유닛(250)의 임피던스가 50Ω 또는 그 근방의 값이라면] 플라즈마가 생성(착화)되어 리모트 플라즈마 유닛(250)에 공급되는 가스가 플라즈마화된다. 리모트 플라즈마 유닛(250)의 매칭 상태(임피던스)는 리모트 플라즈마 유닛(250) 내의 공간의 가스 분위기[가스종(種)이나 압력 등]에 따라 달라진다. 또한 리모트 플라즈마 유닛(250)으로서는 ICP장치에 한정되지 않고, ECR(Electron Cyclotron Resonance)장치나 CCP(Capacitively Coupled Plasma) 등을 이용해도 좋다.
〔컨트롤러〕
기판 처리 장치(100)는 기판 처리 장치(100)의 각(各) 부(部)의 동작을 제어하는 컨트롤러(260)를 포함한다. 컨트롤러(260)는 연산부(261) 및 기억부(262)를 적어도 포함한다. 컨트롤러(260)는 상기 컨트롤러나 이용자의 지시에 따라 기억부로부터 프로그램이나 레시피를 호출하여 그 내용에 따라 각 구성을 제어한다.
또한 컨트롤러(260)는 전용의 컴퓨터로서 구성해도 좋고, 범용의 컴퓨터로서 구성해도 좋다. 예컨대 전술한 프로그램을 저장한 외부 기억 장치(263)[예컨대 자기(磁氣) 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광(光)디스크, MO 등의 광자기 디스크, USB메모리(USB Flash Drive)나 메모리 카드 등의 반도체 메모리]를 준비하고, 외부 기억 장치(263)를 이용하여 범용의 컴퓨터에 프로그램을 인스톨하는 것에 의해 본 실시 형태에 따른 컨트롤러(260)를 구성할 수 있다.
또한 컴퓨터에 프로그램을 공급하기 위한 수단은 외부 기억 장치(263)를 개재하여 공급하는 경우에 한정되지 않는다. 예컨대 인터넷이나 전용 회선 등의 통신 수단을 이용하여 외부 기억 장치(263)를 개재하지 않고 프로그램을 공급해도 좋다. 또한 기억부(262)나 외부 기억 장치(263)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여 단순히 기록 매체라고도 부른다. 또한 본 명세서에서 기록 매체라는 단어를 이용한 경우는 기억부(262)만을 포함하는 경우, 외부 기억 장치(263)만을 포함하는 경우, 또는 그 양방을 포함하는 경우가 있다.
(2) 기판 처리 공정
다음으로 기판 처리 장치(100)로 웨이퍼(200) 상에 박막을 형성하는 공정에 대하여 설명한다.
도 2는 본 발명의 제1 실시 형태에 따른 기판 처리 공정을 도시하는 플로우 차트다. 또한 이하의 설명에서 기판 처리 장치(100)를 구성하는 각 부의 동작은 컨트롤러(260)에 의해 제어된다.
본 실시 형태에서는 전술한 TDMAT가스와 플라즈마화된 O2가스를 교호적으로 공급하여 웨이퍼(200) 상에 고유전율 절연막인 TiO2막을 형성하는 예에 대하여 설명한다. 또한 웨이퍼(200) 상에는 미리 소정의 막이 형성되어도 좋다. 또한 웨이퍼(200) 또는 소정의 막에는 미리 소정의 패턴이 형성되어도 좋다.
〔기판 반입·재치 공정(S102)〕
우선, 기판 처리 장치(100)에서 기판 재치대(212)를 웨이퍼(200)의 반송 위치까지 하강시키는 것에 의해 기판 재치대(212)의 관통공(214)에 리프트 핀(207)을 관통시킨다. 그 결과, 리프트 핀(207)이 기판 재치대(212)의 표면보다 소정의 높이만큼만 돌출한 상태가 된다. 계속해서 게이트 밸브(205)를 열고, 도시되지 않는 웨이퍼 이재기를 이용하여 처리 용기(202)의 반송 공간(203)에 웨이퍼(200)를 반입하고, 리프트 핀(207) 상에 웨이퍼(200)를 이재한다. 이에 의해 웨이퍼(200)는 기판 재치대(212)의 표면으로부터 돌출한 리프트 핀(207) 상에 수평 자세로 지지된다.
반송 공간(203)에 웨이퍼(200)를 반입한 후, 웨이퍼 이재기를 처리 용기(202) 외로 퇴피시키고, 게이트 밸브(205)를 닫고 처리 용기(202)를 밀폐한다. 그 후, 기판 재치대(212)를 상승시키는 것에 의해 기판 재치대(212)의 기판 재치면(211) 상에 웨이퍼(200)를 재치한다.
또한 웨이퍼(200)를 반송 공간(203)에 반입할 때에는 배기계에 의해 처리 용기(202) 내를 배기하면서 제3 가스 공급계로부터 처리 용기(202) 내에 불활성 가스로서의 N2가스를 공급하는 것이 바람직하다. 즉 진공 펌프(224)를 작동시키는 것과 함께 APC밸브(223)를 열어 처리 용기(202) 내를 배기하는 상태에서 적어도 제3 가스 공급계의 밸브(245d)를 여는 것에 의해, 처리 용기(202) 내에 N2가스를 공급하는 것이 바람직하다. 이에 의해 처리 용기(202)로의 파티클의 침입이나, 웨이퍼(200) 상으로의 파티클의 부착을 억제하는 것이 가능해진다. 또한 진공 펌프(224)는 적어도 기판 반입·재치 공정(S102) 내지 후술하는 기판 반출 공정(S106)까지의 사이는 항상 작동시킨 상태로 한다.
웨이퍼(200)를 기판 재치대(212) 상에 재치할 때에는 기판 재치대(212)의 내부에 매립된 히터(213)에 전력을 공급하여 웨이퍼(200)의 표면을 소정의 온도로 제어한다. 웨이퍼(200)의 온도는 예컨대 실온 이상, 500℃ 이하이며, 바람직하게는 실온 이상, 400℃ 이하다. 히터(213)의 온도는 도시되지 않는 온도 센서에 의해 검출된 온도 정보에 기초하여 히터(213)로의 통전을 제어하는 것에 의해 조정된다.
〔성막 공정(S104)〕
다음으로 성막(박막 형성) 공정(S104)을 수행한다. 성막 공정(S104)에 대해서는 후술한다.
〔기판 반출 공정(S106)〕
다음으로 기판 재치대(212)를 하강시켜, 기판 재치대(212)의 표면으로부터 돌출시킨 리프트 핀(207) 상에 웨이퍼(200)를 지지시킨다. 그 후, 게이트 밸브(205)를 열고, 웨이퍼 이재기를 이용하여 웨이퍼(200)를 처리 용기(202) 외로 반출한다.
〔처리 횟수 판단 공정(S108)〕
웨이퍼(200)를 반출한 후, 성막 공정이 소정 횟수 실시되었는지에 대한 여부를 판단한다. 성막 공정이 소정 횟수 실시되었다고 판단된 경우, 기판 처리 공정을 종료한다. 또한 기판 처리 공정을 종료하기 전에 클리닝 공정을 실시해도 좋다. 한편, 성막 공정을 소정 횟수 실시하지 않았다고 판단된 경우, 다음으로 대기 중인 웨이퍼(200)의 처리를 시작하기 위해서 기판 반입·재치 공정(S102)으로 돌아간다.
(3) 성막 공정
다음으로 성막 공정(S104)에 대하여 설명한다.
도 3은 본 발명의 제1 실시 형태에 따른 성막 공정을 도시하는 플로우 차트이며, 도 2에 도시하는 플로우 차트에서의 성막 공정의 상세 플로우 차트다. 이하, 성막 공정(S104)의 상세에 대하여 도 3을 참조하여 설명한다.
〔리모트 플라즈마 유닛 ON 공정(S200)〕
각종 가스의 공급에 앞서 리모트 플라즈마 유닛(250)을 ON으로 한다. 여기서 리모트 플라즈마 유닛(250)의 「ON」이란 리모트 플라즈마 유닛(250)에서 고주파 전원으로부터 유전 코일에 일정한 전력을 인가하는 것을 의미한다. 또한 일정한 전력이란 구체적으로는 O2가스를 플라즈마화(플라즈마 착화)시키는 데 필요한 전력이다. 리모트 플라즈마 유닛(250)이 ON일 때, 리모트 플라즈마 유닛(250)의 임피던스 매칭이 되어 있으면 플라즈마가 착화하고, 임피던스 매칭이 되어 있지 않으면 플라즈마는 착화하지 않는다.
여기서 리모트 플라즈마 유닛(250)에서 임피던스 매칭이 되어 플라즈마가 실제로 착화하여 생성되는지에 대한 여부는 전력이 일정하면 가스종이나 가스 유량, 리모트 플라즈마 유닛(250) 내의 공간의 압력, 온도 등에 의존한다. 본 발명에서는 리모트 플라즈마 유닛(250) 내의 공간에 O2가스가 공급될(통과할) 때에만 임피던스 매칭되어 플라즈마가 생성되도록 하였다. 즉 리모트 플라즈마 유닛(250)이 ON일 때에, TDMAT가스가 리모트 플라즈마 유닛(250)을 통과하면 임피던스 매칭이 되지 않아 플라즈마가 생성되지 않고, O2가스가 통과하면 임피던스 매칭이 되어 플라즈마가 생성되도록 TDMAT가스와 O2가스의 공급 조건이 설정된다. 이 공급 조건에는 적어도 유량이 포함되고, 보다 바람직하게는 리모트 플라즈마 유닛(250) 내의 공간의 압력에 영향을 미치는 그 외 파라미터[처리 공간(201)의 압력 등] 및 온도 등이 포함된다. 또한 TDMAT가스가 공급되어도 플라즈마가 생성되지 않고, O2가스가 공급되었을 때에만 플라즈마가 생성되도록 리모트 플라즈마 유닛(250)의 인가 전력 등을 설정해도 좋다.
〔제1 처리 가스 공급 공정(S202)〕
웨이퍼(200)를 가열하여 원하는 온도에 달하면, 밸브(243d)를 열고, 처리 용기(202)의 처리 공간(201) 내에 TDMAT가스의 공급을 시작한다.
이 때, TDMAT가스의 유량이 소정의 유량이 되도록 매스 플로우 컨트롤러(243c)를 조정한다. 또한 TDMAT가스의 공급 유량은 예컨대 1sccm 이상, 100sccm 이하다. 또한 APC밸브(223)의 개도(開度)를 조정하는 것에 의해 처리 용기(202) 내의 압력을 소정의 압력으로 제어한다. 또한 제1 가스 공급계(243)에 불활성 가스 공급계를 접속하여, TDMAT가스와 함께 캐리어 가스로서 N2가스를 흘려도 좋다. 또한 기화한 TDMAT가 액화하지 않도록 제1 가스 공급계(243)를 소정 온도로 제어하여 TDMAT가스를 소정의 기화 온도로 유지해도 좋다.
또한 제1 가스 공급계(243)는 리모트 플라즈마 유닛(250)보다 하류에 배치되지만, 만일 공통 가스 공급관(242)을 개재하여 TDMAT가스가 리모트 플라즈마 유닛(250) 내에 확산되더라도 전술한 바와 같이 리모트 플라즈마 유닛(250) 내에서 TDMAT가스는 플라즈마화되지 않는다.
처리 용기(202)에 공급된 TDMAT가스는 웨이퍼(200) 상에 공급된다. 웨이퍼(200) 표면 상에는 TDMAT가스가 접촉되는 것에 의해 「제1 원소 함유층」으로서의 금속 함유층(티타늄 함유층)이 형성된다.
금속 함유층은 예컨대 처리 용기(202) 내의 압력, TDMAT가스의 유량, 서셉터(217)의 온도, TDMAT가스가 처리 공간(201)을 통과하는 데 걸리는 시간(처리 시간) 등에 따라 소정의 두께 및 소정의 분포로 형성된다.
TDMAT가스가 소정 시간 공급되면, 밸브(243d)를 열고 TDMAT가스의 공급을 종료한다.
〔퍼지 공정(S204)〕
제1 처리 가스 공급 공정(S202) 후, 밸브(245d)를 열고 N2가스를 처리 용기(202)의 처리 공간(201)에 공급한다. 이 때 전술한 바와 같이 진공 펌프(224)와 APC밸브(223)의 동작에 의해 처리 용기(202) 내가 배기된다. 이에 의해 처리 용기(202)에 공급된 N2가스는 제1 처리 가스 공급 공정(S202)에서 공급된 잉여적인(성막에 기여하지 않은) TDMAT가스를 웨이퍼(200) 상으로부터 제거하면서 처리 용기(202)로부터 배출시킨다. 또한 밸브(237)를 여는 것과 함께 압력 조정기(237)와 진공 펌프(238)를 제어하는 것에 의해 샤워 헤드(230) 내에 잔류한 TDMAT가스도 제거된다. 그리고 소정 시간 퍼지를 실행하면, 밸브(245d)를 열고 N2가스의 공급을 정지하는 것과 함께 밸브(237)를 열고 샤워 헤드(203)와 진공 펌프(239) 사이를 차단한다. 또한 N2가스의 공급 유량은 예컨대 0.1sccm 이상, 10sccm 이하다.
또한 N2가스의 공급 조건은 리모트 플라즈마 유닛(250)이 ON일 때에 N2가스가 리모트 플라즈마 유닛(250)을 통과하더라도 플라즈마가 생성되지 않도록 설정된다. 제3 가스 공급계(245)는 리모트 플라즈마 유닛(250)보다 하류에 배치되지만, 만일 공통 가스 공급관(242)을 개재하여 N2가스가 리모트 플라즈마 유닛(250) 내에 확산되더라도 N2가스의 공급 조건이 전술한 바와 같이 설정되므로 리모트 플라즈마 유닛(250) 내에서 N2가스가 플라즈마화되지 않는다.
〔제2 처리 가스 공급 공정(S206)〕
퍼지 공정(S204) 후, 밸브(244d)를 열고, 리모트 플라즈마 유닛(250)으로 O2가스를 플라즈마 여기시키고, 이 플라즈마화된 O2가스를 처리 공간(201) 내에 공급한다.
이 때 O2가스의 유량이 소정의 유량이 되도록 매스 플로우 컨트롤러(244c)를 조정한다. 또한 O2가스의 공급 유량은 예컨대 0.1sccm 이상, 10sccm으로 설정된다. 또한 APC밸브(223)의 개도를 적절히 조정하는 것에 의해 처리 용기(202) 내의 압력을 소정의 압력으로 제어한다. 또한 제2 가스 공급계(244)에 불활성 가스 공급계를 접속하여 O2가스와 함께 캐리어 가스로서 N2가스를 흘려도 좋다.
전술한 리모트 플라즈마 유닛 ON공정(S200)에서 이미 리모트 플라즈마 유닛(250)이 ON으로 설정되고, O2가스의 플라즈마화에 필요한 전력 인가가 시작되었기 때문에, O2가스가 리모트 플라즈마 유닛(250)에 공급되어 임피던스 매칭이 되면 신속하게 플라즈마가 착화하여 생성된다.
리모트 플라즈마 유닛(250)에 의해 플라즈마화된 O2가스는 샤워 헤드(230)를 개재하여 웨이퍼(200) 상에 공급된다. 이미 형성된 금속 함유층(티타늄 함유층)이 이 O2가스의 플라즈마에 의해 개질(산화)되는 것에 의해 웨이퍼(200) 상에는 금속산화막(TiO2막)이 형성된다.
개질층인 금속산화막은 예컨대 처리 용기(202) 내의 압력, O2가스의 유량, 기판 재치대(212)의 온도, 리모트 플라즈마 유닛(250)의 공급 전력 등에 따라 소정의 두께, 소정의 분포, 금속 함유층에 대한 소정의 산소 성분의 침입 깊이로 형성된다.
O2가스가 소정 시간 공급되면, 밸브(244d)를 열고 O2가스의 공급을 종료한다. 이 때 리모트 플라즈마 유닛(250)으로의 O2가스의 공급이 종료되는 것에 의해 임피던스 매칭이 붕괴되어 신속하게 플라즈마가 소실된다.
〔퍼지 공정(S208)〕
제2 처리 가스 공급 공정(S206) 후, 밸브(245d)를 열고 N2가스를 처리 용기(202)의 처리 공간(201)에 공급한다. 처리 용기(202)에 공급된 N2가스는 제2 처리 가스 공급 공정(S206)에서 공급된 잉여적인(성막에 기여하지 않은) O2가스를 웨이퍼(200) 상으로부터 제거하면서 처리 용기(202)로부터 배출한다. 또한 밸브(237)를 여는 것과 함께 압력 조정기(237)와 진공 펌프(238)를 제어하는 것에 의해 샤워 헤드(230) 내에 잔류한 O2가스도 제거한다. 그리고 소정 시간 퍼지를 실행하면, 밸브(245d)를 열고 N2가스의 공급을 정지하는 것과 함께 밸브(237)를 열고 샤워 헤드(203)와 진공 펌프(239) 사이를 차단한다. 또한 N2가스의 공급 유량은 예컨대 0.1sccm 이상, 10sccm 이하다.
또한 전술한 퍼지 공정(S204)과 마찬가지로 N2가스의 공급 조건은 리모트 플라즈마 유닛(250)이 ON일 때에 N2가스가 리모트 플라즈마 유닛(250)에 공급되었다고 해도 플라즈마가 생성되지 않도록 설정된다. 따라서 만일 공통 가스 공급관(242)을 개재하여 N2가스가 리모트 플라즈마 유닛(250) 내에 확산되더라도 리모트 플라즈마 유닛(250) 내에서 N2가스가 플라즈마화되지 않는다.
〔판단 공정(S210)〕
이어서 컨트롤러(260)는 전술한 S202 내지 S208로 이루어지는 1개의 사이클을 소정 횟수 실시하였는지에 대한 여부를 판단한다. 이 사이클을 소정 횟수 실시하지 않았을 때(S210에서 No의 경우)에는 제1 처리 가스 공급 공정(S202)으로 돌아가 성막 처리를 반복한다. 이 사이클을 소정 횟수 실시하였을 때(S210에서 Yes의 경우)에는 성막 공정을 종료한다. 이 때 리모트 플라즈마 유닛(250)을 OFF로 하여 전력 인가를 정지해도 좋다.
도 4, 도 5, 도 11 및 도 12를 참조하여 전술한 처리에 대하여 재설명한다. 도 4는 도 3에 도시하는 성막 공정에서의 플라즈마 착화의 타이밍을 도시하는 타임 차트다. 도 5는 도 3에 도시하는 성막 공정에서의 플라즈마 착화의 타이밍을 도시하는 타임 차트다. 또한 도 11은 종래 기술에 따른 성막 공정을 도시하는 타임 차트이며, 도 12는 도 11에 도시하는 성막 공정에서의 플라즈마 착화의 타이밍을 도시하는 타임 차트다.
도 4에 도시하는 바와 같이 리모트 플라즈마 유닛(250)은 성막 공정 중에 항상 ON 상태로 설정된다. 하지만 TDMAT가스의 공급 시(TDMAT Flow)와 N2가스의 공급 시(N2 Purge)는 전술한 바와 같이 플라즈마 착화되지 않고, O2가스 공급 시에만 플라즈마 착화된다.
플라즈마 착화 및 플라즈마 소실의 타이밍에 대하여 도 5를 참조하여 설명한다. 도 5에 도시하는 바와 같이 리모트 플라즈마 유닛(250)은 항상 ON 상태로 유지되기 때문에 제2 가스 공급계(244)의 밸브(244d)가 열려 O2가스의 공급이 시작되고, O2가스의 유량이 소정 유량에 달하는 것에 의해 임피던스 매칭이 되어 플라즈마가 착화(플라즈마ON)된다. 또한 제2 가스 공급계(244)의 밸브(244d)가 열려 O2가스의 유량이 소정 유량 미만이 되면, 임피던스의 임피던스 매칭이 붕괴하여 플라즈마가 소살(플라즈마OFF)된다. 이와 같이 본 발명에서는 처리 가스의 흐름(유량, 또는 처리 가스의 유무)에 확실하게 동기화하여 플라즈마의 ON/OFF를 고속으로 전환할 수 있다.
이에 대하여 종래 기술에서는 도 11에 도시하는 바와 같이 리모트 플라즈마 유닛의 ON/OFF 동작을 처리 가스의 공급에 동기화시켜서 제어하는 것이 일반적이었다. 하지만 리모트 플라즈마 유닛의 ON/OFF 동작과 처리 가스의 공급·정지를 수행하는 밸브의 동작을 정확하게 일치시키는 것이 곤란하여, 플라즈마의 ON/OFF를 처리 가스의 흐름에 확실하게 동기화시키는 것이 곤란하였다. 예컨대 도 12에 도시하는 바와 같이 리모트 플라즈마 유닛의 ON 타이밍이 처리 가스의 공급 시작 타이밍보다 늦으면, 실제로 플라즈마가 착화될 때까지의 지연량이 커진다. 또한 리모트 플라즈마 유닛의 OFF 타이밍이 처리 가스의 공급 종료 타이밍보다 빨라지면, 플라즈마가 소실되고 남은 처리 가스를 플라즈마화시킬 수 없다.
이상과 같이, 본 발명에서는 리모트 플라즈마 유닛(250)을 항상 ON 상태(가스를 플라즈마화하는 데 필요한 전력 인가를 실행하는 상태)로 하는 것에 의해 O2가스의 공급과 그 정지를 수행하는 밸브(244d)의 개폐에 의해서만 플라즈마의 생성을 제어할 수 있기 위해서 처리 가스의 흐름에 확실하게 동기화시킨 플라즈마의 ON/OFF를 실현할 수 있다. 또한 처리 가스의 유량, 또는 처리 가스의 유무에 의해서만 플라즈마의 생성을 제어할 수 있기 때문에 플라즈마의 ON/OFF의 전환도 고속으로 수행할 수 있다. 이에 의해 스루풋을 향상시킬 수 있는 것과 함께 플라즈마화된 처리 가스의 공급량이나 공급 시간을 정확하게 규정할 수 있다. 또한 처리 가스의 공급에 동기화시켜서 리모트 플라즈마 유닛(250)을 제어할 필요가 없기 때문에 컨트롤 유닛(260)에서의 제어도 간소화할 수 있다.
또한 상기에서는 성막 공정 중에 리모트 플라즈마 유닛(250)을 항상 ON 상태로 했지만, 적어도 O2가스의 공급 시작으로부터 소정 시간 전[제1 처리 가스 공급 공정(S202) 중이나 퍼지 공정(S204) 중]에 ON으로 해도 좋다. 또한 O2가스의 공급이 종료된 후에도 소정 시간 ON 상태를 계속하고, 그 후[퍼지 공정(S208) 중이나 제1 처리 가스 공급 공정(S202) 중], 리모트 플라즈마 유닛(250)을 ON에서 OFF로 전환해도 좋다.
또한 리모트 플라즈마 유닛(250)으로의 TDMAT가스의 확산을 고려할 필요가 없는 경우[예컨대 리모트 플라즈마 유닛(250)과 제1 가스 공급계(243) 사이에 밸브를 설치하고, TDMAT가스의 공급 중에는 상기 밸브를 열어도 좋다], TDMAT가스의 공급 조건에 있어서 플라즈마화될지 여부는 고려할 필요가 없고, 플라즈마화될 수 있는 공급 조건이어도 좋다. 마찬가지로 리모트 플라즈마 유닛(250)으로의 N2가스의 확산을 고려할 필요가 없는 경우[예컨대 리모트 플라즈마 유닛(250)과 제3 가스 공급계(245) 사이에 밸브를 설치하고, N2가스의 공급 중에는 상기 밸브를 열어도 좋다], N2가스의 공급 조건에 있어서 플라즈마화될지 여부는 고려할 필요는 없고, 플라즈마화될 수 있는 공급 조건이어도 좋다.
<제2 실시 형태>
이하, 본 발명의 제2 실시 형태에 대하여 설명한다.
제2 실시 형태와 제1 실시 형태의 다른 점은 리모트 플라즈마 유닛(250)의 배치에 있다. 이하에서는 제1 실시 형태와의 차이점만 설명하고, 마찬가지의 구성이나 처리에 대해서는 설명을 생략한다.
도 6은 제2 실시 형태에 따른 기판 처리 장치(1000)를 도시하는 도면이다. 기판 처리 장치(1000)에서는 리모트 플라즈마 유닛(250)의 상류에 전술한 제1 가스 공급계(243), 제2 가스 공급계(244) 및 제3 가스 공급계(245)가 설치된다.
제2 실시 형태에 따른 성막 공정은 전술한 도 3 내지 도 5와 마찬가지이다. 즉 리모트 플라즈마 유닛(250)을 항상 ON 상태로 하고, TDMAT가스, N2가스, O2가스, N2가스의 순서로 각 가스가 리모트 플라즈마 유닛(250)을 개재하여 처리 용기(202)에 공급된다. 이 때 O2가스의 공급 시에만[플라즈마 유닛(250)을 O2가스가 통과할 때에만] 임피던스 매칭이 되어 플라즈마가 생성된다.
따라서 제2 실시 형태에서도 제1 실시 형태와 마찬가지인 효과를 얻을 수 있다.
<제3 실시 형태>
이하, 본 발명의 제3 실시 형태에 대하여 설명한다. 제3 실시 형태와 종전의 실시 형태의 다른 점은 O2가스의 공급 타이밍에 있다. 또한 기판 처리 장치의 구성은 제1 실시 형태와 같다. 이하에서는 제1 실시 형태와의 차이점만 설명하고, 마찬가지의 구성이나 처리에 대해서는 설명을 생략한다.
도 7은 본 발명의 제3 실시 형태에 따른 성막 공정을 도시하는 타임 차트다. 이 도면에 도시하는 바와 같이 리모트 플라즈마 유닛(250)을 항상 ON 상태로 유지하면서 TDMAT가스의 공급 후의 N2가스 퍼지 실행 중에 밸브(244d)를 열고 O2가스의 공급을 시작한다. 또한 O2가스의 공급 중에 밸브(245d)를 열어 N2가스 퍼지를 시작하고, 상기 N2가스 퍼지 실행 중에 밸브(244d)를 열고 O2가스의 공급을 종료한다. 즉 제3 실시 형태에서는 전술의 도 3에 도시한 플로우 차트에서 S206의 시작과 종료가 각 S204와 S208과 시간적으로 겹친다.
O2가스의 공급 기간에 걸쳐 O2가스는 리모트 플라즈마 유닛(250)에 의해 플라즈마화된다. 플라즈마화된 O2가스는 리모트 플라즈마 유닛(250)으로부터 배출된 후, N2가스와 혼합된다. 여기서 퍼지 중인 N2가스의 유량은 O2가스의 플라즈마를 소실시키는 데 충분한 유량으로 설정된다. 이에 의해 O2가스의 플라즈마는 처리 용기(202)에 이르기까지의 사이에 소실된다. 즉 N2퍼지 기간 중에는 O2가스는 성막에 기여하지 않는다.
한편, N2가스 퍼지(전술한 도 3에 도시한 플로우 차트에서의 S204)가 종료되면, O2가스의 플라즈마는 소실되지 않고 처리 용기(202)에 공급되어 성막에 기여한다. 또한 O2가스의 공급 중에 N2가스 퍼지(전술한 도 3에 도시한 플로우 차트에서의 S208)가 시작되면, O2가스의 플라즈마는 처리 용기(202)에 이르기까지의 사이에 소실된다.
이와 같이 제3 실시 형태에서는 리모트 플라즈마 유닛(250)을 항상 ON 상태로 유지하면서 O2가스의 공급을 N2가스 퍼지 실행 중일 때부터 시작하고, O2가스의 공급을 N2가스 퍼지 실행 중에 종료하도록 하였다. 즉 플라즈마화된 O2가스의 공급량(공급 시간)은 실질적으로 N2가스의 공급과의 그 정지를 수행하는 밸브(245d)의 개폐에 의해서만 제어된다. 이에 의해 원하는 O2가스의 흐름에 동기화한 타이밍으로 플라즈마를 ON/OFF 할 수 있다. 또한 N2가스의 유무에 의해서만 플라즈마의 생성을 제어할 수 있고, 플라즈마의 ON/OFF를 고속으로 전환할 수 있다.
<제4의 실시 형태>
이하, 본 발명의 제4 실시 형태에 대하여 설명한다.
도 8은 제4 실시 형태에 따른 기판 처리 장치(1100)를 도시하는 도면이다. 기판 처리 장치(1100)와 제1 실시 형태에 따른 기판 처리 장치(100)의 다른 점은 제4 가스 공급계(247)를 설치한 것과, 그것을 이용한 성막 공정에 있다. 이하에서는 제1 실시 형태와의 차이점만 설명하고, 마찬가지의 구성이나 처리에 대해서는 설명을 생략한다.
〔제4 가스 공급계(247)〕
도 8에 도시하는 바와 같이 제4 가스 공급계(247)는 리모트 플라즈마 유닛(250)의 상류에 설치된다. 제4 가스 공급계(247)의 제4 가스 공급관(247a)에는 상류 방향부터 순서대로 제4 가스 공급원(247b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(247c) 및 개폐 밸브인 밸브(247d)가 설치된다.
가스 공급원(247b)에는 Gas X가 저장된다. Gas X는 가스 공급관(247a)에 설치된 매스 플로우 컨트롤러(247c)와 밸브(247d)를 통과하여 리모트 플라즈마 유닛(250)에 공급된다. 리모트 플라즈마 유닛(250)을 통과한 Gas X는 공통 가스 공급관(242)에 유입되고, 또한 샤워 헤드(230)를 개재하여 처리 용기(202)에 공급된다.
여기서 Gas X란 리모트 플라즈마 유닛(250)에서 플라즈마화되는 가스이며, 또한 웨이퍼(200)의 성막에는 기여하지 않는(적어도 제1 처리 가스와는 반응성을 가지지 않는) 가스(비처리 가스)이다. Gas X로서는 예컨대 아르곤(Ar) 등을 이용한다.
도 9는 본 발명의 제4 실시 형태에 따른 성막 공정을 도시하는 플로우 차트다. 이하, 도 3에 도시한 플로우 차트와의 차이점을 중심으로 설명하자면, 리모트 플라즈마 유닛 ON공정(S200) 후, 제1 처리 가스 공급 공정(S302)에서 TDMAT가스를 처리 용기(202)에 공급하는 것과 함께 밸브(247d)를 열고 리모트 플라즈마 유닛(250)을 개재하여 Gas X를 처리 용기(202)에 공급한다. 또한 퍼지 공정(S304, S308)에서 N2가스를 처리 용기(202)에 공급하는 것과 함께 밸브(247d)를 열고 리모트 플라즈마 유닛(250)을 개재하여 Gas X를 처리 용기(202)에 공급한다. 또한 제2 처리 가스 공급 공정에서는 O2가스만 공급하고 Gas X는 공급하지 않는다.
도 10은 도 9에 도시하는 성막 공정의 타임 차트다. 도 10에 도시하는 바와 같이 제1 처리 가스 공급 공정(TDMAT Flow)과 퍼지 공정(N2 Purge)에서 Gas X가 리모트 플라즈마 유닛(250)에 공급되는 것에 의해 리모트 플라즈마 유닛(250)은 항상 플라즈마가 생성된 상태로 유지된다. 따라서 O2가스를 공급하면, 즉시 O2가스를 플라즈마화할 수 있다. 또한 Gas X가 리모트 플라즈마 유닛(250)에 공급되는 것에 의해 생성되는 플라즈마는 성막에 기여하지 않는다.
이와 같이 제4 실시 형태에서는 제1 실시 형태에서 얻어지는 효과뿐 아니라, 즉시 O2가스를 플라즈마화할 수 있다는 효과도 얻을 수 있다. 이에 의해 한층 더 스루풋을 향상시킬 수 있는 것과 함께, 플라즈마화된 처리 가스의 공급량이나 공급 시간을 정확하게 규정할 수 있다.
또한 전술한 각 실시 형태를 적절히 조합해도 좋다. 예컨대 제2 실시 형태에서의 O2가스의 공급 타이밍을 제3 실시 형태나 제4 실시 형태에 조합해도 좋다.
또한 본 발명은 예컨대 반도체 장치의 제조 공장에 존재하는 기존의 기판 처리 장치의 가스 공급계를 개조하여 프로세스 레시피를 변경하는 것으로도 실현될 수 있다. 프로세스 레시피를 변경하는 경우에는 본 발명에 따른 프로세스 레시피를 전기 통신 회선이나 상기 프로세스 레시피를 기록한 기록 매체를 개재하여 기존의 기판 처리 장치에 인스톨하거나, 또한 기존의 기판 처리 장치의 입출력 장치를 조작하여 그 프로세스 레시피 자체를 본 발명에 따른 프로세스 레시피로 변경하는 것도 가능하다.
이상, 본 발명의 갖가지 전형적인 실시 형태로서 성막 기술에 대하여 설명하였지만, 본 발명은 그와 같은 실시 형태에 한정되지 않는다. 예컨대 질화막 등의 갖가지 막을 형성하는 성막 처리나, 확산 처리, 산화 처리, 질화 처리, 리소그래피 처리 등의 다른 기판 처리를 수행하는 경우에도 적용할 수 있다. 또한 본 발명은 어닐링 처치 장치 외에 박막 형성 장치, 에칭 장치, 산화 처리 장치, 질화 처리 장치, 도포 장치, 가열 장치 등의 다른 기판 처리 장치에도 적용할 수 있다.
〔본 발명의 바람직한 형태〕
이하에 본 발명의 바람직한 형태에 대하여 부기(附記)한다.
〔부기1〕
제1 처리 가스와 플라즈마화된 제2 처리 가스를 교호적으로 처리 용기에 공급하여 기판을 처리하는 기판 처리 장치로서, 상기 제1 처리 가스를 공급하는 제1 가스 공급계; 상기 제2 처리 가스를 공급하는 제2 가스 공급계; 상기 처리 용기의 상류에 배치되어 적어도 상기 제2 처리 가스를 플라즈마화시키는 플라즈마 유닛; 및 상기 제1 처리 가스와 상기 제2 처리 가스가 교호적으로 공급되도록 상기 제1 가스 공급계와 상기 제2 가스 공급계를 제어하는 것과 함께, 상기 제2 처리 가스의 공급이 시작되기 전부터 상기 제2 처리 가스의 플라즈마화에 필요한 전력 인가를 실행하도록 상기 플라즈마 유닛을 제어하는 제어부;를 구비하는 기판 처리 장치.
〔부기2〕
상기 제어부는 상기 제2 처리 가스의 공급이 종료된 후에도 상기 전력 인가를 계속하도록 상기 플라즈마 유닛을 제어하는 부기1에 기재된 기판 처리 장치.
〔부기3〕
상기 제어부는 상기 제1 처리 가스와 상기 제2 처리 가스 중 어느 하나도 상기 플라즈마 유닛에 공급되지 않을 때에도 상기 전력 인가를 실행하도록 상기 플라즈마 유닛을 제어하는 부기1 또는 부기2에 기재된 기판 처리 장치.
〔부기4〕
상기 제어부는 상기 기판의 처리 공정 중에 항상 상기 전력 인가를 실행하도록 상기 플라즈마 유닛을 제어하는 부기1 내지 부기3 중 어느 하나에 기재된 기판 처리 장치.
〔부기5〕
적어도 유량을 포함하는 상기 제1 처리 가스와 상기 제2 처리 가스의 공급 조건은 상기 제1 처리 가스는 상기 플라즈마 유닛에서 플라즈마화되지 않고, 상기 제2 처리 가스는 상기 플라즈마 유닛에서 플라즈마화되도록 설정되는 부기1 내지 부기4 중 어느 하나에 기재된 기판 처리 장치.
〔부기6〕
상기 처리 용기의 상류이며 상기 플라즈마 유닛의 하류에 배치된 불활성 가스를 공급하는 제3 가스 공급계를 구비하고, 상기 제어부는 상기 제1 처리 가스와 상기 제2 처리 가스를 교호 공급 할 때에 각 처리 가스가 분리되도록 상기 제3 가스 공급계를 제어하여 상기 불활성 가스를 공급하는 것과 함께, 상기 불활성 가스의 공급이 종료되기 전부터 상기 제2 처리 가스의 공급을 시작하도록 상기 제2 가스 공급계를 제어하는 부기1 내지 부기5 중 어느 하나에 기재된 기판 처리 장치.
〔부기7〕
상기 제어부는 상기 제2 처리 가스의 공급 중에 상기 불활성 가스의 공급을 종료한 후, 상기 제2 처리 가스의 공급 중에 상기 불활성 가스의 공급을 시작하도록 상기 제2 가스 공급계와 상기 제3 가스 공급계를 제어하는 부기6에 기재된 기판 처리 장치.
〔부기8〕
상기 기판의 처리에 기여하지 않는 비처리 가스를 상기 플라즈마 유닛에 공급하는 제4 가스 공급계를 구비하고, 상기 제어부는 상기 제2 처리 가스의 공급을 시작하기 전에 상기 비처리 가스를 상기 플라즈마 유닛에 공급하도록 상기 제4 가스 공급계를 제어하는 부기1 내지 부기5 중 어느 하나에 기재된 기판 처리 장치.
〔부기9〕
적어도 유량을 포함하는 상기 비처리 가스의 공급 조건은 상기 비처리 가스가 상기 플라즈마 유닛에서 플라즈마화되도록 설정되는 부기8에 기재된 기판 처리 장치.
〔부기10〕
적어도 제1 처리 가스와 제2 처리 가스를 처리 용기에 공급하여 기판을 처리하는 기판 처리 장치로서, 상기 제1 처리 가스의 공급로를 개폐하는 제1 밸브; 상기 제2 처리 가스의 공급로를 개폐하는 제2 밸브; 상기 처리 용기의 상류이며 상기 제2 밸브의 하류에 배치된 플라즈마 유닛; 및 상기 제1 처리 가스와 상기 제2 처리 가스가 교호적으로 공급되도록 상기 제1 밸브와 상기 제2 밸브의 개폐를 제어하는 것과 함께, 상기 제2 밸브가 열리기 전부터 상기 제2 처리 가스의 플라즈마화에 필요한 전력 인가를 실행하도록 상기 플라즈마 유닛을 제어하는 제어부;를 구비하는 기판 처리 장치.
〔부기11〕
상기 제어부는 상기 제2 밸브가 닫힌 후에도 상기 전력 인가를 계속하도록 상기 플라즈마 유닛을 제어하는 부기10에 기재된 기판 처리 장치.
〔부기12〕
상기 제1 밸브는 상기 플라즈마 유닛의 상류에 배치되는 것과 함께 적어도 유량을 포함하는 상기 제1 처리 가스와 상기 제2 처리 가스의 공급 조건은 상기 제1 처리 가스가 상기 플라즈마 유닛에서 플라즈마화되지 않고, 상기 제2 처리 가스가 상기 플라즈마 유닛에서 플라즈마화되도록 설정되는 부기10 또는 부기11에 기재된 기판 처리 장치.
〔부기13〕
제1 처리 가스와, 플라즈마 유닛에 의해 플라즈마화된 제2 처리 가스를 처리 용기에 교호적으로 공급하여 기판을 처리하는 반도체 장치의 제조 방법으로서, 상기 플라즈마 유닛에서 상기 제2 처리 가스의 플라즈마화에 필요한 전력 인가가 실행되는 상태에서 상기 제2 처리 가스의 공급의 시작과 종료를 수행하는 반도체 장치의 제조 방법.
〔부기14〕
제1 처리 가스와, 플라즈마 유닛에 의해 플라즈마화된 제2 처리 가스를 처리 용기에 교호적으로 공급하여 기판을 처리하는 반도체 장치의 제조 방법으로서, 상기 제2 처리 가스가 상기 플라즈마 유닛에 공급되지 않을 때에 상기 플라즈마 유닛에서 상기 제2 처리 가스의 플라즈마화에 필요한 전력 인가를 시작하는 공정; 및 상기 플라즈마 유닛에서 상기 전력 인가가 실행되는 상태에서 상기 제2 처리 가스의 공급을 시작하는 공정;을 포함하는 반도체 장치의 제조 방법.
〔부기15〕
상기 제2 처리 가스의 공급이 종료된 후에도 상기 플라즈마 유닛에서 상기 전력 인가를 계속하는 공정을 구비하는 부기14에 기재된 반도체 장치의 제조 방법.
〔부기16〕
제1 처리 가스를 기판의 처리 용기에 공급하는 제1 공정; 불활성 가스를 플라즈마 유닛의 하류로부터 상기 처리 용기에 공급하는 제2 공정; 상기 플라즈마 유닛에서 플라즈마화된 제2 처리 가스를 상기 처리 용기를 향하여 공급하는 제3 공정; 및 불활성 가스를 상기 플라즈마 유닛의 하류로부터 상기 처리 용기에 공급하는 제4 공정;을 포함하고, 상기 제3 공정에서는 상기 제2 공정이 종료되기 전에 상기 플라즈마화된 제2 처리 가스의 공급을 시작하는 것과 함께, 상기 제4 공정이 시작된 후에 상기 플라즈마화된 제2 처리 가스의 공급을 종료하는 반도체 장치의 제조 방법.
〔부기17〕
제1 처리 가스를 기판의 처리 용기에 공급하는 제1 공정; 플라즈마 유닛에 의해 플라즈마화된 제2 처리 가스를 상기 처리 용기에 공급하는 제2 공정; 및 상기 제2 공정을 제외한 공정에서 상기 기판의 처리에 기여하지 않는 비처리 가스를 상기 플라즈마 유닛에 공급하는 것에 의해 상기 플라즈마 유닛에서 플라즈마를 생성시키는 제3 공정;을 포함하는 반도체 장치의 제조 방법.
〔부기18〕
제1 처리 가스와, 플라즈마 유닛에 의해 플라즈마화된 제2 처리 가스를 처리 용기에 교호적으로 공급하여 기판을 처리하기 위한 프로그램으로서, 상기 플라즈마 유닛에서 상기 제2 처리 가스의 플라즈마화에 필요한 전력 인가가 실행되는 상태에서 상기 제2 처리 가스의 공급의 시작과 종료를 수행하는 순서를 컴퓨터에 실행시키는 프로그램.
〔부기19〕
제1 처리 가스와, 플라즈마 유닛에 의해 플라즈마화된 제2 처리 가스를 처리 용기에 교호적으로 공급하여 기판을 처리하기 위한 프로그램으로서, 상기 제2 처리 가스가 상기 플라즈마 유닛에 공급되지 않을 때에 상기 플라즈마 유닛에서 상기 제2 처리 가스의 플라즈마화에 필요한 전력 인가를 시작하는 순서; 및 상기 플라즈마 유닛에서 상기 전력 인가가 실행되는 상태에서 상기 제2 처리 가스의 공급을 시작하는 순서;를 컴퓨터에 실행시키는 프로그램.
〔부기20〕
제1 처리 가스와, 플라즈마 유닛에 의해 플라즈마화된 제2 처리 가스를 처리 용기에 교호적으로 공급하여 기판을 처리하기 위한 프로그램이 저장된 컴퓨터 판독 가능한 기록 매체로서, 상기 플라즈마 유닛에서 상기 제2 처리 가스의 플라즈마화에 필요한 전력 인가가 실행되는 상태에서 상기 제2 처리 가스의 공급의 시작과 종료를 수행하는 순서를 컴퓨터에 실행시키는 프로그램이 저장된 컴퓨터 판독 가능한 기록 매체.
〔부기21〕
제1 처리 가스와, 플라즈마 유닛에 의해 플라즈마화된 제2 처리 가스를 처리 용기에 교호적으로 공급하여 기판을 처리하기 위한 프로그램이 저장된 컴퓨터 판독 가능한 기록 매체로서, 상기 제2 처리 가스가 상기 플라즈마 유닛에 공급되지 않을 때에 상기 플라즈마 유닛에서 상기 제2 처리 가스의 플라즈마화에 필요한 전력 인가를 시작하는 순서; 및 상기 플라즈마 유닛에서 상기 전력 인가가 실행되는 상태에서 상기 제2 처리 가스의 공급을 시작하는 순서;를 컴퓨터에 실행시키는 프로그램이 저장된 컴퓨터 판독 가능한 기록 매체.
본 발명은 기판을 처리하는 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체에 이용할 수 있다.
100, 1000, 1100: 기판 처리 장치 243: 제1 가스 공급계
243d: 밸브 244: 제2 가스 공급계
244d: 밸브 245: 제3 가스 공급계
245d: 밸브 247: 제4 가스 공급계
247d: 밸브 250: 리모트 플라즈마 유닛
260: 컨트롤러

Claims (14)

  1. 제1 처리 가스와 플라즈마화된 제2 처리 가스를 교호적으로 처리 용기에 공급하여 기판을 처리하는 기판 처리 장치에 있어서,
    상기 제1 처리 가스를 공급하는 제1 가스 공급계;
    상기 제2 처리 가스를 공급하는 제2 가스 공급계;
    상기 처리 용기의 상류에 배치되어 적어도 상기 제2 처리 가스를 플라즈마화시키는 플라즈마 유닛; 및
    상기 제1 처리 가스와 상기 제2 처리 가스가 교호(交互)적으로 공급되도록 상기 제1 가스 공급계와 상기 제2 가스 공급계를 제어하는 것과 함께, 상기 제2 처리 가스의 공급이 시작되기 전부터 상기 제2 처리 가스의 플라즈마화에 필요한 전력 인가를 실행하도록 상기 플라즈마 유닛을 제어하는 제어부;
    를 구비하는 기판 처리 장치.
  2. 제1항에 있어서,
    상기 제어부는 상기 제2 처리 가스의 공급이 종료된 후에도 상기 전력 인가를 계속하도록 상기 플라즈마 유닛을 제어하는 기판 처리 장치.
  3. 제1항에 있어서,
    상기 제어부는 상기 제1 처리 가스와 상기 제2 처리 가스 중 어느 하나도 상기 플라즈마 유닛에 공급되지 않을 때에도 상기 전력 인가를 실행하도록 상기 플라즈마 유닛을 제어하는 기판 처리 장치.
  4. 제1항에 있어서,
    상기 제어부는 상기 기판의 처리 공정 중에 항상 상기 전력 인가를 실행하도록 상기 플라즈마 유닛을 제어하는 기판 처리 장치.
  5. 제1항에 있어서,
    적어도 유량을 포함하는 상기 제1 처리 가스와 상기 제2 처리 가스의 공급 조건은, 상기 제1 처리 가스는 상기 플라즈마 유닛에서 플라즈마화되지 않고 상기 제2 처리 가스는 상기 플라즈마 유닛에서 플라즈마화되도록 설정되는 기판 처리 장치.
  6. 제1항에 있어서,
    상기 처리 용기의 상류이며 상기 플라즈마 유닛의 하류에 배치된 불활성 가스를 공급하는 제3 가스 공급계를 더 구비하고,
    상기 제어부는 상기 제1 처리 가스와 상기 제2 처리 가스를 교호 공급할 때에 각 처리 가스가 분리되도록 상기 제3 가스 공급계를 제어하여 상기 불활성 가스를 공급하는 것과 함께, 상기 불활성 가스의 공급이 종료되기 전부터 상기 제2 처리 가스의 공급을 시작하도록 상기 제2 가스 공급계를 제어하는 기판 처리 장치.
  7. 제6항에 있어서,
    상기 제어부는 상기 제2 처리 가스의 공급 중에 상기 불활성 가스의 공급을 종료한 후, 상기 제2 처리 가스의 공급 중에 상기 불활성 가스의 공급을 시작하도록 상기 제2 가스 공급계와 상기 제3 가스 공급계를 제어하는 기판 처리 장치.
  8. 제1항에 있어서,
    상기 기판의 처리에 기여하지 않는 비처리 가스를 상기 플라즈마 유닛에 공급하는 제4 가스 공급계를 더 구비하고,
    상기 제어부는 상기 제2 처리 가스의 공급을 시작하기 전에 상기 비처리 가스를 상기 플라즈마 유닛에 공급하도록 상기 제4 가스 공급계를 제어하는 기판 처리 장치.
  9. 제8항에 있어서,
    적어도 유량을 포함하는 상기 비처리 가스의 공급 조건은 상기 비처리 가스가 상기 플라즈마 유닛에서 플라즈마화되도록 설정되는 기판 처리 장치.
  10. 제1 처리 가스와, 플라즈마 유닛에 의해 플라즈마화된 제2 처리 가스를 처리 용기에 교호적으로 공급하여 기판을 처리하는 반도체 장치의 제조 방법에 있어서,
    상기 제2 처리 가스가 상기 플라즈마 유닛에 공급되지 않을 때에 상기 플라즈마 유닛에서 상기 제2 처리 가스의 플라즈마화에 필요한 전력 인가를 시작하는 공정; 및
    상기 플라즈마 유닛에서 상기 전력 인가가 실행되는 상태에서 상기 제2 처리 가스의 공급을 시작하는 공정;
    을 포함하는 반도체 장치의 제조 방법.
  11. 제10항에 있어서,
    상기 제2 처리 가스의 공급이 종료된 후에도 상기 플라즈마 유닛에서 상기 전력 인가를 계속하는 공정을 더 구비하는 반도체 장치의 제조 방법.
  12. 제1 처리 가스를 기판의 처리 용기에 공급하는 제1 공정;
    불활성 가스를 플라즈마 유닛의 하류로부터 상기 처리 용기에 공급하는 제2 공정;
    상기 플라즈마 유닛에서 플라즈마화된 제2 처리 가스를 상기 처리 용기를 향하여 공급하는 제3 공정; 및
    불활성 가스를 상기 플라즈마 유닛의 하류로부터 상기 처리 용기에 공급하는 제4 공정;
    을 포함하고,
    상기 제3 공정에서는 상기 제2 공정이 종료되기 전에 상기 플라즈마화된 제2 처리 가스의 공급을 시작하는 것과 함께 상기 제4 공정이 시작된 후에 상기 플라즈마화된 제2 처리 가스의 공급을 종료하는 반도체 장치의 제조 방법.
  13. 제1 처리 가스를 기판의 처리 용기에 공급하는 제1 공정;
    플라즈마 유닛에 의해 플라즈마화된 제2 처리 가스를 상기 처리 용기에 공급하는 제2 공정; 및
    상기 제2 공정을 제외한 공정에서 상기 기판의 처리에 기여하지 않는 비처리 가스를 상기 플라즈마 유닛에 공급하는 것에 의해 상기 플라즈마 유닛에서 플라즈마를 생성시키는 제3 공정;
    을 포함하는 반도체 장치의 제조 방법.
  14. 제1 처리 가스와, 플라즈마 유닛에 의해 플라즈마화된 제2 처리 가스를 처리 용기에 교호적으로 공급하여 기판을 처리하기 위한 프로그램이 격납된 컴퓨터 판독 가능한 기록 매체에 있어서,
    상기 제2 처리 가스가 상기 플라즈마 유닛에 공급되지 않을 때에 상기 플라즈마 유닛에서 상기 제2 처리 가스의 플라즈마화에 필요한 전력 인가를 시작하는 순서; 및
    상기 플라즈마 유닛에서 상기 전력 인가가 실행되는 상태에서 상기 제2 처리 가스의 공급을 시작하는 순서;
    를 컴퓨터에 실행시키는 프로그램이 격납된 컴퓨터 판독 가능한 기록 매체.
KR1020140025782A 2013-09-30 2014-03-05 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 KR101601661B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/JP2013/076572 2013-09-30
PCT/JP2013/076572 WO2015045164A1 (ja) 2013-09-30 2013-09-30 基板処理装置および半導体装置の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020150055717A Division KR101578744B1 (ko) 2013-09-30 2015-04-21 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Publications (2)

Publication Number Publication Date
KR20150037464A true KR20150037464A (ko) 2015-04-08
KR101601661B1 KR101601661B1 (ko) 2016-03-09

Family

ID=52742361

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020140025782A KR101601661B1 (ko) 2013-09-30 2014-03-05 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR1020150055717A KR101578744B1 (ko) 2013-09-30 2015-04-21 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020150055717A KR101578744B1 (ko) 2013-09-30 2015-04-21 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Country Status (6)

Country Link
US (2) US9064695B1 (ko)
JP (1) JP5872028B2 (ko)
KR (2) KR101601661B1 (ko)
CN (1) CN104517792B (ko)
TW (1) TWI540664B (ko)
WO (1) WO2015045164A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5859583B2 (ja) * 2014-01-30 2016-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5764228B1 (ja) * 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US10453721B2 (en) * 2016-03-15 2019-10-22 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
JP6446418B2 (ja) * 2016-09-13 2018-12-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6770988B2 (ja) 2018-03-14 2020-10-21 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
JP2020117762A (ja) * 2019-01-23 2020-08-06 東京エレクトロン株式会社 半導体製造システムの制御方法及び半導体製造システム

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080012379A (ko) * 2005-06-13 2008-02-11 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
JP2008211211A (ja) 2008-02-18 2008-09-11 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP2009218262A (ja) * 2008-03-07 2009-09-24 Omron Corp プラズマ反応炉を用いた電子装置の製造方法
JP2010202982A (ja) * 2004-10-15 2010-09-16 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法及び半導体装置の製造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3428767B2 (ja) * 1995-03-10 2003-07-22 キヤノン株式会社 多結晶Si薄膜の堆積法
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
JP4434149B2 (ja) * 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5323535B2 (ja) 2009-02-27 2013-10-23 王子ホールディングス株式会社 偽造防止用紙の真偽判定方法
JP5610850B2 (ja) * 2010-05-28 2014-10-22 三菱重工業株式会社 窒化珪素膜の製造方法及び装置
KR20120069259A (ko) 2010-12-20 2012-06-28 에스케이하이닉스 주식회사 플라즈마 장비를 이용한 반도체 소자의 처리방법
JP5680985B2 (ja) * 2011-02-16 2015-03-04 株式会社アルバック プラズマ処理方法、及びプラズマ処理装置
JP6022166B2 (ja) * 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010202982A (ja) * 2004-10-15 2010-09-16 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法及び半導体装置の製造方法
KR20080012379A (ko) * 2005-06-13 2008-02-11 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
JP2008211211A (ja) 2008-02-18 2008-09-11 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP2009218262A (ja) * 2008-03-07 2009-09-24 Omron Corp プラズマ反応炉を用いた電子装置の製造方法

Also Published As

Publication number Publication date
CN104517792B (zh) 2017-04-12
KR101578744B1 (ko) 2015-12-21
JP5872028B2 (ja) 2016-03-01
CN104517792A (zh) 2015-04-15
US9064695B1 (en) 2015-06-23
TW201515132A (zh) 2015-04-16
US20150194304A1 (en) 2015-07-09
TWI540664B (zh) 2016-07-01
KR101601661B1 (ko) 2016-03-09
JPWO2015045164A1 (ja) 2017-03-09
KR20150048699A (ko) 2015-05-07
US9502236B2 (en) 2016-11-22
US20150194306A1 (en) 2015-07-09
WO2015045164A1 (ja) 2015-04-02

Similar Documents

Publication Publication Date Title
KR101578744B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US11578407B2 (en) Film-forming apparatus and film-forming method
US9171734B1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US9163309B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
KR101991574B1 (ko) 성막 장치, 및 그것에 이용하는 가스 토출 부재
KR20150110246A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR101611202B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US9508546B2 (en) Method of manufacturing semiconductor device
JP5800969B1 (ja) 基板処理装置、半導体装置の製造方法、プログラム、記録媒体
KR101752075B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101965154B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20160001609A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록매체
KR20190035507A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR102256516B1 (ko) 기판 처리 장치
KR20220040993A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR20210119489A (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190219

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20200219

Year of fee payment: 5