KR20070103339A - 계면활성제를 함유하는 공정액 - Google Patents

계면활성제를 함유하는 공정액 Download PDF

Info

Publication number
KR20070103339A
KR20070103339A KR1020070097602A KR20070097602A KR20070103339A KR 20070103339 A KR20070103339 A KR 20070103339A KR 1020070097602 A KR1020070097602 A KR 1020070097602A KR 20070097602 A KR20070097602 A KR 20070097602A KR 20070103339 A KR20070103339 A KR 20070103339A
Authority
KR
South Korea
Prior art keywords
formula
independently
substrate
alkyl group
straight
Prior art date
Application number
KR1020070097602A
Other languages
English (en)
Other versions
KR100958689B1 (ko
Inventor
펭 장
다니엘 메간 킹 커지
유진 조셉 쥬니어 칼와키
레슬리 콕스 바버
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20070103339A publication Critical patent/KR20070103339A/ko
Application granted granted Critical
Publication of KR100958689B1 publication Critical patent/KR100958689B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • AHUMAN NECESSITIES
    • A45HAND OR TRAVELLING ARTICLES
    • A45DHAIRDRESSING OR SHAVING EQUIPMENT; EQUIPMENT FOR COSMETICS OR COSMETIC TREATMENTS, e.g. FOR MANICURING OR PEDICURING
    • A45D34/00Containers or accessories specially adapted for handling liquid toiletry or cosmetic substances, e.g. perfumes
    • A45D34/04Appliances specially adapted for applying liquid, e.g. using roller or ball
    • AHUMAN NECESSITIES
    • A45HAND OR TRAVELLING ARTICLES
    • A45DHAIRDRESSING OR SHAVING EQUIPMENT; EQUIPMENT FOR COSMETICS OR COSMETIC TREATMENTS, e.g. FOR MANICURING OR PEDICURING
    • A45D40/00Casings or accessories specially adapted for storing or handling solid or pasty toiletry or cosmetic substances, e.g. shaving soaps or lipsticks
    • A45D40/26Appliances specially adapted for applying pasty paint, e.g. using roller, using a ball
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • AHUMAN NECESSITIES
    • A45HAND OR TRAVELLING ARTICLES
    • A45DHAIRDRESSING OR SHAVING EQUIPMENT; EQUIPMENT FOR COSMETICS OR COSMETIC TREATMENTS, e.g. FOR MANICURING OR PEDICURING
    • A45D34/00Containers or accessories specially adapted for handling liquid toiletry or cosmetic substances, e.g. perfumes
    • A45D2034/002Accessories
    • AHUMAN NECESSITIES
    • A45HAND OR TRAVELLING ARTICLES
    • A45DHAIRDRESSING OR SHAVING EQUIPMENT; EQUIPMENT FOR COSMETICS OR COSMETIC TREATMENTS, e.g. FOR MANICURING OR PEDICURING
    • A45D40/00Casings or accessories specially adapted for storing or handling solid or pasty toiletry or cosmetic substances, e.g. shaving soaps or lipsticks
    • A45D2040/0006Accessories
    • AHUMAN NECESSITIES
    • A45HAND OR TRAVELLING ARTICLES
    • A45DHAIRDRESSING OR SHAVING EQUIPMENT; EQUIPMENT FOR COSMETICS OR COSMETIC TREATMENTS, e.g. FOR MANICURING OR PEDICURING
    • A45D2200/00Details not otherwise provided for in A45D
    • A45D2200/05Details of containers
    • A45D2200/053Transparent containers
    • AHUMAN NECESSITIES
    • A45HAND OR TRAVELLING ARTICLES
    • A45DHAIRDRESSING OR SHAVING EQUIPMENT; EQUIPMENT FOR COSMETICS OR COSMETIC TREATMENTS, e.g. FOR MANICURING OR PEDICURING
    • A45D2200/00Details not otherwise provided for in A45D
    • A45D2200/05Details of containers
    • A45D2200/054Means for supplying liquid to the outlet of the container
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/3021Imagewise removal using liquid means from a wafer supported on a rotating chuck

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Detergent Compositions (AREA)

Abstract

본 발명은 반도체 소자의 제조시에 결함의 수를 감소시키기 위하여 사용되는, 하나 이상의 계면활성제를 포함하는 공정액에 관한 것이다. 특정의 구체예에서, 공정액은 패턴화된 포토레지스트층의 현상 중에 또는 현상후에 세정액으로서 사용될 때 패턴 붕괴 또는 선폭 조도(line width roughness)와 같은 현상후 결함을 감소시킬 수 있다. 본 발명의 공정액을 이용하는 다수의 포토레지스트 코팅 기판상에서 패턴 붕괴 및/또는 선폭 조도와 같은 결함의 수를 감소시키는 방법도 개시된다.

Description

계면활성제를 함유하는 공정액{PROCESS SOLUTIONS CONTAINING SURFACTANTS}
관련 출원
본 출원은 2002년 8월 12일에 출원한 미국 출원 10/218,087호, 2003년 1월 9일에 출원한 미국 출원 10/339,709호, 2003년 7월 10일에 출원한 미국 출원 10/616,662호의 일부계속출원(CIP)이며, 전체가 본원에 참고로 인용된다.
발명의 배경
본 발명은 일반적으로 반도체 소자의 제조 방법에 관한 것이다. 보다 구체적으로, 본 발명은 작업량을 희생하지 않으면서 제조 공정 동안 발생하는 반도체 소자에서의 결함, 특히 패턴 붕괴 및 포토레지스트 선 조도(line roughness)를 줄이는 방법에 관한 것이다.
결함은 특히 장치 크기를 줄이면서 웨이퍼 크기를 300 mm 까지 확장시키는 경우, 제조 수율 및 장치 기능에 주요한 제한 요소이다. 본원에서 사용되는 용어 "결함"은 기판 표면상의 포토레지스트 패턴의 붕괴; "선폭 조도" 또는 "라인 에지 조도"과 같은 포토레지스트 라인에서의 조도; 리소그래피, 에칭, 스트리핑 및 화학적 기계적 평탄화(CMP) 잔류물과 같은 공정에서 생기는 기판상에 도입되는 입자; 제조 공정에서 생기거나 또는 제조 공정에 고유한 입자; 밀폐된 또는 부분적으로 개방된 또는 블록화된 콘택부 또는 바이어스(vias)와 같은 패턴 결점; 선폭의 변경; 및 기판 표면에 레지스트의 불량한 부착에서 생기는 결함과 같은 반도체 소자의 수율을 저감시키거나 손실을 유발시킬 수도 있는 결함에 관한 것이다.
결함을 줄임으로써 수율을 개선시키고자 하는 경향은 반도체 소자 생성 중의 제조 단계들, 즉 리소그래피, 에칭, 스트리핑 및 화학적 기계적 평탄화(CMP) 공정에 대한 새로운 시도를 나타낸다. 리소그래피 공정은 일반적으로 포지티브 또는 네가티브 포토레지스트로 기판을 코팅하는 단계, 기판을 방사선 공급원에 노광시켜 이미지를 제공하는 단계 및 기판을 현상하여 기판상에 패턴화된 포토레지스트층을 형성하는 단계를 포함한다. 패턴화된 층은 에칭, 도핑 및/또는 금속, 기타 반도체 재료 또는 절연 재료를 사용하는 코팅과 같은 후속 기판 패턴화 공정에서 마스크로서 기능한다. 에칭 공정은 일반적으로 화학물질 또는 플라즈마 식각제를 이용하여 패턴화된 포토레지스트에 의해 보호되지 않은 기판 표면을 제거함으로써 추가 처리를 위해 하부 표면을 노광시키는 단계를 포함한다. 스트리핑 공정은 일반적으로 습윤 스트리핑 또는 산소 플라즈마 애싱을 통하여 기판으로부터 가교된 포토레지스트 패턴을 제거하는 단계를 포함한다. CMP공정은 일반적으로 기판의 표면을 연마하여 처리시 평평함을 유지하는 것을 포함한다. 전술한 공정 모두는 통상 이들 공정에서 생긴 임의의 입자 물질 또는 부산물을 제거하는 세정 단계를 이용한다.
신세대 장치에서의 높은 종횡비(aspect ratio)로 인하여 반도체 소자의 제조에 있어서 패턴 붕괴는 떠오르는 과제가 되고 있다. 패턴화된 포토레지스트층의 두 께 및 종횡비는 리소그래피 이후의 후속하는 에칭 단계의 중요한 파라미터이다. 130 nm의 노드에서, 500 nm의 두께를 갖는 포토레지스트층의 종횡비는 4에 이를 수 있다. 이 값은 현상액 및/또는 세정액의 모세관력으로 패턴화된 포토레지스트층의 붕괴가 유발될 수 있는 지점일 수 있다. 모세관력 외에, 패턴 붕괴 문제는 레지스트의 기계적 강도, 다른 코팅, 즉 내반사성 코팅(ARC)의 도포 및, 포토레지스트층의 스핀-온 적용 동안의 노즐의 유형, 위치 및 원심력과 같은 다른 인자에 의해 더 영향을 받을 수도 있다.
패턴 붕괴의 주요 기여자는 현상후 건조 단계 동안의 물의 모세관력이다. 문헌[Tanaka, T., et al., "Mechanism of Resist Pattern Collapsed During Developer Process", Jpn. J. Appl. Phys., Vol. 32, 1993, pp. 6059-64] 참조. 패턴 현상후, 세정액의 표면 장력을 감소 또는 제거시켜 패턴화된 포토레지스트층 상에 가해지는 모세관력을 감소시킬 수 있다. 세정액의 표면 장력을 감소 또는 제거하기 위한 2개의 통상의 시도는 패턴화된 포토레지스트 특징부를 냉동 건조하거나 현상후 패턴화된 포토레지스트층을 건조하기 위해 초임계 유체를 사용하는 것일 수 있다. 이들 시도는 반도체 소자 제작에 통상 사용되지 않는 추가의 제조 단계 및 특별한 장치를 필요로 할 수 있다.
표면 장력을 감소시키기 위한 보다 통상적인 시도는 세정액에 계면활성제를 첨가하는 것일 수 있다. 공기와 액체의 계면에서 물의 표면 장력을 감소시키는 능력은 감소된 표면 장력이 통상 기판 표면상에서 물의 증가된 습윤성과 관련되기 때문에 다양한 적용예에서 매우 중요하다. 수계 시스템에서 표면 장력의 감소는 일반 적으로 계면활성제의 첨가를 통해 달성된다. 평형상태의 표면 장력 성능은 시스템이 휴지시에 중요하지만, 동적 조건하에서 표면 장력을 감소시키는 능력은 높은 표면 생성 속도가 사용되는 적용예, 즉, 스핀 코팅, 롤링, 스프레이 코팅 등과 같은 적용예에서 매우 중요하다. 동적 표면 장력은 고속 적용 조건하에서 용액의 표면 장력 저하능 및 습윤성 제공능을 측정한다. 또한, 분무 적용예와 같은 특정 적용예에서, 계면활성제는 버블 생성 및 발포의 문제점을 최소화시키는 방식으로 제제의 표면 장력을 감소시키는 장점이 있다. 발포 및 버블 생성은 결함을 유발할 수 있다. 따라서, 반도체 산업에서는 발포 문제 해결을 향한 상당한 노력이 이루어져 왔다.
일본 특허 제95142349A호는 암모늄 퍼플루오로알킬설포네이트 또는 퍼플루오로알킬 에톡실레이트와 같은 불소계 계면활성제를 현상액 또는 세정액에 첨가하는 것에 관해 기재하고 있다.
미국 특허 제6,152,148호는 CMP 후 폴리(아릴렌 에테르) 유전 필름이 코팅된 반도체 웨이퍼를 세정하는 데에 사용되는 수용액에 플루오로계면활성제 및 테트라알킬 4차 수산화암모늄 화합물과 같은 계면활성제를 첨가하는 것에 관해 기재하고 있다.
문헌[Domke, W. D et al., "Pattern Collapse in High Aspect Ratio DUV- and 193nm Resists", Proc. SPIE-Int. Soc. Opt. Eng. 3999, 313-321, 2000("Domke")]은 현상액에 계면활성제를 첨가하여 아크릴계 및 시클로올레핀-말레산 무수물 레지스트의 패턴 붕괴의 가능성을 감소시키는 것에 관해 기재하고 있다. 현상액에 첨가되는 "계면활성제"는 용매, 이소프로필 알콜이었다. Domke에 따르면, 현상액 중의 "계면활성제"의 첨가는 패턴 붕괴에 일관된 효과를 가지지 않는다.
PCT 출원 WO 02/23598호는 계면활성제 암모늄 라우릴 설페이트를 탈이온수(DI) 세정액 및 현상제에 첨가하고 이들을 패턴화된 포토레지스트에 적용하여 현상 후의 결함을 최소화하거나 제거하는 것에 관해 기재하고 있다.
일본 특허 출원 제96008163A호는 열수, 유기 용매 및 계면활성제를 현상후 세정액에 첨가하여 패턴 붕괴를 막는 것에 관하여 기재하고 있다. 구체적인 계면활성제는 언급되지 않았다.
PCT 출원 87/03387호는 이미지의 현상후 소성 전에 열적 안정화 보호 필름을 기판에 적용함으로써 에칭 및 기타 공정 동안 생성되는 열에 의한 뒤틀림 또는 변성에 대해 포토레지스트 이미지를 보호하는 것에 관해 기재하고 있다. 필름에 사용되는 재료는 플루오로카본 계면활성제, 필름 형성 중합체, 크롬 설페이트, 트리클로로아세트산, 크로모트로프산 및 이들의 염을 포함한다.
문헌[Cheung, C, et al., "A Study of a Single Closed Contact for 0.18 micron Photolithography Process" Proc. SPIE-Int. Soc. Opt. Eng. 3998, 738-741, 2000("Cheung")]은 옥틸 및 노닐 페놀 에톡실레이트, 예컨대, TRITON(등록상표) X-114, X-102, X-45 및 X-15와 같은 계면활성제를 세정액에 사용하여 포토레지스트 잔류물 및 단일의 차단된 접촉 결함을 제거하는 것에 관하여 기재하고 있다. Cheung에 따르면, 세정액에서의 계면활성제의 사용은 그다지 성공적이지 않았다.
미국 특허 제5,977,041호는 물, 수용성 유기산 및 수용성 표면 활성제를 포 함하는 스트리핑 후 수성 세정액에 관하여 기재하고 있다. 표면 활성제는 하나 이상의 아세틸렌계 알콜기를 갖는 올리고(에틸렌 옥시드) 화합물을 포함한다.
WO 00/03306호는 총 조성물 중 용매 약 50∼약 99.9 중량% 및 총 조성물 중 계면활성제 약 0.1∼약 30 중량%의 용매 및 계면활성제의 혼합물을 포함하는 스트리퍼 조성물에 관하여 기재하고 있다.
미국 특허 출원 제2002/0115022호는 각각이 암모늄 퍼플루오르알킬 설포네이트 또는 암모늄 퍼플루오르알킬 카르복실레이트와 같은 음이온성 계면활성제를 포함하는 현상제 및 세정액에 관해 기재하고 있다. 이들 용액은 연속적인 순서로 적용되어 패턴 붕괴를 감소시킨다.
문헌["Collpase Behavior of Single Layer 193 and 157 nm Resists: Use of Surfactants in the Rinse to Realize the Sub 130 nm Nodes:, Hien et al., Advances in Resist Tech. And Processing XIX, Proceedings of SPIE, Vol. 4690(2002), pp. 254-261("Hien")]은 10%의 플루오로계면활성제 및 물의 세정액을 현상후에 기판에 적용하여 패턴 붕괴를 감소시키는 것에 관하여 기재하고 있다. Hien에 따르면, 사용된 플루오로계면활성제의 일부가 붕괴 행태를 악화시켰다.
반도체 소자 제조에서의 또 다른 직면 과제는 본 명세서에서 라인 에지 조도(LER)로 칭해지는 단일 포토레지스트 라인의 한쪽 모서리에서의 포토레지스트 조도 또는 본 명세서에서 선폭 조도(LWR)로 칭해지는 포토레지스트 라인의 양쪽 모서리에서의 포토레지스트 조도이다. 선폭 조도는 통상적으로 요구되는 최소 선폭("CD")로부터 선폭을 변형시킴으로써 측정된다. 2003 반도체 국제 표준 로드맵은 LWR이 CD의 8% 이내임을 요구하고 있다. 예를 들면, 선폭의 3σ변형에 의해 측정된 LWR은 90 nm 표준 노드에서 3 nm 이내이고, 65 nm 노드에서 2.0 이내일 수 있다. 포토레지스트 선 조도에 기여할 수 있는 다양한 인자는 예를 들면 포토레지스트 제제-관련 인자(예, 분자량, 분자량 분포, 레지스트 중합체 구조, 광산 발생자) 및 공정 및 도구-관련 인자(예, 산 확산, 현상액 삼투, 산탄잡음, 마스크 조도 및 잠상 프로파일의 품질)을 포함한다. 포토레지스트 선 조도 결함을 감소시키려는 이전의 시도는 포토레지스트 제제의 개질 및 잠상의 콘트라스트 조절을 포함한다.
현상후 세정액으로서 통상 계면활성제를 사용하나, 이들 용액은 동적 조건하에서 표면 장력을 감소시키는 데에 효과적이지 않을 수 있다. 또한, 이들 용액은 발포 생성이라는 바람직하지 못한 부작용을 가질 수 있다. 이들 문제 때문에, 당업계에서 사용되는 일반적인 계면활성제를 사용하는 세정액은 반도체 소자에서 모든 결함, 특히 패턴 붕괴 결함을 감소시키는 데에 효과적이지 않을 수 있다.
본원에 인용된 모든 문헌은 전체가 참고로 인용되어 있다.
발명의 개요
본 발명은 공정액 및 이를 사용하는 방법을 제공함으로써 당업계의 필요의 전부는 아니지만 일부를 만족시킨다. 구체적으로, 본 발명의 한 측면은 반도체 소자의 제조에서의 결함을 감소시키는 방법을 제공한다. 본 발명의 방법은 포토레지스트 코팅을 포함하는 기판을 제공하는 단계; 기판을 방사선 공급원에 노광시켜 포토레지스트 코팅상에 패턴을 형성시키는 단계; 현상액을 기판에 적용하여 패턴화된 포토레지스트 코팅을 형성하는 단계; 임의로 기판을 탈이온수로 세정하는 단계; 및 기판을 하기 화학식 1, 2, 3, 4a, 4b, 5, 6, 7, 8, 9a, 9b, 9c, 10a, 10b, 10c 또는 10d를 갖는 하나 이상의 계면활성제 10 ppm∼약 10,000 ppm 및 용매를 포함하는 공정액과 접촉시키는 단계를 포함한다:
Figure 112007069739993-PAT00001
Figure 112007069739993-PAT00002
Figure 112007069739993-PAT00003
Figure 112007069739993-PAT00004
Figure 112007069739993-PAT00005
Figure 112007069739993-PAT00006
Figure 112007069739993-PAT00007
Figure 112007069739993-PAT00008
Figure 112007069739993-PAT00009
Figure 112007069739993-PAT00010
Figure 112007069739993-PAT00011
Figure 112007069739993-PAT00012
Figure 112007069739993-PAT00013
Figure 112007069739993-PAT00014
Figure 112007069739993-PAT00015
Figure 112007069739993-PAT00016
상기 화학식들에서, R, R1, R4 및 R12는 각각 독립적으로 C2-C25 또는 C3-C10의 직쇄형, 분지쇄형 또는 환형 알킬기이고; R2 및 R3은 각각 독립적으로 수소 원자 또는 C1-C10 또는 C1-C5의 알킬기이며; R5는 C1-C10의 직쇄형, 분지쇄형 또는 환형 알킬기이고; R6은 C4-C16의 직쇄형, 분지쇄형 또는 환형 알킬기이며; R7, R8 및 R9는 각각 독립적으로 C1-C6의 직쇄형, 분지쇄형 또는 환형 알킬기이고; R10은 독립적으로 H 또는 화학식
Figure 112007069739993-PAT00017
으로 표시되는 기이며; R11은 C4-C22의 직쇄형, 분지쇄형 또는 환형 알킬기이고; W는 수소 원자 또는 알키닐기이며; X 및 Y는 각각 독립적으로 수소 원자 또는 히드록실기이고; Z는 할라이드 원자, 히드록실기, 아세테이트기 또는 카르복실레이트기이며; i, m, n, p 및 q는 각각 독립적으로 0∼20의 범위의 수이고; r 및 s는 각각 독립적으로 2 또는 3이고; t는 0∼2의 범위의 수이며; j는 1∼5의 범위의 수이고; x는 1∼6의 범위의 수이다.
본 발명의 다른 측면에서, 표면상에서 현상된 포토레지스트 패턴을 포함하는 제1 기판을 제공하는 단계; 본원에 개시된 화학식 1, 2, 3, 4a, 4b, 5, 6, 7, 8, 9a, 9b, 9c, 10a, 10b, 10c 또는 10d를 갖는 하나 이상의 계면활성제 10 ppm∼약 10,000 ppm을 포함하는 공정액을 제조하는 단계; 제1 기판을 공정액과 접촉시키는 단계; 제1 기판상에서 공정액의 표면 장력 및 접촉각을 측정하는 단계; 표면장력에 접촉각의 코사인을 곱하여 공정액의 부착 장력값을 제공하는 단계; 다수의 기판 중의 각 기판이 표면상에 현상된 포토레지스트 패턴을 포함하는 것인 다수의 기판을 제공하는 단계; 및 공정액의 부착 장력값이 30 이하인 경우, 다수의 기판을 공정액과 접촉시키는 단계를 포함하는 다수의 기판의 표면상에 현상된 패턴의 붕괴를 방지하고 포토레지스트 선 조도를 감소시키는 방법을 제공한다.
본 발명의 또 다른 측면에서, 수성 용매 또는 비수성 용매로 이루어진 군으로부터 선택된 하나 이상의 담체 매질 및 본원에 기재된 화학식 1, 2, 3, 4a, 4b, 5, 6, 7, 8, 9a, 9b, 9c, 10a, 10b, 10c 또는 10d를 갖는 계면활성제 군으로부터 선택된 하나 이상의 계면활성제를 포함하는, 패턴화되고 현상된 기판 표면상에서 패턴 붕괴 결함을 감소시키기 위한 공정 세정액을 제공한다.
본원 발명의 이들 및 다른 측면은 다음의 상세한 설명으로부터 명백해질 것이다.
발명의 구성
본 발명은 반도체 소자 제조 중에 발생하는 결함의 수를 감소시키는 데 사용되는 공정액 및 이를 이용하는 방법에 관한 것이다. 소량으로 존재하는 하나 이상의 계면활성제를 포함하는 공정액으로 기판을 처리하면, 패턴화된 포토레지스트층의 표면상에서 용액의 습윤성을 개선시킴으로써 현상후 결함의 감소; 패턴화된 선상에 가해져 패턴 붕괴 결함에 기여하는 모세관력의 감소; 선 조도의 원인에 관계없이 포토레지스트 선 조도의 개선중 하나 이상의 이점들을 제공할 수 있다고 사료된다. 더욱이, 공정액은 당업계에서 현재 사용되는 다른 계면활성제에 비해 상대적으로 적게 발포되면서 동적 세정 상황에서 보다 효과적으로 작용한다.
본 발명의 공정액은 예를 들어, 리소그래피 공정액, 즉, 세정, 레지스트, 에지 비드 리무버(edge bead remover) 및 내반사성 코팅액(ARC); 에칭후 공정액, 즉, 측벽 필름, 스트리퍼, 스트립 후/회분 세정액; 웨이퍼 세정 공정액, 즉, RCA 또는 다른 표준 세정액에의 첨가제, 초임계 CO2 세정액; 및 항공우주산업에서의 중요 세정 또는 정확한 세정용 공정액과 같은 반도체 소자의 제조에 관련된 다양한 공정에 사용될 수 있다. 특정의 바람직한 구체예에서, 본 발명의 공정액은 탈이온수 세정액 외에 또는 탈이온수 세정액 대신에 리소그래피 세정액으로서 사용될 수 있다. 공정액내의 계면활성제는 발포를 최소화하는 동안 평형 및 동적 표면 장력의 감소 를 가능하게 할 수 있다.
본 발명의 공정액은 담체상 또는 매질로서 수계 용매 및/또는 비수계 용매를 가질 수 있다. 본원에서 사용된 "수성"은 80 중량% 이상, 바람직하게는 90 중량% 이상, 보다 바람직하게는 95 중량% 이상의 물을 포함하는 용매 또는 액체 분산 매질을 의미한다. 바람직한 수계 용매는 탈이온수이다. 공정액이 수계인 구체예에서, 하나 이상의 화학식 1∼10의 계면활성제가 23℃에서 물 중에 5 중량% 이하의 농도에서 45 다인/cm 미만의 동적 표면 장력을 나타내고, 문헌[Langmuir 1986, 2, 428-432(본원에 전체가 참고로 인용)]에 기재된 표면 장력 측정의 최대-버블-압력법에 따라 1 버블/초를 나타내는 것이 바람직하다.
비수성 용매가 물과 같은 수성 용매 외에 또는 수성 용매 대신에 사용되는 구체예에서, 선택된 비수성 용매는 그 안에 함유된 하나 이상의 계면활성제, 다른 공정액내의 부가제 또는 기판 그 자체와 반응하지 않을 것이다. 적합한 용매는 탄화수소(예컨대, 펜탄 또는 헥산); 할로카본(예컨대, 프레온 113); 에테르(예컨대, 에틸에테르(Et2O), 테트레하이드로푸란("THF"), 에틸렌글리콜모노메틸에테르 또는 2-메톡시에틸에테르(디글림); 니트릴(예컨대, CH3CN); 또는 방향족 화합물(예컨대, 벤조트리플루오라이드)를 들 수 있으나, 이에 한정되지는 않는다. 또 추가의 예시적인 용매는 락테이트, 피루베이트 및 디올을 들 수 있다. 이들 용매는 아세톤, 1,4-디옥산, 1,3-디옥솔란, 에틸아세테이트, 시클로헥사논, 아세톤, 1-메틸-2-피로디디아논(NMP) 및 메틸 에틸 케톤을 들 수 있지만 이에 한정되는 것은 아니다. 다 른 용매는 디메틸포름아미드, 디메틸아세트아미드, N-메틸피롤리돈, 에틸렌카보네이트, 프로필렌카보네이트, 글리세롤 및 유도체, 나프탈렌 및 치환된 형태, 아세트산무수물, 프로피온산 및 프로피온산 무수물, 디메틸술폰, 벤조페논, 디페닐술폰, 페놀, m-크레졸, 디메틸 술폭시드, 디페닐에테르, 테르페닐 등을 들 수 있다. 또 추가의 용매는 프로필렌글리콜프로필에테르(PGPE), 메탄올, 에탄올, 3-헵탄올, 2-메틸-1-펜탄올, 5-메틸-2-헥산올, 3-헥산올, 2-헵탄올, 2-헥산올, 2,3-디메틸-3-펜탄올, 프로필렌글리콜메틸에테르아세테이트(PGMEA), 에틸렌글리콜, 이소프로필알콜(IPA), n-부틸에테르, 프로필렌글리콜 n-부틸에테르(PGBE), 1-부톡시-2-프로판올, 2-메틸-3-펜탄올, 2-메톡시에틸 아세테이트, 2-부톡시에탄올, 2-에톡시에틸 아세토아세테이트, 1-펜탄올 및 프로필렌글리콜메틸에테르를 들 수 있다. 상기 열거한 비수성 용매는 단독으로 사용되거나 둘 이상의 용매와 조합하여 사용될 수 있다.
특정 구체예에서, 공정액은 수성 용매내에서 혼화성이거나 수혼화성인 하나 이상의 비수성 용매를 포함할 수 있다. 이들 구체예에서, 공정액 중의 비수성 용매의 양은 수성 용매를 포함하는 공정액내의 용매와 균형을 이루어 약 1∼약 50 중량% 범위일 수 있다. 수혼화성 비수성 용매의 예로는 메탄올, 에탄올, 이소프로필알콜 및 THF를 들 수 있다.
본 발명은 화학식 1∼10으로 나타내는 하나 이상의 계면활성제 10∼10,000 ppm을 포함한다. 통상의 계면활성제는 양쪽 친화성 성질을 나타내며, 이는 이들이 동시에 친수성이기도 하고 소수성이기도 할 수 있다는 것을 의미한다. 양쪽 친화성 계면활성제는 물에 대한 강한 친화성을 가지는 친수성 헤드 기(들), 및 친유기성 및 발수성의 긴 소수성 꼬리를 가지고 있다. 본 발명에 사용된 하나 이상의 화학식 1∼10의 계면활성제는 이온성(즉, 음이온성, 양이온성) 또는 비이온성일 수 있다.
본 발명의 특정 구체예에서, 공정액은 아세틸렌계 디올 유도체인 하나 이상의 비이온성 계면활성제를 포함할 수 있다. 본 발명의 계면활성제는 하기 화학식 1 또는 화학식 2로 나타낼 수 있다:
화학식 1
Figure 112007069739993-PAT00018
화학식 2
Figure 112007069739993-PAT00019
상기 화학식들에서, R1 및 R4는 각각 독립적으로 C3-C10의 직쇄형 또는 분지쇄형의 알킬쇄이고; R2 및 R3은 각각 독립적으로 수소 또는 C1-C5의 알킬쇄이며; i, m, n, p 및 q는 각각 독립적으로 0∼20의 범위의 수이다. 상기 계면활성제는 본 발명의 양수인인 미국 펜실베니아주 알렌타운 소재의 Air Products and Chemicals, Inc.사가 SURFYNOL(등록상표) 및 DYNOL(등록상표)이라는 상표명으로 시판하고 있다. 특정의 바람직한 구체예에서, 화학식 1 또는 2 분자의 아세틸렌계 디올부는 2,4,5,9-테트라메틸-5-데신-4,7-디올 또는 2,5,8,11-테트라메틸-6-도데신-5,8-디올이다. 아세틸렌계 디올 유도된 계면활성제는 예컨대, 본 발명의 양수인에게 양도되고 본원에 전체가 참고로 인용된 미국 특허 제6,313,182호 및 EP1115035A1에 기재된 방법을 비롯한 다수의 방법으로 제조될 수 있다.
화학식 1 및 2에서, (OC2H4)로 나타내는 알킬렌옥시드 부분은 (n + m) 중합된 에틸렌옥시드(EO) 몰 단위이고, (OC3H6)로 나타내는 부분은 (p + q) 중합된 프로필렌옥시드(PO) 몰 단위이다. (n + m)값은 0∼30의 범위이고, 바람직하게는 1.3∼15의 범위이며, 보다 바람직하게는 1.3∼10의 범위이다. (p + q)의 값은 0∼30의 범위이고, 바람직하게는 1∼10의 범위이며, 보다 바람직하게는 1∼2의 범위이다.
본 발명의 특정의 바람직한 구체예에서, 공정액은 다음의 화학식 3∼10으로 나타내는 하나 이상의 계면활성제 10∼10,000 ppm을 포함한다:
화학식 3
Figure 112007069739993-PAT00020
화학식 4a
Figure 112007069739993-PAT00021
화학식 4b
Figure 112007069739993-PAT00022
화학식 5
Figure 112007069739993-PAT00023
화학식 6
Figure 112007069739993-PAT00024
화학식 7
Figure 112007069739993-PAT00025
화학식 8
Figure 112007069739993-PAT00026
화학식 9a
Figure 112007069739993-PAT00027
화학식 9b
Figure 112007069739993-PAT00028
화학식 9c
Figure 112007069739993-PAT00029
화학식 10a
Figure 112007069739993-PAT00030
화학식 10b
Figure 112007069739993-PAT00031
화학식 10c
Figure 112007069739993-PAT00032
화학식 10d
Figure 112007069739993-PAT00033
각각의 상기 화학식들에서, R, R1, R4 및 R12는 각각 독립적으로 C2-C25 또는 C3-C10의 직쇄형, 분지쇄형 또는 환형 알킬기이고; R2 및 R3은 각각 독립적으로 수소 원자 또는 C1-C10 또는 C1-C5의 직쇄형, 분지쇄형 또는 환형 알킬기이며; R5는 C1-C10의 직쇄형 또는 분지쇄형의 알킬기이고; R6은 C4-C16의 직쇄형, 분지쇄형 또는 환형 알킬기이며; R7, R8 및 R9는 각각 독립적으로 C1-C6의 직쇄형, 분지쇄형 또는 환형 알킬기이고; R10은 독립적으로 H 또는 화학식
Figure 112007069739993-PAT00034
으로 표시되는 기이며; R11은 C4-C22의 직쇄형, 분지쇄형 또는 환형 알킬기이고; W는 수소 원자 또는 알키닐기이며; X 및 Y는 수소 원자 또는 히드록실기이고; Z는 할라이드 원자, 히드록실기, 아세테이트기 또는 카르복실레이트기이며; i, m, n, p 및 q는 각각 독립적으로 0∼20의 범위의 수이고; r 및 s는 각각 독립적으로 2 또는 3이고; t는 0∼2의 범위의 수이며; j는 1∼5의 범위의 수이고; x는 1∼6의 범위의 수이다. 화학식 3의 계면활성제의 예로는 3,5-디메틸-1-헥신-3-올 및 2,6-디메틸-4-헵탄올을 들 수 있으나, 이에 한정되지는 않는다. 화학식 4a 계면활성제의 예로는 N,N'-비스(1,3-디메틸부틸)에틸렌 디아민을 들 수 있으나, 이에 한정되지는 않는다. 화학식 5의 계면활성제의 예로는 디이소펜틸 타르트레이트를 들 수 있으나, 이에 한정되지는 않는다. 화학식 6의 계면활성제의 예로는 도데실트리메틸암모늄 클로라이드를 들 수 있으나, 이에 한정되지는 않는다. 화학식 7의 계면활성제의 예로는 2,4,7,9-테트라 메틸-4,7-데칸 디올을 들 수 있으나, 이에 한정되지는 않는다. 화학식 8의 계면활성제의 예로는 디에틸렌트리아민 및 n-부틸글리시딜에테르의 첨가생성물을 들 수 있으나, 이에 한정되지는 않는다. 화학식 9a, 9b 및 9c 계면활성제는 1차, 2차 또는 3차 알킬 아민이다. 화학식 9a 계면활성제의 예로는 옥틸아민을 들 수 있으나, 이에 한정되지는 않는다. 화학식 10a, 10b, 10c 또는 10d 계면활성제는 알킬 아민 에톡실레이트이다.
공정액은 임의로 분산제를 포함할 수 있다. 공정액에 첨가되는 분산제의 양은 약 10∼약 10,000 ppm의 범위이고, 바람직하게는 약 10∼약 5,000 ppm이며, 보다 바람직하게는 약 10∼약 1,000 ppm이다. 본원에서 사용된 분산제는 먼지, 가공 잔사, 탄화수소, 금속산화물, 안료 또는 공정액내의 기타 불순물과 같은 입자의 분산을 증진시키는 화합물을 의미한다. 본 발명에 적합한 분산제는 약 10∼약 10,000 범위의 수평균분자량을 갖는 것이 바람직하다.
분산제는 이온성 또는 비이온성 화합물일 수 있다. 이온성 또는 비이온성 화합물은 공중합체, 올리고머 또는 계면활성제를 단독으로 또는 조합하여 더 포함할 수 있다. 본원에서 사용된 공중합체는 블록, 스타 또는 그래프트 공중합체와 같은 하나 이상의 중합성 화합물로 구성된 중합체 화합물에 관한 것이다. 비이온성 공중합체 분산제의 예로는 트리-블록 EO-PO-EO 공중합체 PLURONIC(등록상표) L121, L123, L31, L81, L101 및 P123(BASF,Inc.)와 같은 중합성 화합물을 들 수 있다. 본원에서 사용된 올리고머는 단지 소수의 단량체 단위로 구성된 중합체 화합물을 의미하는 것이다. 이온성 올리고머 분산제의 예로는 SMA(등록상표) 1440 및 2625 올 리고머(Elf Alfochem)을 들 수 있다.
대안으로, 분산제는 계면활성제를 포함할 수 있다. 분산제가 계면활성제를 포함하는 경우, 계면활성제는 이온성(즉, 음이온성, 양이온성) 또는 비이온성일 수 있다. 계면활성제의 추가예로는 실리콘 계면활성제, 폴리(알킬렌옥시드) 계면활성제 및 플루오로화학 계면활성제를 들 수 있다. 공정액에 사용하기 위한 적합한 비이온성 계면활성제로는 TRITON(등록상표) X-114, X-102, X-45, X-15와 같은 옥틸 및 노닐 페놀 에톡실레이트 및 BRIJ(등록상표) 56(C16H33(OCH2CH2)10OH)(ICI), BRIJ(등록상표) 58(C16H33(OCH2CH2)20OH)(ICI)와 같은 알콜 에톡실레이트를 들 수 있으나, 이에 한정되지는 않는다. 또 추가의 예시적인 계면활성제는 알콜(1차 및 2차)에톡실레이트, 아민에톡실레이트, 글루코시드, 글루카미드, 폴리에틸렌글리콜, 폴리(에틸렌글리콜-코-프로필렌글리콜) 또는 문헌[McCutcheon's Emulsifiers and Detergents, North American Edition for the Year 2000, 미국 뉴저지주 글렌록 소재의 Manufacturers Confectioners Publishing Co.에서 출판]을 참고로 제공된 기타 계면활성제를 들 수 있다.
다양한 다른 첨가제는 임의로 적용예에 따라 공정액에 첨가될 수 있다. 이들 첨가제는 안정화제, 용해보조제, 착색제, 습윤제, 발포방지제, 완충제 및 다른 추가적인 계면활성제를 들 수 있으나, 이에 한정되지 않는다. 일반적으로, 달리 언급하지 않는다면, 각 첨가제의 양은 공정액 총중량을 기준으로 약 0.0001∼1 중량%, 보다 바람직하게는 0.0001∼0.1 중량%이 될 것이다. 하나 이상의 추가 계면활성제 가 공정액에 첨가되는 구체예에서, 계면활성제는 본원에 개시되거나 문헌(McCutcheon's Emulsifiers and Detergents)을 참고로 제공된 임의의 계면활성제일 수 있다.
특정 구체예에서, 본 발명의 공정액은 비수성 포토레지스트로서 사용될 수 있다. 이와 관련하여, 공정액은 바람직하게는 60∼90 중량%, 바람직하게는 70∼90 중량%의 비수성 용매; 5∼40 중량%, 바람직하게는 10∼20 중량%의 레지스트 중합체; 0.5∼약 2 중량%의 광활성 화합물; 10∼10,000 ppm의 하나 이상의 화학식 1∼10의 계면활성제; 및 중합 억제제, 염료, 가소화제, 점도조절제 등과 같은 기타 첨가제 1 중량% 미만을 포함하는 것이 바람직하다. 포토레지스트의 점도는 중합체 대 용매 비를 변화시킴으로써 조절할 수 있으므로, 레지스트를 다양한 필름 두께로 코팅되도록 제조할 수 있다. 포토레지스트 공정액내의 적합한 비수성 용매의 예로는 본원에 포함된 임의의 용매를 들 수 있다. 레지스트 중합체의 비제한적인 예로는 노볼락 수지 또는 폴리비닐페놀 공중합체를 들 수 있다. 광활성 화합물의 비제한적인 예로는 디아조나프토퀴논 또는 광산 발생자(PAG)를 들 수 있다.
본 발명의 공정액은 비수성 에지 비드 리무버로서 사용될 수도 있다. 에지 비드 리무버는 그 내부의 중합체를 가교하기 위해 패턴화된 포토레지스트층을 소성하기 전에 또는 리소그래피 전에 적용할 수 있다. 본 구체예에서, 공정액은 99∼100 중량%의 비수성 용매, 10∼10,000 ppm의 하나 이상의 화학식 1∼10 계면활성제; 1 중량% 미만의 다른 첨가제를 포함하는 것이 바람직하다. 에지 비드 리무버 공정액내의 적합한 비수성 용매의 예로는 그 내부에 포함된 임의의 용매를 들 수 있다. 특정의 바람직한 구체예에서, 용매는 PGMEA, 에틸락테이트 또는 아니솔일 수 있다.
본 발명의 공정액은 기판의 상부면 및 바닥면의 내반사성 코팅으로서 사용될 수도 있다. 본 구체예에서, 공정액은 60∼99 중량%의 비수성 용매; 1∼40 중량%, 바람직하게는 1∼20 중량%의 중합체; 10∼10,000 ppm의 하나 이상의 화학식 1∼10 계면활성제; 및 1 중량% 미만의 가교제(들), 계면활성제(들), 안료 화합물 등과 같은 기타 첨가제를 포함하는 것이 바람직하다. 일반적으로, 공정액의 고체 함량은 공정액 총중량의 약 0.5∼약 40, 바람직하게는 0.5∼약 20, 보다 바람직하게는 2∼10 중량%로 변화될 수 있다. ARC 공정액내의 적합한 비수성 용매의 예로는 본원에 포함된 임의의 용매를 들 수 있다. 특정의 바람직한 구체예에서, 용매는 PGMEA 또는 에틸락테이트일 수 있다. ARC 공정액내의 적합한 중합체의 예로는 아크릴레이트 중합체 또는 미국 특허 제6,410,209호에 개시된 바와 같은 페닐-함유 중합체 및 메틸실록산, 메틸실세스퀴녹산과 같은 스핀-온-글래스 물질 및 미국 특허 제6,268,457호 및 제6,365,765호에 개시된 것과 같은 실리케이트 중합체를 들 수 있으나, 이에 한정되지는 않는다.
본 발명의 공정액은 현상 단계후에 수행된 RCA-형 세정과 같은 웨이퍼 세정법에 사용될 수 있다. 본 구체예에서, 기판은 스트리핑, CMP, 회분 세정 및/또는 에칭 단계가 완결된 후에 공정액으로 처리될 수 있다. 본 발명의 한 구체예에서, 공정액은 아민 및/또는 수산화암모늄, 수산화알킬암모늄과 같은 염기; H2O2와 같은 산화제; 임의로 킬레이트제; 10∼10,000 ppm의 하나 이상의 화학식 1∼10의 계면활성제를 수성 용매 또는 물 중에 포함한다. 킬레이트제의 일부 비제한적인 예는 다음의 유기산 및 그 이성질체 및 염이 있다: (에틸렌디니트릴로)테트라아세트산(EDTA), 부틸렌디아민테트라아세트산, 시클로헥산-1,2-디아민테트라아세트산(CyDTA), 디에틸렌트리아민펜타아세트산(DETPA), 에틸렌디아민테트라프로피온산, 에틸렌디아민테트라프로피온산, (히드록시에틸)에틸렌디아민트리아세트산(HEDTA), N,N,N',N'-에틸렌디아민테트라(메틸렌포스폰)산(EDTMP), 시트르산, 타르타르산, 프탈산, 글루콘산, 사카린산, 카테콜, 갈산, 피로갈로, 프로필갈레이트 및 시스테인. 다른 구체예에서, 공정액은 묽은 HF; 하나 이상의 화학식 1∼10 계면활성제 10∼10,000 ppm; 및 물을 포함한다. 또 다른 구체예에서, 공정액은 산 대 산화제의 비가 1:1인 황산 또는 염산과 같은 산 및 H2O2와 같은 산화제; 임의로 킬레이트제; 하나 이상의 화학식 1∼10 계면활성제 10∼10,000 ppm; 및 수성 용매 또는 물을 포함한다. 또 다른 구체예에서, 공정액은 전해질의 이온수와 같은 수성 용매 및 하나 이상의 화학식 1∼10 계면활성제 10∼10,000 ppm을 포함한다. 또 다른 구체예에서, 공정액은 UV/오존, 하나 이상의 화학식 1∼10 계면활성제 10∼10,000 ppm; 및 물을 포함한다. 웨이퍼 세정 적용예에서, 공정액은 메가소닉(megasonic) 적용 또는 분무 적용과 같은 통상의 세정에 사용될 수 있다.
본 발명의 공정액은 하나 이상의 화학식 1∼10 계면활성제와 수성 및/또는 비수성 용매 및 임의의 부가적인 첨가제를 혼합함으로써 제조될 수 있다. 특정 구 체예에서, 혼합은 그 안에 포함된 성분을 용해시키기 위하여 약 40∼60℃의 온도 범위에서 수행될 수 있다. 생성된 공정액을 임의로 여과시켜 기판에 악영향을 미칠 가능성이 있는 용해되지 않은 임의의 입자를 제거할 수 있다.
현상 단계 중에 또는 현상 단계 후에 공정액을 이용하여 기판의 표면을 처리하는 것이 바람직하다. 적절한 기판은 갈륨 아르세나이드("GaAs"), 규소, 탄탈, 구리, 세라믹, 알루미늄/구리 합금, 폴리이미드 및 결정형 규소, 폴리규소, 무정형 규소, 에피택시얼 규소, 이산화규소("SiO2"), 질화규소, 도핑된 이산화규소 등과 같은 규소를 함유하는 조성물과 같은 물질을 들 수 있으나, 이에 한정되지는 않는다. 추가의 예시적인 기판은 규소, 알루미늄 또는 중합체 수지를 들 수 있다.
특정의 바람직한 구체예에서, 공정액은 포토레지스트 코팅이 적용된 기판에 적용된다. 이후 포토레지스트-코팅된 기판을 방사선에 노광시켜 포토레지스트 코팅시 부여되는 패턴을 제공한다. 사용할 수 있는 방사선 공급원의 예로는 자외선, 전자빔, X-선, 레이저 또는 이온빔을 들 수 있다. 일부 구체예에서는 노광 단계 전에 사전 소성(pre-bake) 또는 소프트 소성(soft-bake) 단계를 수행하여 그 내부에 포함된 임의의 용매를 제거한다. 이러한 사전 소성 또는 소프트 소성 단계는 예컨대, 90℃∼150℃의 온도 범위에서 30∼120 초 동안 핫 플레이트상에서 수행될 수 있다.
포토레지스트 코팅이 포지티브인지 네가티브인지에 따라, 방사선은 순차적으로 적용되는 수산화테트라메틸암모늄(TMAH), 수산화칼륨, 수산화나트륨 또는 기타 염기를 포함하는 공정액과 같은 염기성 현상액에서의 그 용해도를 증가시킬 수도 있고 감소시킬 수도 있다. 현상액의 추가예는 미국 특허 제6,455,234호; 제6,268,115호; 제6,238,849호; 6,127,101호 및 6,120,978호에서 제공된 것을 포함한다. 포지티브 포토레지스트 코팅에서, 노광된 영역이 용해되어 없어지는 동안, 방사선으로부터 보호된 영역은 현상후에 남아있다. 네가티브 포토레지스트가 코팅된 코팅에서는, 반대 현상이 일어난다. 본 발명의 공정액은 포지티브 또는 네가티브 포토레지스트가 코팅된 기판을 처리하기에 적합할 수 있다. 패턴화된 포토레지스트 이미지는 정지(quiescence), 침지, 스프레이 또는 퍼들(puddle) 현상을 비롯한(이에 한정되지 않음) 다양한 수단에 의해 현상될 수 있다. 예컨대, 정지 방법에서, 현상액은 노광된 기판 표면에 작용되고 패턴을 현상하기에 충분한 시간 후에, 기판 표면에 세정액을 적용한다. 현상 시간 및 온도는 사용된 방법에 따라 달라질 것이다.
패턴화된 포토레지스트 이미지가 현상된 후, 기판을 소성시켜 포토레지스트 내에 포함된 중합체를 경화시킨다. 소성 단계는 예컨대, 70℃∼150℃ 범위의 온도에서 30∼120 초의 시간 동안 수행될 수 있다.
공정액은 제조된 용액으로서 기판 표면에 적용되는 것이 바람직하다. 그러나, 다른 구체예에서, 공정액은 기판 표면과 접촉하기 직전 또는 접촉 동안 세정 스트림내에서 제조될 수 있다. 예를 들어, 하나 이상의 화학식 1∼9 계면활성제의 특정양을 임의로 다른 첨가제를 포함하는 물 및/또는 비수성 용매 매체의 연속 스트림내에 투입하여 공정액을 형성할 수 있다. 본 발명의 일부 구체예에서, 하나 이상의 화학식 1∼10 계면활성제의 일부는 공정액의 적용 후 기판에 첨가될 수 있다. 이 경우에, 공정액은 기판의 공정 중에 다단계로 형성될 수 있다. 본 발명의 또 다른 구체예에서, 하나 이상의 화학식 1∼10 계면활성제는 카트리지 또는 필터와 같은 고표면적 장치의 물질(다른 첨가제를 포함할 수도 포함하지 않을 수도 있음) 상에 증착될 수도 있고 또는 상기 물질을 포함할 수도 있다. 이후 물 및/또는 비수성 용매의 스트림이 카트리지 또는 필터를 통과함으로써 공정액을 형성한다. 본 발명의 또 다른 구체예에서, 공정액은 접촉 단계 중에 제조된다. 이와 관련하여, 하나 이상의 화학식 1∼10 계면활성제는 기판 표면에 점적기 또는 다른 수단을 통해 도입된다. 이후 물 및/또는 비수성 용매 매질은 기판 표면에 도입되고 기판 표면에서 하나 이상의 화학식 1∼10 계면활성제와 혼합됨으로써 공정액을 형성한다.
본 발명의 다른 구체예에서, 하나 이상의 화학식 1∼10 계면활성제를 포함하는 농축 조성물은 물 및/또는 비수성 용매에서 희석될 수 있도록 제공되어 공정액을 제공한다. 본 발명의 농축 조성물 또는 "농축물"은 소정 강도 및 pH로 농축물을 희석할 수 있게 한다. 농축물은 또한 생성물의 저장 수명을 보다 길게 하고 선적 및 저장을 보다 용이하게 한다.
다양한 수단이 공정액과 기판 표면을 접촉시키는 데에 사용될 수 있다. 접촉 단계의 실제 조건(즉, 온도, 시간 등)은 광범위하게 변화될 수 있으며 통상 다양한 인자, 예컨대, 기판 표면상의 잔류물의 성질 및 양 및 기판 표면의 소수성 또는 친수성 등과 같은 것에 따라 좌우되지만 이에 한정되는 것은 아니다. 접촉 단계는 예컨대, 기판 표면상으로 공정액을 적용하는 스트림라인 공정과 같은 동적 방법으로, 또는 예컨대 공정액을 함유조내에 기판을 퍼들 세정하거나 침지하는 것과 같은 정 적 방법으로 수행될 수 있다. 공정액은 연속 공정과 같은 동적 방법에서 기판 표면상으로 분무하거나, 또는 표면상으로 분무하여 정적 방법으로 그곳에 잔류하도록 할 수도 있다. 특정의 바람직한 구체예에서, 접촉 단계는 정적 방법으로 수행된다. 접촉 단계 기간 또는 기판 표면에 공정액의 접촉 시간은 1 초 미만∼수백초로 달라질 수 있다. 상기 기간은 1 초∼200 초의 범위일 수 있고, 1 초∼150 초가 바람직하며, 1 초∼40 초가 보다 바람직하다. 접촉 단계의 온도 범위는 10℃∼100℃로 달라질 수 있고, 10∼40℃가 보다 바람직하다.
접촉 단계가 정적인지 동적인지와는 무관하게, 공정액 또는 농축물은 여전히 습윤되어 있는 기판 표면에 적용되는 것이 바람직하다. 하나의 구체예에서, 예를 들어, 공정액은 포토레지스트층의 현상후 세정액으로서 사용된다. 이와 관련하여, 포토레지스트-코팅된 기판은 현상액을 통해 현상된다. 현상후, 공정액은 탈이온수 세정액 외에 또는 대신에 세정액으로 기판 표면에 적용된다. 기판이 현상액 및/또는 탈이온수로 여전히 습윤되어 있는 동안, 공정액은 동적 방식 또는 기판 표면상으로 이를 퍼들링하는 것과 같은 정적 방식으로 적용될 수 있다. 분배 동안, 기판은 예컨대, 100 rpm(분당 회전수)의 속도로 천천히 기판을 스핀시켜 기판 표면상으로 공정액을 분포시킨다. 동적 공정에서, 기판은 공정액이 기판상에 연속적으로 분배되는 동안 천천히 스핀된다. 퍼들 공정과 같은 정적 공정에서, 기판은 짧은 시간, 예컨대, 15 초 동안 휴지된다. 공정액을 이용한 세정 단계가 완결된 후, 세정된 웨이퍼를 예컨대, 더 높은 rpm에서 스핀 건조에 의해 건조한다.
본 발명의 다른 구체예에서, 패턴화된 포토레지스트-코팅된 기판의 패턴 붕 괴 결함의 수를 최소화시킬 하나 이상의 화학식 1∼10 계면활성제를 포함하는 공정액을 선택하는 방법을 제공한다. 이와 관련하여, 본 방법은 하나 이상의 계면활성제 10∼10,000 ppm을 함유하는 공정액의 접촉각을 측정하고 표면장력을 측정하는 것을 포함한다. 공정액은 우선 샘플 포토레지스트-코팅된 기판의 표면에 적용된다. 본 공정액의 표면 장력, 바람직하게는 동적 표면 장력은 본원에 기재된 최대-버블-압력법에 따라 측정될 수 있다. 기판 표면상의 공정액의 소적(droplet)의 기준선(baseline)과 소적 기준에서의 접선 사이의 각인 공정액의 접촉각이 이후 측정된다. 특정의 바람직한 구체예에서, 고속 카메라를 사용하여 초당 2프레임의 속도로 2 분 간격동안 소적의 퍼짐을 포착할 수 있으며 접촉각을 사진 영상으로 측정할 수 있다.
*공정액의 표면 장력 및 접촉각이 일단 얻어지면, 표면 장력은 이후 접촉각 측정의 코사인을 곱하여 본원에서 "부착 장력값"으로 언급되는 특정값을 제공한다. 공정액의 낮은 부착 장력값은 패턴 붕괴 결함에서의 큰 감소와 관련되어 있다. 30 이하, 바람직하게는 25 이하, 보다 바람직하게는 20 이하의 부착 장력값은 공정액이 선행 기술에 기재된 기타 계면활성제를 포함하는 탈이온 세정액 또는 공정액에 비해 패턴 붕괴 결함의 수를 감소시키는 데에 보다 효과적일 수 있음을 나타낸다. 부착 장력값이 허용가능하다면(즉, 30 이하), 공정액은 이후 생성물 로트에 사용될 수 있다. 화학식 1∼10 계면활성제의 농도는 각 계면활성제의 상이한 농도에서 계산된 최소의 부착 장력값에 의해 결정되어진다. 특정의 바람직한 구체예에서, 공정 액은 종횡비 3.0 이상, 피치 1:1.4 이상, 정규화된 종횡비 0.015 1/nm인 패턴화되고 현상된 포토레지스트 코팅 기판에 대해 탈이온수와 비교하여 패턴 붕괴 결함의 수를 25% 이상, 바람직하게는 50% 이상, 보다 바람직하게는 75% 이상 감소시켰다.
본 발명은 다음의 실시예에서 보다 상세하게 설명될 것이고, 본 발명을 한정하려는 것은 아니라는 것을 알아야 된다.
실시예
실시예 1∼5: 동적 표면 장력(DST)
2,4,7,9-테트라메틸-5-데신-4,7-디올(실시예 1∼3) 또는 2,5,8,11-테트라메틸-6-도데신-5,8-디올(실시예 4 및 5)에서 유도된 아세틸렌계 디올 계면활성제를 함유하는 5개의 공정액이 연속 교반하에서 탈이온수에 계면활성제 0.1 중량%를 첨가함으로써 제조되었다.
각 공정액에 대한 동적 표면 장력(DST) 데이터는 문헌(Langmuir 1986, 2, pp. 428-432)에 기재된 최대버블압력법을 통해 수집되었다. 미국 노스캐롤라이나주 샤롯트 소재의 Kruss, Inc.에 의해 제조된 Kruss BP3 버블 압력 장력계를 이용하여 0.1 버블/초(b/s)∼20 b/s의 범위의 버블 속도에서 데이터를 수집하였다. 각 실시예 및 동적 표면 장력 데이터에 대한 EO 및 PO의 몰 단위는 표 1에 나타낸다.
동적 표면 장력 데이터는 평형 근접(0.1 b/s) 내지 상대적으로 높은 표면 생성 속도(20 b/s)의 조건에서 계면활성제의 성능에 관한 정보를 제공한다. 반도체 또는 IC 공정과 같은 적용예에서, 높은 버블속도는 보다 빠른 기판 회전 속도 또는 현상후 세정 공정에서의 동적 분배에 상응할 수 있다. 특히 보다 우수한 포토레지스트 코팅 기판의 습윤성, 결함수 감소 및 패턴 붕괴 방지를 위해, 동적 표면 장력을 높은 버블 속도(즉, 20 b/s에서의 70-72 다인/cm)에서 물의 동적 표면 장력 보다 낮게 감소시키는 것이 바람직하다. 표 1에서 나타낸 바와 같이, 모든 공정액은 높은 버블 속도에서 물의 동적 표면 장력 미만의 값을 나타냈다. 이는 본 발명의 공정액이 물의 표면 장력을 감소시키는 데에 효과적일 수 있다는 것을 나타낸다.
동적 표면 장력
실시예 몰 EO (m + n) 몰 PO (p + q) DST (다인/cm) 0.1 b/s DST (다인/cm) 1 b/s DST (다인/cm) 6 b/s DST (다인/cm) 15 b/s DST (다인/cm) 20 b/s
1 5 2 34.0 35.3 37.6 41.5 44.3
2 5 0 35.1 35.2 38.1 42.0 44.4
3 0 0 32.1 33.1 34.2 36.1 40.3
4 0 0 34.1 43.6 58.1 68.3 69.8
5 4 0 26.8 26.8 31.5 35.9 39.1
실시예 5∼7: 발포 특성
2,4,7,9-테트라메틸-5-데신-4,7-디올(실시예 5 및 6) 또는 2,5,8,11-테트라메틸-6-도데신-5,8-디올(실시예 7)에서 유도된 아세틸렌계 디올 계면활성제를 함유하는 3개의 공정액이 연속 교반하에서 탈이온수에 각 계면활성제 0.1 중량%를 첨가함으로써 제조되었다.
발포는 세정액에서 계면활성제의 바람직하지 못한 부작용이다. ASTM D 1173-53, Ross-Miles 테스트법을 기초로 한 공정을 이용하여 실시예 5∼7의 발포 특성을 검사하였으며, 그 결과는 표 2에 나타냈다. 본 테스트에서, 각 공정액 200 ml를 상승 발포 피펫으로부터 실온에서 동일 용액 50 ml를 함유하는 발포 용기에 첨가한다. Ross-Miles법은 동일한 액체를 함유하는 원통형 용기로 액체를 붓는 작용을 활성화한다. 그 결과는 표 2에 나타낸다. 첨가 완결시 발포 높이를 측정하고("최초 발포 높이"), 발포가 소멸하는 데 필요한 시간("발포 0까지의 시간")을 기록한다. 특정 적용예에서, 발포로 인하여 기판의 표면이 적합하게 코팅되지 못하여 결함을 유발할 수 있으므로 발포는 바람직하지 않을 것이다. 표 2에 나타낸 바와 같이, 발포 0까지 도달하는 데 걸리는 시간은 대략 1 분 이하이다.
또한, Ross-Miles 테스트를 이용하여 플루오로계면활성제(퍼플루오로알킬 에톡실레이트) 0.1 중량% 및 이온성 계면활성제(나트륨 라우릴 설페이트)를 함유하는 공정액과 실시예 5의 공정액을 비교하였다. 본 비교의 결과를 표 3에 나타낸다. 표 3에서 나타낸 바와 같이, 플루오로계면활성제 및 이온성 계면활성제를 함유하는 용액이 여전히 5 또는 10 분 간격으로 현저한 발포를 나타냈다. 반도체 가공 적용예에서, 현저한 발포의 존재는 바람직하지 못할 수 있고 공정 결함의 증가를 유발할 수 있다.
발포 특성
실시예 몰 EO (m + n) 몰 PO (p + q) 최초 발포 높이 (cm) 발포 0까지의 시간(초)
5 5 2 0.6 6
6 0 0 2.0 3
7 4 0 2.5 60
다른 계면활성제를 포함하는 용액으로 발포 특성을 비교
세정액 조성 최초 발포 높이 (cm) 6초에서의 발포 높이(cm) 5 분에서의 발포 높이 (cm) 5 분에서의 발포 높이 (cm)
실시예 5 0.6 0 0 0
플루오로계면활성제 (0.1 중량%)(1) 14.5 14.5 N/A 13.5
이온성 계면활성제 (0.25 중량%)(2) 22.0 22.0 20.0 N/A
(1) DuPont ZONYL(등록상표) 마케팅 문헌에서 얻은 정보 (2) 문헌[Weil, J. K., et al., "Synthetic Detergents from Animal Fats: the Sulfonation of Tallow Alcohols", J. Am. Oil Chem. Soc. 31, p. 444-47(1954)]에서 얻은 정보.
실시예 8∼9: 접촉각 데이터
2,4,7,9-테트라메틸-5-데신-4,7-디올(실시예 8a 및 8b) 또는 2,5,8,11-테트라메틸-6-도데신-5,8-디올(실시예 9a 및 9b)에서 유도된 다양한 양의 계면활성제를 함유하는 공정액의 습윤성 및 비교를 위한 DI수(비교예 1)의 습윤성을 Sessile 드롭법을 이용하여 미국 노스캐롤라이나주 샤롯트 소재의 Kruss USA에 의해 제공된 G10/DSA10 Kruss 드롭 형태 분석기상에서 측정하였다. 본 방법에서, 포토레지스트-코팅된 기판의 표면상의 국소영역 습윤성은 수성 현상액의 소적의 기준선과 소적 기준에서의 접선 사이의 접촉각을 측정함으로써 추정된다. 고속 카메라로 2 분 동안 초당 2프레임의 속도에서 소적의 퍼짐을 포착했으며, 접촉각을 측정하였다.
2,4,7,9-테트라메틸-5-데신-4,7-디올 및 2,5,8,11-테트라메틸-6-도데신-5,8-디올계 계면활성제의 공정액을 다음의 방식으로 제조하였다. 부피 측정 플라스크를 다양한 양의 계면활성제 및 DI수로 충전하여 실온에서 100 ml의 수준까지 도달시켰다. 혼합물을 계면활성제가 그 내부에서 용해되어 공정액을 형성할 때까지 진탕하였다. 실시예 8a, 8b, 9a 및 9b의 공정액 중의 계면활성제의 양은 표 4에 나타낸다.
미국 캘리포니아주 산호세 소재의 Wafernet Inc.에 의해 제공된 실리콘 웨이퍼는 스핀 속도 3200 rpm에서 스핀 코팅 공정을 이용하여, 일본 오사카 소재의 Sumitomo Chemical Co., Ltd.에 의해 제공된 A10 4318 포토레지스트 코팅으로 코팅하였다. 포토레지스트 표면상의 공정액의 접촉각을 측정하였다. 표 4는 초로 나타낸 상이한 드롭 에이지(drop age)에서 공정액과 DI수(비교예 1)에 대한 접촉각의 값을 제공한다.
통상, 약 20 ° 이하의 접촉각은 기판 표면의 완벽한 습윤을 나타낼 수 있다. 표 4에서 나타낸 바와 같이, 본 발명의 공정액으로 처리한 포토레지스트-코팅된 기판상의 TMAH 현상제의 접촉각은 DI수로 처리된 포토레지스트의 접촉각보다 작다. 또한, 공정액내의 계면활성제의 양이 많아지면 계면활성제가 보다 더 흡수되고 습윤이 개선될 수 있다.
실시예 Amt 계면활성제 접촉각 (0 초) 접촉각 (5 초) 접촉각 (10 초) 접촉각 (30 초)
비교예 1-DI수 -- 61.8 61.7 61.5 61.1
실시예 8a 125 ppm 47.3 46.9 46.5 45.4
실시예 8b 600 ppm 47.3 42.6 40.6 36.4
실시예 9a 100 ppm 50.0 46.8 45.0 41.6
실시예 9b 350 ppm 40.0 29.4 25.3 17.2
실시예 10: DI 세정 대 공정액 세정 이후의 현상후 결함 수
기판상의 현상후 결함의 수는 DI수(비교예 2) 대 본 발명의 공정액 함유 세정액(실시예 10)으로 기판을 처리한 후 비교하였다. 공정액은 2,5,8,11-테트라메틸-6-도데신-5,8-디올-유도된 계면활성제 50 ppm 및 Elf Alfochem에 의해 제공된 SMA(등록상표) 1440 올리고머 분산제 170 ppm을 함유하였다. 기판을 다음의 방식으로 가공하였다: 포토레지스트-코팅된 기판을 365 nm 빛에 노광시키고, 약 1 분 동안 약 110℃의 온도까지 가열한 후 현상하여 묽은 TMAH 용액으로 패턴화된 포토레지스트를 형성하였다. TMAH 용액은 0.21 N TMAH 용액을 100 초 동안 기판상으로 동적 분배시킴으로써 적용되었다.
비교예 2에서, DI수를 함유하는 세정은 현상제 노즐이 꺼지기 15 초 전에 시작하여 7 분동안 지속되었다. 기판은 미국 캘리포니아주 산호세 소재의 KLA-Tencor Inc.에 의해 제공된 TereStar(등록상표) KLA-Tencor 결함 조사 기구를 이용하여 결함에 대해 조사하였다. 조사 결과는 표 5에 나타낸다.
기판은 동일한 현상제 및 공정 조건을 이용하여 비교예 2에서와 동일한 방식으로 가공하였다. 그러나, 현상 100 초 후, 아세틸렌계 디올 계면활성제를 포함하는 공정액(실시예 10)은 패턴화된 포토레지스트-코팅된 표면을 세정하기 위해 사용되었다. 현상제를 이용한 중첩 기간은 비교예 2와 동일하였다. 공정액으로 120 초 세정한 후, DI수 세정을 또 다른 7 분 동안 이용하였다. 기판은 TereStar(등록상표) KLA-Tencor 결함 조사 기구를 이용하여 결함을 조사하였고 결함을 분류하여 계수하였다. 조사의 결과는 표 6에 나타낸다.
표 6에 나타낸 바와 같이, 본 발명의 공정액은 패턴화된 포토레지스트 표면으로부터 포토레지스트 잔류물을 완전하게 제거할 수 있었다. 반대로, 표 5는 DI수로 세정한 후 잔류 포토레지스트로부터 생긴 다수의 결함들 및 다른 공급원들로부터 생긴 다수의 결함들을 나타낸다. 따라서, 기판을 본 발명의 공정액으로 세정하면 현상후 결함의 수를 효과적으로 줄이고 공정 수율을 개선시켰다.
DI수 세정 후의 현상후 결함
결함 형태 특대 총계
페턴 결함 0 55 35 1 91
핀홀/도트 0 148 2 0 150
총계 0 203 37 1 241
공정액 세정 후의 현상후 결함
결함 형태 특대 총계
페턴 결함 0 0 0 0 0
핀홀/도트 0 0 0 0 0
총계 0 0 0 0 0
실시예 11: 공정액 대 플루오로계면활성제 함유 용액의 평형 표면 장력 및 동적 표면 장력 비교
2,5,8,11-테트라메틸-6-도데신-5,8-디올 및 플루오로계면활성제, 미국 미주리주 세이트루이스 소재의 3M에 의해 제공된 칼륨 퍼플루오로옥탄 카르복실레이트로부터 유도된 계면활성제 0.1 중량%를 함유하는 공정액을 평형 표면 장력(EST) 및 동적 표면 장력(DST)을 비교하기 위해 제조하였다. 양방의 용액에 대한 EST는 미국 노스캐롤라이나주 샤롯트 소재의 Kruss, Inc.에 의해 제조된 Kruss BP3 버블 압력 장력계상에서 Wilhemy 플레이트법을 이용하여 측정하였다. 각 공정액의 DST는 실시예 1∼5에서 사용된 최대버블압력법을 통해 측정하였다. EST 및 DST 테스트의 결과를 표 7에 나타낸다.
표 7에서와 같이, 플루오로계면활성제는 본 발명의 공정액과 비교하여 낮은 EST를 나타내는 반면, 현저하게 낮은 DST는 플루오로계면활성제가 불량한 동적 표면 장력 감소 능력을 나타내는 것을 지시한다. 반도체 제조시에 사용되는 동적 세정 공정과 같은 높은 표면 생성 속도를 요구하는 적용예에서, 본 발명의 공정액은 낮은 DST 값으로 인하여 플루오로계면활성제를 함유하는 용액보다 더 적합할 수 있다.
세정물 조성 (0.1 중량%) EST (다인/cm) DST (cm/cm)
실시예 11 25.8 28.4
플루오로계면활성제 21.2 72.4
실시예 12∼18: 본 발명의 공정액의 부착 장력값 결정
화학식 1∼8의 계면활성제를 함유하는 7개의 공정액은 연속적인 교반하에서 탈이온수에 계면활성제 1 중량% 미만을 첨가함으로써 제조하였다. 각 공정액이 함유된 계면활성제의 농도는 표 8에서 제공되고, 각 계면활성제에 대한 다양한 농도에서 계산된 최소의 부착 장력값으로 결정된다. 실시예 12는 3,5-디메틸-1-헥신-3-올(화학식 3)을 함유하였다. 실시예 13은 Aldrich에 의해 제공된 2,6-디메틸-4-헵탄올(화학식 4a)을 함유하였다. 실시예 14는 N,N'-비스(1,3-디메틸부틸)에틸렌디아민(화학식 5)을 함유하였다. 실시예 15는 디이소펜틸 타르트레이트(화학식 3)를 함유하였다. 실시예 16은 도데실트리메틸암모늄 클로라이드(화학식 4a)를 함유하였다. 실시예 17은 2,4,7,9-테트라메틸-4,7-데칸 디올(화학식 5)을 함유하였다. 실시예 18은 2,5,8,11-테트라메틸-6-도데신-5,8-디올-유도된 계면활성제(화학식 2)를 함유하였다. 실시예 19, 20 및 21은 각각 디에틸렌트리아민(x=2) 및 n-부틸글리시딜에테르(화학식 8)의 1:3 첨가생성물(0.05 중량% 농도), 1:5 첨가생성물(0.012 중량% 농도) 및 1:5 첨가생성물(0.03 중량% 농도)를 함유하였다.
각 공정액의 동적 표면 장력(DST) 데이터는 문헌(Langmuir 1986, 2, pp. 428-432)에 기재된 최대버블압력볍을 통해 수집하였다. 데이터는 미국 노스캐롤라이나주 샤롯트 소재의 Kruss Inc.에 의해 제조된 Kruss BP3 버블 압력 장력계를 이용하여 0.1 버블/초(b/s)∼20 b/s의 범위의 버블 속도에서 수집하였다. 각 공정액에 대한 0.1 버블/초에서의 표면 장력 값은 표 8에 나타낸다.
미국 캘리포니아주 산호세 소재의 Wafernet Inc.에 의해 제공된 실리콘 웨이퍼는 일본 도쿄 소재의 Tokyo Ohka Kogyo Co., Ltd.에 의해 제공된 300 nm 두께의 TOK 6063 193 nm 포토레지스트 코팅으로 코팅되었다. 포토레지스트 표면상의 공정액의 접촉각은 미국 노스캐롤라이나주 샤롯트 소재의 Kruss USA에 의해 제공된 G10/DSA10 Kruss 드롭 형태 분석기상에서 Sessile 드롭법을 이용하여 측정되었다. 표 8은 10 초의 드롭 에이지에서 측정된 각 공정액에 대한 접촉각을 제공한다.
각 공정액에 대한 부착 장력값은 표면 장력과 접촉각의 코사인을 곱함으로써 계산하였다. 본 계산의 결과는 표 8에 나타낸다. 표 8에 나타낸 바와 같이, 모든 공정액은 25 미만의 부착 장력값을 갖는다. 실시예 13, 14, 16, 19, 20 및 21 각각은 20 미만의 부착값을 가졌다. 이는 이들 공정액이 패턴 붕괴 결함 수를 높은 부착 장력값을 갖는 하나 이상의 계면활성제를 갖는 공정액보다 더 큰 정도까지 감소시킬 수 있다는 것을 보여준다.
부착 장력값
실시예 12 13 14 15 16 17 18 19 20 21
농도 (중량%) 0.9 0.12 0.095 0.05 4 0.05 0.045 0.05 0.012 0.03
표면 장력(ST) (다인/cm) 36.6 41.4 32.0 35.4 41.5 38.4 25.8 38.7 37.9 35.6
접촉각 (θ) 55.0 70.7 53.1 45.5 62.7 56.1 28.1 59 59.2 59.7
부착 장력값 21.0 13.6 19.2 24.8 19.0 21.4 22.8 19.9 19.4 17.9
패턴 붕괴 감소
실시예 12, 14 및 17 공정액은 0.9 중량%의 3,5-디메틸-1-헥신-3-올, 0.095 중량%의 N,N'-비스(1,3-디메틸부틸)에틸렌디아민 및 0.05 중량%의 2,4,7,9-테트라메틸-4,7-데칸 디올 각각을 연속적인 교반하에서 탈이온수에 첨가함으로써 제조하였다. 기판은 다음의 방식으로 가공되었다: Wafernet,Inc.에 의해 제공되고 내반사성 코팅으로 코팅시킨 실리콘 웨이퍼를 TOK 6063 193 nm 포토레지스트로 코팅시키고 ASML PAS 5500/1100 스캐너로 193 nm 광에 노광시키고, 대략 115℃의 온도까지 약 1 분 동안 가열시킨 후 현상하여 묽은 TMAH 용액으로 패턴화된 포토레지스트를 형성하였다. TMAH 현상액은 0.26 N TMAH 용액을 기판상으로 동적으로 분배함으로써 적용하고, 45 초 동안 고정하였다. 이후 공정액을 기판 표면상으로 동적으로 분배하는 반면, 웨이퍼 기판은 500 rpm으로 천천히 스핀시켜 기판 표면상에 용액을 분포시켰다. 분배 공정은 15 초 동안 지속되었다. 이후, 기판을 3,500 rpm에서 스핀하여 건조하였다.
비교예에서, 탈이온수 세정액을 실시예 12, 14 및 17 공정액에서와 동일한 공정 조건하에서 TMAH 현상액으로 패턴화된 포토레지스트 코팅을 현상한 후 기판 표면에 적용시켰다.
본 발명의 공정액의 현상후 세정액 및 탈이온수 현상후 세정액으로 처리된 실리콘 웨이퍼를 주사 전자 현미경하에서 비교하였다. 도 1a 및 1b는 탈이온수 세정액 및 실시예 14 공정액을 이용한 세정액을 각각 이용하여 1:1 피치로 80 nm 치밀선의 단면 SEM 이미지를 제공한다. 도 1b에서와 같이, 탈이온수 외에 또는 탈이온수 대신에 현상후 세정액으로서 본 발명의 공정액을 이용하면 패턴 붕괴의 발생을 최소화하거나 감소시키고 선의 명확성을 보전한다.
각 웨이퍼의 특징부의 최소 선폭(critical dimension: CD)은 웨이퍼 당 37 부위상에서 Hitachi CD-SEM 기구로 측정되었고, 패턴 붕괴는 하향식(top-down) SEM 이미지를 통해 가시적으로 관찰되었다. 웨이퍼를 16.5 mJ/cm2의 동일한 투여 에너지 하에서 노광시켰다. 가시적 관찰 결과를 표 9에 나타낸다.
표 9에서 나타낸 바와 같이, 본 발명의 공정액은 반 이상 붕괴된 부위를 감소시키는 한편, 종횡비를 3에서 3.3으로 증가시켰다. 따라서, 기판을 탈이온수 대신에 본 발명의 공정액으로 세정하는 것은 높은 종횡비 특징부로 패턴화할 때 패턴 붕괴를 효과적으로 감소시켰다.
패턴 붕괴 데이터
세정액 종횡비 붕괴가 있는% 부위
DI수 3.0 97
실시예 12 3.3 48
실시예 14 3.2 3
실시예 17 3.1 6
선폭 조도의 감소
연속 교반 하에서 탈이온수에 0.05 중량%의 N,N'-비스(1,3-디메틸부틸)에틸렌디아민(화학식 5 계면활성제) 및 0.05 중량%의 2,6-디메틸-4-헵탄올(화학식 3 계면활성제)을 첨가한 후, 디에틸렌트리아민(x=2) 및 n-부틸 글리시딜 에테르(화학식 8 계면활성제)의 1:5 첨가생성물을 0.1 중량%를 첨가함으로써 실시예 공정액 22 및 23을 제조하였다. 기판을 다음과 같은 방법으로 가공하였다: Wafernet, Inc.에 의해 제공되고 내반사성 코팅이 증착된 실리콘 웨이퍼를 TOK 6063 193 nm 포토레지스트로 코팅하였다. 코팅된 웨이퍼를 ASML PAS 5500/110 스캐너를 이용하여 193 nm 광에 노광시키고, 약 115℃의 온도까지 약 1 분간 가열한 다음 현상하여 묽은 TMAH 용액을 함유하는 패턴화된 포토레지스트를 형성시켰다. TMAH 현상액은 0.26 N TMAH 용액을 기판상으로 동적으로 분배함으로써 적용하고, 45 초 동안 고정하였다. DI수 세정액으로 15 초간 세정한 후, 기판을 3,500 rpm에서 스핀시켜 건조시켰다. 그 다음 웨이퍼를 작은 조각으로 분해하고, 탈이온수, 실시예 22 공정액 또는 실시예 23 공정액에 15 초간 침지시킨 후, 건조시켰다. 100 nm 1:1 치밀선을 보이는 단면 주사전자현미경 이미지를 처리 공정 전후에 찍었다.
도 2a∼2c는 웨이퍼의 단면 주사현미경 이미지를 제공한다. 도 2a는 DI수만으로 처리된 기판의 패턴화된 레지스트 특징부가 거친 정상파를 나타냄을 보여준다. 그러나, 도 2b 또는 도 2c에 도시된 기판과 같이, 공정액 22 또는 23으로 각각 처리하면, 패턴화된 레지스트 특징부가 훨씬 더 매끄러워지고, 정상파가 제거된다.
실시예 24 및 25
연속 교반 하에서 탈이온수에 각각 0.12 중량%의 N,N'-비스(1,3-디메틸부틸)에틸렌디아민(화학식 5 계면활성제) 및 5 중량%의 비수성 용매 에탄올 및 메탄올을 첨가함으로써 실시예 공정액 24 및 25를 제조하였다. 탈이온수에 N,N'-비스(1,3-디메틸부틸)에틸렌디아민(화학식 5 계면활성제)을 단독으로 첨가함으로써 추가의 공정액 실시예 14를 상기한 바와 같이 제조하였다.
기판을 다음과 같은 방법으로 가공하였다: 실리콘 옥시니트리드 웨이퍼를 193 nm 포토레지스트로 코팅하였다. 코팅된 웨이퍼를 ASML PAS 5500/110 스캐너를 이용하여 193 nm 광에 노광시키고, 약 115℃의 온도까지 약 1 분간 가열한 다음 현상하여 묽은 TMAH를 함유하는 패턴화된 포토레지스트를 형성시켰다. TMAH 현상액은 0.26 N TMAH 용액을 기판상으로 동적으로 분배함으로써 적용하고, 45 초 동안 고정하였다. 그 다음 공정액이 기판 표면에 분배되도록 웨이퍼 기판을 500 rpm으로 천천히 스핀시키면서 공정액을 기판 표면에 동적으로 분배시켰다. 그 다음 웨이퍼를 정치시키고, 공정액 하부에서 약 5 초간 퍼들링하였다. 그 다음, 기판을 3,500 rpm에서 스핀하여 건조시켰다.
1:1 피치에서 100 nm 라인/공간에서의 LWR 치수를 수집하는 데에 하향식 SEM을 사용하였고, 각각의 공정액에 대한 결과를 표 10에 나타낸다. 표 10은 또한 패턴화된 레지스트 특징부를 붕괴시키지 않고 웨이퍼에 적용된 최대 에너지 투여량 및 해당 최대 CD뿐 아니라 최대 종횡비도 제공한다.
평균 CD 및 LWR 결과
공정액 최대 에너지 (mJ/cm2) 최소 CD(nm) 최대 종횡비 LWR(3σ, nm)
실시예 14 23.25 103.6 2.90 5.5
실시예 24 25.5 92.5 3.24 5.4
실시예 25 24.75 94.3 3.18 4.8
발명의 효과
본 발명에 의하면, 반도체 소자의 제조시에 결함의 수를 감소시키기 위하여 사용되는, 하나 이상의 계면활성제를 포함하는 공정액이 제공된다. 본 발명의 공정액은 패턴화된 포토레지스트층의 현상 중에 또는 현상후에 세정액으로서 사용될 때 패턴 붕괴 또는 선폭 조도와 같은 현상후 결함을 감소시킬 수 있다. 또한, 본 발명에 의하면 본 발명의 공정액을 이용하는 다수의 포토레지스트 코팅 기판상에서 패턴 붕괴 및/또는 선폭 조도와 같은 결함의 수를 감소시키는 방법도 제공된다.
도 1a는 탈이온수 세정액으로 처리된 80 nm의 치밀선(dense line), 1:1 피치 및 3.75 종횡비를 갖는 193 nm 포토레지스트 코팅 기판의 단면 주사전자현미경(SEM) 이미지를 제공한다.
도 1b는 본 발명의 공정액으로 처리된 80 nm의 치밀선, 1:1 피치 및 3.75 종횡비를 갖는 193 nm 포토레지스트 코팅 기판의 단면 주사전자현미경(SEM) 이미지를 제공한다.
도 2a∼2c는 탈이온수; 화학식 5 계면활성제 및 화학식 3 계면활성제를 함유하는 본 발명의 공정액; 및 화학식 8 계면활성제를 함유하는 본 발명의 공정액으로 각각 처리한 후의 193 nm 포토레지스트 코팅 기판의 단면 주사전자현미경(SEM) 이미지를 제공한다.

Claims (34)

  1. 포토레지스트 코팅을 포함하는 기판을 제공하는 단계;
    기판을 방사선 공급원에 노광시켜 포토레지스트 코팅상에 패턴을 형성시키는 단계;
    현상액을 기판에 적용하여 패턴화된 포토레지스트 코팅을 형성하는 단계;
    기판을 탈이온수로 세정하는 단계; 및
    기판을 1개 또는 다수의 용매와 하기 화학식 1, 2, 3, 4a, 5, 7, 8, 을 갖는 1개 또는 다수의 계면활성제 10 ppm∼10,000 ppm을 포함하는 공정액과 접촉시키는 단계를 포함하는
    반도체 장치 제조 중의 패턴 붕괴의 결함 수를 감소시키는 방법:
    화학식 1
    Figure 112007069739993-PAT00035
    화학식 2
    Figure 112007069739993-PAT00036
    화학식 3
    Figure 112007069739993-PAT00037
    화학식 4a
    Figure 112007069739993-PAT00038
    화학식 5
    Figure 112007069739993-PAT00039
    화학식 7
    화학식 8
    Figure 112007069739993-PAT00041
    상기 화학식들에서, R1 및 R4는 각각 독립적으로 C3-C10의 직쇄형, 분지쇄형 알킬기이고; R2 및 R3은 각각 독립적으로 수소 원자 또는 C1-C5의 알킬기이며; R5는 C1-C10의 직쇄형, 분지쇄형 알킬기이고; R6은 C4-C16의 직쇄형, 분지쇄형 알킬기이며; R7, R8 및 R9는 각각 독립적으로 C1-C6의 직쇄형, 분지쇄형 알킬기이고; R10은 독립적으로 H 또는 화학식
    Figure 112007069739993-PAT00042
    으로 표시되는 기이며; R11은 C4-C22의 직쇄형, 분지쇄형 또는 환형 알킬기이고; W는 수소 원자 또는 알키닐기이며; X 및 Y는 각각 독립적으로 수소 원자 또는 히드록실기이고; Z는 할라이드 원자, 히드록실기, 아세테이트기 또는 카르복실레이트기이며; m, n, p 및 q는 각각 독립적으로 0∼20의 범위의 수이고; r 및 s는 각각 독립적으로 2 또는 3이고; t는 0∼2의 범위의 수이며; j는 1∼5의 범위의 수이고; x는 1∼6의 범위의 수이다.
  2. 제1항에 있어서, 접촉 단계는 동적 세정을 포함하는 것인 방법.
  3. 제1항에 있어서, 접촉 단계는 정적 세정을 포함하는 것인 방법.
  4. 제1항에 있어서, 접촉 단계에서의 기판의 표면은 현상액으로 습윤되어 있는 것인 방법.
  5. 제1항에 있어서, 접촉 단계에서의 기판의 표면은 탈이온수 세정액으로 습윤되어 있는 것인 방법.
  6. 제1항에 있어서, 용매는 수성용매를 포함하는 것인 방법.
  7. 제6항에 있어서, 용매는 수성용매 중에서 혼화성인 비수성용매를 포함하는 것인 방법.
  8. 제1항에 있어서, 공정 스트림은 용매 내로 1개 또는 다수의 계면활성제 10 ppm∼10,000 ppm을 주입함으로써 형성되는 것인 방법.
  9. 제1항에 있어서, 공정 스트림은 기판의 표면 위로 1개 또는 다수의 계면활성제 10 ppm∼10,000 ppm을 도포하고, 또 이 기판 표면에 용매를 가하여 형성되는 것인 방법.
  10. 제1항에 있어서, 공정 스트림은 용매를 1개 또는 다수의 계면활성제를 포함하는 카트리지에 통과시킴으로써 형성되는 것인 방법.
  11. 제1항에 있어서, 접촉 단계의 시간은 1∼200 초의 범위인 것인 방법.
  12. 제11항에 있어서, 접촉 단계의 시간은 1∼150 초의 범위인 것인 방법.
  13. 제12항에 있어서, 접촉 단계의 시간은 1∼40 초의 범위인 것인 방법.
  14. 제1항에 있어서, 접촉 단계의 1개 또는 다수의 온도는 10∼100℃의 범위인 것인 방법.
  15. 포토레지스트 코팅을 포함하는 기판을 제공하는 단계;
    기판을 방사선 공급원에 노광시켜 포토레지스트 코팅상에 패턴을 형성시키는 단계;
    현상액을 기판에 적용하여 패턴화된 포토레지스트 코팅을 형성하는 단계;
    기판을 탈이온수로 세정하는 단계; 및
    기판을 1개 또는 다수의 용매와 하기 화학식 1, 2, 3, 4a, 4b, 5, 7, 8, 을 갖는 1개 또는 다수의 계면활성제 10 ppm∼10,000 ppm을 포함하는 공정액과 접촉시키는 단계를 포함하는
    반도체 장치의 제조중 기판상의 선모서리 조도(line edge roughness) 및/또는 선폭 조도(line width roughness)를 감소시키는 방법:
    화학식 1
    Figure 112007069739993-PAT00043
    화학식 2
    Figure 112007069739993-PAT00044
    화학식 3
    Figure 112007069739993-PAT00045
    화학식 4a
    Figure 112007069739993-PAT00046
    화학식 4b
    Figure 112007069739993-PAT00047
    화학식 5
    Figure 112007069739993-PAT00048
    화학식 7
    Figure 112007069739993-PAT00049
    화학식 8
    Figure 112007069739993-PAT00050
    상기 화학식들에서, R1 및 R4는 각각 독립적으로 C3-C10의 직쇄형, 분지쇄형 알킬기이고; R2 및 R3은 각각 독립적으로 수소 원자 또는 C1-C5의 알킬기이며; R5는 C1-C10의 직쇄형, 분지쇄형 알킬기이고; R6은 C4-C16의 직쇄형, 분지쇄형 알킬기이며; R7, R8 및 R9는 각각 독립적으로 C1-C6의 직쇄형, 분지쇄형 알킬기이고; R10은 독립적 으로 H 또는 화학식
    Figure 112007069739993-PAT00051
    으로 표시되는 기이며; R11은 C4-C22의 직쇄형, 분지쇄형 또는 환형 알킬기이고; W는 수소 원자 또는 알키닐기이며; X 및 Y는 각각 독립적으로 수소 원자 또는 히드록실기이고; Z는 할라이드 원자, 히드록실기, 아세테이트기 또는 카르복실레이트기이며; m, n, p 및 q는 각각 독립적으로 0∼20의 범위의 수이고; r 및 s는 각각 독립적으로 2 또는 3이고; t는 0∼2의 범위의 수이며; j는 1∼5의 범위의 수이고; x는 1∼6의 범위의 수이다.
  16. 제15항에 있어서, 접촉 단계는 동적 세정을 포함하는 것인 방법.
  17. 제15항에 있어서, 접촉 단계는 정적 세정을 포함하는 것인 방법.
  18. 제15항에 있어서, 접촉 단계에서의 기판의 표면은 현상액으로 습윤되어 있는 것인 방법.
  19. 제15항에 있어서, 접촉 단계에서의 기판의 표면은 탈이온수 세정액으로 습윤되어 있는 것인 방법.
  20. 제15항에 있어서, 용매는 수성용매를 포함하는 것인 방법.
  21. 제20항에 있어서, 용매는 수성용매 중에서 혼화성인 비수성용매를 포함하는 것인 방법.
  22. 제15항에 있어서, 공정 스트림은 용매 내로 1개 또는 다수의 계면활성제 10 ppm∼10,000 ppm을 주입함으로써 형성되는 것인 방법.
  23. 제15항에 있어서, 공정 스트림은 기판의 표면 위로 1개 또는 다수의 계면활성제 10 ppm∼10,000 ppm을 도포하고, 또 이 기판 표면에 용매를 가하여 형성되는 것인 방법.
  24. 제15항에 있어서, 공정 스트림은 용매를 1개 또는 다수의 계면활성제를 포함하는 카트리지에 통과시킴으로써 형성되는 것인 방법.
  25. 제15항에 있어서, 접촉 단계의 시간은 1∼200 초의 범위인 것인 방법.
  26. 제25항에 있어서, 접촉 단계의 시간은 1∼150 초의 범위인 것인 방법.
  27. 제26항에 있어서, 접촉 단계의 시간은 1∼40 초의 범위인 것인 방법.
  28. 제15항에 있어서, 접촉 단계의 1개 또는 다수의 온도는 10∼100℃의 범위인 것인 방법.
  29. 1개 또는 다수의 용매와 하기 화학식 1, 2, 3, 4a, 4b, 5, 7, 8을 갖는 1개 또는 다수의 계면활성제 10 ppm∼10,000 ppm을 포함하며, 패턴 붕괴 결함 수의 감소용으로 사용되는 조성물:
    화학식 1
    Figure 112007069739993-PAT00052
    화학식 2
    Figure 112007069739993-PAT00053
    화학식 3
    Figure 112007069739993-PAT00054
    화학식 4a
    Figure 112007069739993-PAT00055
    화학식 4b
    Figure 112007069739993-PAT00056
    화학식 5
    Figure 112007069739993-PAT00057
    화학식 7
    Figure 112007069739993-PAT00058
    화학식 8
    Figure 112007069739993-PAT00059
    상기 화학식들에서, R1 및 R4는 각각 독립적으로 C3-C10의 직쇄형, 분지쇄형 알킬기이고; R2 및 R3은 각각 독립적으로 수소 원자 또는 C1-C5의 알킬기이며; R5는 C1-C10의 직쇄형, 분지쇄형 알킬기이고; R6은 C4-C16의 직쇄형, 분지쇄형 알킬기이며; R7, R8 및 R9는 각각 독립적으로 C1-C6의 직쇄형, 분지쇄형 알킬기이고; R10은 독립적으로 H 또는 화학식
    Figure 112007069739993-PAT00060
    으로 표시되는 기이며; R11은 C4-C22의 직쇄형, 분지쇄형 또는 환형 알킬기이고; W는 수소 원자 또는 알키닐기이며; X 및 Y는 각각 독립적으로 수소 원자 또는 히드록실기이고; Z는 할라이드 원자, 히드록실기, 아세테이트기 또는 카르복실레이트기이며; m, n, p 및 q는 각각 독립적으로 0∼20의 범위의 수이고; r 및 s는 각각 독립적으로 2 또는 3이고; t는 0∼2의 범위의 수이며; j는 1∼5의 범위의 수이고; x는 1∼6의 범위의 수이다.
  30. 제29항에 있어서, 용매는 수성용매를 포함하는 것인 조성물.
  31. 제30항에 있어서, 용매는 수성용매 중에서 혼화성인 비수성용매를 포함하는 것인 조성물.
  32. 1개 또는 다수의 용매와 하기 화학식 1, 2, 3, 4a, 4b, 5, 7, 8을 갖는 1개 또는 다수의 계면활성제 10 ppm∼10,000 ppm을 포함하며, 선모서리 조도(line edge roughness) 및/또는 선폭 조도(line width roughness)의 감소용으로 사용되는 조성물:
    화학식 1
    Figure 112007069739993-PAT00061
    화학식 2
    Figure 112007069739993-PAT00062
    화학식 3
    Figure 112007069739993-PAT00063
    화학식 4a
    Figure 112007069739993-PAT00064
    화학식 4b
    Figure 112007069739993-PAT00065
    화학식 5
    Figure 112007069739993-PAT00066
    화학식 7
    Figure 112007069739993-PAT00067
    화학식 8
    Figure 112007069739993-PAT00068
    상기 화학식들에서, R1 및 R4는 각각 독립적으로 C3-C10의 직쇄형, 분지쇄형 알킬기이고; R2 및 R3은 각각 독립적으로 수소 원자 또는 C1-C5의 알킬기이며; R5는 C1-C10의 직쇄형, 분지쇄형 알킬기이고; R6은 C4-C16의 직쇄형, 분지쇄형 알킬기이며; R7, R8 및 R9는 각각 독립적으로 C1-C6의 직쇄형, 분지쇄형 알킬기이고; R10은 독립적 으로 H 또는 화학식
    Figure 112007069739993-PAT00069
    으로 표시되는 기이며; R11은 C4-C22의 직쇄형, 분지쇄형 또는 환형 알킬기이고; W는 수소 원자 또는 알키닐기이며; X 및 Y는 각각 독립적으로 수소 원자 또는 히드록실기이고; Z는 할라이드 원자, 히드록실기, 아세테이트기 또는 카르복실레이트기이며; m, n, p 및 q는 각각 독립적으로 0∼20의 범위의 수이고; r 및 s는 각각 독립적으로 2 또는 3이고; t는 0∼2의 범위의 수이며; j는 1∼5의 범위의 수이고; x는 1∼6의 범위의 수이다.
  33. 제32항에 있어서, 용매는 수성용매를 포함하는 것인 조성물.
  34. 제33항에 있어서, 용매는 수성용매 중에서 혼화성인 비수성용매를 포함하는 것인 조성물.
KR1020070097602A 2004-03-19 2007-09-27 계면활성제를 함유하는 공정액 KR100958689B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/804,513 2004-03-19
US10/804,513 US7521405B2 (en) 2002-08-12 2004-03-19 Process solutions containing surfactants

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020050022210A Division KR100786608B1 (ko) 2004-03-19 2005-03-17 계면활성제를 함유하는 공정액

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020090121371A Division KR101202860B1 (ko) 2004-03-19 2009-12-08 계면활성제를 함유하는 공정액

Publications (2)

Publication Number Publication Date
KR20070103339A true KR20070103339A (ko) 2007-10-23
KR100958689B1 KR100958689B1 (ko) 2010-05-20

Family

ID=34862010

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020050022210A KR100786608B1 (ko) 2004-03-19 2005-03-17 계면활성제를 함유하는 공정액
KR1020070097602A KR100958689B1 (ko) 2004-03-19 2007-09-27 계면활성제를 함유하는 공정액
KR1020090121371A KR101202860B1 (ko) 2004-03-19 2009-12-08 계면활성제를 함유하는 공정액

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020050022210A KR100786608B1 (ko) 2004-03-19 2005-03-17 계면활성제를 함유하는 공정액

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020090121371A KR101202860B1 (ko) 2004-03-19 2009-12-08 계면활성제를 함유하는 공정액

Country Status (7)

Country Link
US (3) US7521405B2 (ko)
EP (1) EP1580607B1 (ko)
JP (1) JP4227112B2 (ko)
KR (3) KR100786608B1 (ko)
CN (2) CN102122121B (ko)
SG (2) SG115856A1 (ko)
TW (1) TWI313710B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210015501A (ko) * 2019-08-02 2021-02-10 주식회사 엘지화학 자석 분말의 제조 방법 및 이에 의해 제조된 소결 자석

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7129199B2 (en) * 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7521405B2 (en) * 2002-08-12 2009-04-21 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7208049B2 (en) * 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
CN1802603A (zh) 2003-07-17 2006-07-12 霍尼韦尔国际公司 用于高级微电子应用的平面化薄膜及其生产装置和方法
US7867687B2 (en) * 2003-10-15 2011-01-11 Intel Corporation Methods and compositions for reducing line wide roughness
US20070218412A1 (en) * 2004-04-23 2007-09-20 Tokyo Ohka Kogyo Co., Ltd. Rinse Solution For Lithography
JP4237184B2 (ja) * 2005-03-31 2009-03-11 エルピーダメモリ株式会社 半導体装置の製造方法
JP4767829B2 (ja) * 2006-01-11 2011-09-07 東京応化工業株式会社 リソグラフィー用洗浄剤及びそれを用いたレジストパターン形成方法
TW200732863A (en) 2006-01-11 2007-09-01 Tokyo Ohka Kogyo Co Ltd Detergent for lithography and method of forming resist pattern with the same
JP2007219009A (ja) * 2006-02-14 2007-08-30 Az Electronic Materials Kk レジスト基板用処理液とそれを用いたレジスト基板の処理方法
KR100761857B1 (ko) * 2006-09-08 2007-09-28 삼성전자주식회사 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법
JP4700672B2 (ja) * 2006-11-08 2011-06-15 エーエスエムエル マスクツールズ ビー.ブイ. ライン幅粗さおよびレジストパターン不良を予測する方法、プログラム、および装置、ならびにそのリソグラフィシミュレーションプロセスでの使用
JP2008142895A (ja) * 2006-12-05 2008-06-26 Fujifilm Corp モールド構造体
DE102006060720A1 (de) * 2006-12-21 2008-06-26 Qimonda Ag Verfahren zur Reduzierung der Rauhigkeit der Oberfläche einer Resistschicht
JP4554665B2 (ja) * 2006-12-25 2010-09-29 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液
US8530148B2 (en) * 2006-12-25 2013-09-10 Fujifilm Corporation Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
US8637229B2 (en) * 2006-12-25 2014-01-28 Fujifilm Corporation Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
KR100891255B1 (ko) * 2007-01-05 2009-04-01 주식회사 하이닉스반도체 커패시터의 리닝 방지용 식각액 조성물 및 이를 이용한커패시터 제조 방법
JP4680944B2 (ja) * 2007-01-24 2011-05-11 信越化学工業株式会社 パターン形成方法
US8603733B2 (en) 2007-04-13 2013-12-10 Fujifilm Corporation Pattern forming method, and resist composition, developer and rinsing solution used in the pattern forming method
JP4562784B2 (ja) 2007-04-13 2010-10-13 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられるレジスト組成物、現像液及びリンス液
US8034547B2 (en) * 2007-04-13 2011-10-11 Fujifilm Corporation Pattern forming method, resist composition to be used in the pattern forming method, negative developing solution to be used in the pattern forming method and rinsing solution for negative development to be used in the pattern forming method
KR100989567B1 (ko) 2007-05-15 2010-10-25 후지필름 가부시키가이샤 패턴형성방법
US8476001B2 (en) 2007-05-15 2013-07-02 Fujifilm Corporation Pattern forming method
WO2008153110A1 (ja) 2007-06-12 2008-12-18 Fujifilm Corporation ネガ型現像用レジスト組成物及びこれを用いたパターン形成方法
JP4617337B2 (ja) * 2007-06-12 2011-01-26 富士フイルム株式会社 パターン形成方法
US8617794B2 (en) 2007-06-12 2013-12-31 Fujifilm Corporation Method of forming patterns
JP4590431B2 (ja) * 2007-06-12 2010-12-01 富士フイルム株式会社 パターン形成方法
US8632942B2 (en) 2007-06-12 2014-01-21 Fujifilm Corporation Method of forming patterns
TWI408518B (zh) * 2007-09-28 2013-09-11 Anji Microelectronics Co Ltd 光阻清洗劑
JP5639755B2 (ja) * 2008-11-27 2014-12-10 富士フイルム株式会社 有機溶剤を含有する現像液を用いたパターン形成方法及びこれに用いるリンス液
SG173172A1 (en) 2009-01-28 2011-08-29 Advanced Tech Materials Lithographic tool in situ clean formulations
JP5464055B2 (ja) * 2009-06-02 2014-04-09 日信化学工業株式会社 水性切削液及び水性切削剤
CN101937175B (zh) * 2009-07-03 2012-08-22 中芯国际集成电路制造(上海)有限公司 光刻方法
JP5720572B2 (ja) * 2009-10-02 2015-05-20 三菱瓦斯化学株式会社 金属微細構造体のパターン倒壊抑制用処理液及びこれを用いた金属微細構造体の製造方法
US8617993B2 (en) * 2010-02-01 2013-12-31 Lam Research Corporation Method of reducing pattern collapse in high aspect ratio nanostructures
JP5591623B2 (ja) * 2010-08-13 2014-09-17 AzエレクトロニックマテリアルズIp株式会社 リソグラフィー用リンス液およびそれを用いたパターン形成方法
KR20130100297A (ko) * 2010-08-27 2013-09-10 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 건조 동안의 높은 종횡비 구조물의 붕괴 방지 방법
JP5659872B2 (ja) * 2010-10-22 2015-01-28 富士通株式会社 レジストパターン改善化材料、レジストパターンの形成方法、及び半導体装置の製造方法
KR101866448B1 (ko) 2011-02-10 2018-06-11 삼성전자주식회사 포토마스크 형성 방법, 이를 수행하는 프로그래밍된 명령을 저장하는 컴퓨터에서 판독 가능한 저장 매체 및 마스크 이미징 시스템
ES2915263T3 (es) 2011-06-17 2022-06-21 Roche Diagnostics Hematology Inc Solución y procedimiento para el histoprocesamiento de muestras biológicas
US20130040246A1 (en) * 2011-08-09 2013-02-14 Tokyo Electron Limited Multiple chemical treatment process for reducing pattern defect
CN103365121B (zh) * 2012-03-29 2018-10-02 东友精细化工有限公司 抗蚀剂剥离组合物及利用该抗蚀剂剥离组合物剥离抗蚀剂的方法
US9097977B2 (en) 2012-05-15 2015-08-04 Tokyo Electron Limited Process sequence for reducing pattern roughness and deformity
KR101993360B1 (ko) 2012-08-08 2019-06-26 삼성전자주식회사 포토 리소그래피용 린스액
CN104871289B (zh) * 2012-12-14 2017-10-10 巴斯夫欧洲公司 包含表面活性剂和疏水化剂的组合物在处理线间距尺寸为50nm或更低的图案化材料时避免图案崩塌的用途
CN103076725A (zh) * 2013-01-31 2013-05-01 北京七星华创电子股份有限公司 一种去除光刻胶的溶液及其应用
US8999840B2 (en) 2013-07-11 2015-04-07 Samsung Electronics Co., Ltd. Method of forming fine patterns of semiconductor device
EP2940113A1 (en) * 2014-04-30 2015-11-04 The Procter and Gamble Company Cleaning composition
TWI546376B (zh) * 2014-08-25 2016-08-21 柯伊珊 晶圓處理液及使用其移除並平坦晶圓邊緣塗佈薄膜及平坦化光阻表面的設備和方法
JP2016139774A (ja) * 2015-01-23 2016-08-04 富士フイルム株式会社 パターン処理方法、半導体基板製品の製造方法およびパターン構造の前処理液
KR102055153B1 (ko) * 2015-06-23 2019-12-12 후지필름 가부시키가이샤 현상액, 패턴 형성 방법, 및 전자 디바이스의 제조 방법
KR101617169B1 (ko) * 2015-07-17 2016-05-03 영창케미칼 주식회사 포토리소그래피용 세정액 조성물 및 이를 이용한 포토레지스트 패턴의 형성방법
KR102507301B1 (ko) * 2015-12-23 2023-03-07 삼성전자주식회사 포토리소그래피용 린스액 및 이를 이용한 집적회로 소자의 제조 방법
KR101771412B1 (ko) 2016-03-04 2017-08-25 에스케이이노베이션 주식회사 아스팔트 조성물
KR101730839B1 (ko) * 2016-05-04 2017-04-28 영창케미칼 주식회사 네가톤 포토레지스트를 이용한 패터닝 공정에서 lwr 개선 방법과 조성물
EP3472671A1 (en) * 2016-06-20 2019-04-24 AZ Electronic Materials Luxembourg S.à.r.l. A rinse composition, a method for forming resist patterns and a method for making semiconductor devices
WO2018084302A1 (ja) * 2016-11-07 2018-05-11 富士フイルム株式会社 処理液及びパターン形成方法
US10748757B2 (en) 2017-09-21 2020-08-18 Honeywell International, Inc. Thermally removable fill materials for anti-stiction applications
US10727044B2 (en) * 2017-09-21 2020-07-28 Honeywell International Inc. Fill material to mitigate pattern collapse
SG11202011800YA (en) * 2018-06-22 2021-01-28 Merck Patent Gmbh A photoresist composition, a method for manufacturing a photoresist coating, etched photoresist coating, and etched si containing layer(s), and manufacturing a device using thereof
EP3844569A4 (en) * 2018-08-31 2022-05-25 Honeywell International Inc. WATER SOLUBLE POLYMERS FOR PATTERN COLLAPSE MITIGATION
JP2020067547A (ja) * 2018-10-24 2020-04-30 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 半導体水溶性組成物およびその使用
CN110790786B (zh) * 2019-11-07 2022-02-18 六安捷通达新材料有限公司 一种阻燃型环氧树脂固化剂的制备工艺
KR20210069352A (ko) 2019-12-03 2021-06-11 쓰리엠 이노베이티브 프로퍼티즈 캄파니 세정액 조성물 및 이를 이용한 포토레지스트 재료의 표면처리 방법
KR102358801B1 (ko) * 2019-12-27 2022-02-08 주식회사 케이씨텍 표면 처리 조성물 및 이를 이용한 표면 처리 방법
KR102424063B1 (ko) * 2020-11-30 2022-07-26 주식회사 케이씨텍 표면 처리 조성물 및 이를 이용한 표면 처리 방법

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3192113A (en) * 1964-04-07 1965-06-29 American Cyanamid Co N, n'-dialkylenediamines as antitubercular agents
WO1984003633A1 (en) * 1983-03-25 1984-09-27 Thomas J Fogarty Inverted balloon catheter having sealed through lumen
JPS6232453A (ja) * 1985-08-06 1987-02-12 Tokyo Ohka Kogyo Co Ltd ポジ型ホトレジスト用現像液
WO1987003387A1 (en) 1985-11-27 1987-06-04 Macdermid, Incorporated Thermally stabilized photoresist images
US4744834A (en) * 1986-04-30 1988-05-17 Noor Haq Photoresist stripper comprising a pyrrolidinone, a diethylene glycol ether, a polyglycol and a quaternary ammonium hydroxide
US4883067A (en) * 1987-05-15 1989-11-28 Neurosonics, Inc. Method and apparatus for translating the EEG into music to induce and control various psychological and physiological states and to control a musical instrument
JP2553872B2 (ja) * 1987-07-21 1996-11-13 東京応化工業株式会社 ホトレジスト用剥離液
JP2591626B2 (ja) * 1987-09-16 1997-03-19 東京応化工業株式会社 レジスト用剥離液
JPS6488547A (en) 1987-09-30 1989-04-03 Toshiba Corp Production of semiconductor device
US5508141A (en) * 1989-12-15 1996-04-16 W. R. Grace & Co.-Conn. Autodeposition emulsion and methods of using thereof to selectively protect metallic surfaces
US5232815A (en) * 1989-12-15 1993-08-03 W. R. Grace & Co.-Conn. Autodeposition emulsion and methods of using thereof to selectively protect metallic surfaces
JP2670711B2 (ja) * 1990-05-29 1997-10-29 富士写真フイルム株式会社 ネガ型感光性樹脂組成物用現像液
US5127571A (en) 1991-10-31 1992-07-07 International Business Machines Corporation Water soluble soldering preflux and method of application
JPH07142349A (ja) 1993-11-16 1995-06-02 Mitsubishi Electric Corp 現像工程におけるフォトレジストパターンの倒れを防止する方法
CA2136373A1 (en) * 1993-11-29 1995-05-30 Steven W. Medina Ethoxylated acetylenic glycols having low dynamic surface tension
US5474877A (en) 1994-02-24 1995-12-12 Nec Corporation Method for developing a resist pattern
US5545353A (en) * 1995-05-08 1996-08-13 Ocg Microelectronic Materials, Inc. Non-corrosive photoresist stripper composition
JPH088163A (ja) 1994-06-21 1996-01-12 Sony Corp パターン形成方法
US5561105A (en) * 1995-05-08 1996-10-01 Ocg Microelectronic Materials, Inc. Chelating reagent containing photoresist stripper composition
US5612304A (en) * 1995-07-07 1997-03-18 Olin Microelectronic Chemicals, Inc. Redox reagent-containing post-etch residue cleaning composition
JP2911792B2 (ja) * 1995-09-29 1999-06-23 東京応化工業株式会社 レジスト用剥離液組成物
US5948464A (en) 1996-06-19 1999-09-07 Imra America, Inc. Process of manufacturing porous separator for electrochemical power supply
US6030932A (en) 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
JPH10171128A (ja) 1996-12-10 1998-06-26 Tokuyama Corp 濃厚テトラメチルアンモニウムハイドロキサイド水溶液
JP3473424B2 (ja) * 1997-08-26 2003-12-02 住友化学工業株式会社 紙用塗工組成物
US5977041A (en) 1997-09-23 1999-11-02 Olin Microelectronic Chemicals Aqueous rinsing composition
US6033993A (en) * 1997-09-23 2000-03-07 Olin Microelectronic Chemicals, Inc. Process for removing residues from a semiconductor substrate
US6261466B1 (en) * 1997-12-11 2001-07-17 Shipley Company, L.L.C. Composition for circuit board manufacture
US6465403B1 (en) 1998-05-18 2002-10-15 David C. Skee Silicate-containing alkaline compositions for cleaning microelectronic substrates
JP3606738B2 (ja) * 1998-06-05 2005-01-05 東京応化工業株式会社 アッシング後の処理液およびこれを用いた処理方法
US20010039251A1 (en) * 1998-06-12 2001-11-08 Krishna G. Sachdev Removal of screening paste residue with quaternary ammonium hydroxide-based aqueous cleaning compositions
US6368421B1 (en) 1998-07-10 2002-04-09 Clariant Finance (Bvi) Limited Composition for stripping photoresist and organic materials from substrate surfaces
US6152148A (en) 1998-09-03 2000-11-28 Honeywell, Inc. Method for cleaning semiconductor wafers containing dielectric films
KR20000026372A (ko) 1998-10-20 2000-05-15 윤종용 화학 기계적 연마장치
JP4516176B2 (ja) 1999-04-20 2010-08-04 関東化学株式会社 電子材料用基板洗浄液
US6313182B1 (en) * 1999-05-04 2001-11-06 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US20040029395A1 (en) * 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
US7208049B2 (en) * 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US7129199B2 (en) 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7348300B2 (en) * 1999-05-04 2008-03-25 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US6864395B2 (en) 1999-05-04 2005-03-08 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US6455234B1 (en) * 1999-05-04 2002-09-24 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and their use in photoresist developers
US7521405B2 (en) * 2002-08-12 2009-04-21 Air Products And Chemicals, Inc. Process solutions containing surfactants
JP3433156B2 (ja) * 1999-05-07 2003-08-04 エア プロダクツ アンド ケミカルズ インコーポレイテッド 前もって湿らせたクリーニングワイパー
JP2001033988A (ja) 1999-07-15 2001-02-09 Tokyo Ohka Kogyo Co Ltd ホトリソグラフィー用リンス液およびこれを用いた基板の処理方法
DE19940797A1 (de) * 1999-08-27 2001-03-01 Goldschmidt Ag Th Durch Akoxylierung erhaltene blockcopolymere, styrenoxidhaltige Polyalkylenoxide und deren Verwendung
US20020000239A1 (en) * 1999-09-27 2002-01-03 Krishna G. Sachdev Removal of cured silicone adhesive for reworking electronic components
US6369146B1 (en) 1999-10-26 2002-04-09 Air Products And Chemicals, Inc. Malic acid diester surfactants
US6194366B1 (en) * 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
JP2001215690A (ja) 2000-01-04 2001-08-10 Air Prod And Chem Inc アセチレン列ジオールエチレンオキシド/プロピレンオキシド付加物および現像剤におけるその使用
US6268115B1 (en) 2000-01-06 2001-07-31 Air Products And Chemicals, Inc. Use of alkylated polyamines in photoresist developers
TW558736B (en) 2000-02-26 2003-10-21 Shipley Co Llc Method of reducing defects
EP1160313A1 (en) * 2000-06-02 2001-12-05 The Procter & Gamble Company Cleaning composition and device for electronic equipment
US6310019B1 (en) * 2000-07-05 2001-10-30 Wako Pure Chemical Industries, Ltd. Cleaning agent for a semi-conductor substrate
WO2002023598A2 (en) 2000-09-15 2002-03-21 Infineon Technologies North America Corp. A method to reduce post-development defects without sacrificing throughput
KR20020056785A (ko) * 2000-12-29 2002-07-10 이원목 상 변화 물질을 함유하는 마이크로캡슐 및 이를 함유하여개선된 열 보유능을 가지는 물품
US6451510B1 (en) 2001-02-21 2002-09-17 International Business Machines Corporation Developer/rinse formulation to prevent image collapse in resist
US6413914B1 (en) 2001-03-29 2002-07-02 Air Products And Chemicals, Inc. Low foam N,N′-dialkylmalamide wetting agents
JP2003010774A (ja) 2001-06-27 2003-01-14 Nippon Paint Co Ltd 金属材の塗装方法
US6656977B2 (en) 2001-07-20 2003-12-02 Air Products And Chemical, Inc. Alkyl glycidyl ether-capped polyamine foam control agents
US6762208B2 (en) 2001-10-01 2004-07-13 Air Products And Chemicals, Inc. Alkane diol foam controlling agents
KR100802269B1 (ko) * 2001-12-29 2008-02-11 주식회사 하이닉스반도체 반도체 세정장치 및 이를 이용한 세정방법
US6641986B1 (en) * 2002-08-12 2003-11-04 Air Products And Chemicals, Inc. Acetylenic diol surfactant solutions and methods of using same
US20040074519A1 (en) * 2002-10-17 2004-04-22 Moore John C. Metal safe stabilized stripper for removing cured polymeric layers and negative tone acrylic photoresists
JP4045180B2 (ja) 2002-12-03 2008-02-13 Azエレクトロニックマテリアルズ株式会社 リソグラフィー用リンス液およびそれを用いたレジストパターン形成方法
TWI259319B (en) * 2004-01-23 2006-08-01 Air Prod & Chem Immersion lithography fluids
US20050161644A1 (en) * 2004-01-23 2005-07-28 Peng Zhang Immersion lithography fluids

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210015501A (ko) * 2019-08-02 2021-02-10 주식회사 엘지화학 자석 분말의 제조 방법 및 이에 의해 제조된 소결 자석

Also Published As

Publication number Publication date
CN1699530B (zh) 2011-03-30
KR101202860B1 (ko) 2012-11-19
SG115856A1 (en) 2005-10-28
CN1699530A (zh) 2005-11-23
EP1580607B1 (en) 2014-10-22
SG143251A1 (en) 2008-06-27
TWI313710B (en) 2009-08-21
KR100786608B1 (ko) 2007-12-21
KR20100012850A (ko) 2010-02-08
US20110171583A1 (en) 2011-07-14
US7521405B2 (en) 2009-04-21
JP2005292827A (ja) 2005-10-20
CN102122121B (zh) 2013-08-14
CN102122121A (zh) 2011-07-13
KR100958689B1 (ko) 2010-05-20
JP4227112B2 (ja) 2009-02-18
KR20060043753A (ko) 2006-05-15
US20080063984A1 (en) 2008-03-13
EP1580607A3 (en) 2005-10-12
EP1580607A2 (en) 2005-09-28
TW200611970A (en) 2006-04-16
US20040204328A1 (en) 2004-10-14

Similar Documents

Publication Publication Date Title
KR100958689B1 (ko) 계면활성제를 함유하는 공정액
US7591270B2 (en) Process solutions containing surfactants
JP4842981B2 (ja) 現像パターンのつぶれ回避方法
US6641986B1 (en) Acetylenic diol surfactant solutions and methods of using same
TW583517B (en) Surface treatment process for chemically amplified resist and the material thereof
KR20110013152A (ko) 포지티브형 포토레지스트 패턴의 조도를 개선하는 혼합액 조성물 및 그 사용방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
J201 Request for trial against refusal decision
E90F Notification of reason for final refusal
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130507

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160418

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170421

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180502

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190429

Year of fee payment: 10