KR20070010022A - 저농도 지르코늄의 하프늄 할라이드 조성물 - Google Patents

저농도 지르코늄의 하프늄 할라이드 조성물 Download PDF

Info

Publication number
KR20070010022A
KR20070010022A KR1020067020419A KR20067020419A KR20070010022A KR 20070010022 A KR20070010022 A KR 20070010022A KR 1020067020419 A KR1020067020419 A KR 1020067020419A KR 20067020419 A KR20067020419 A KR 20067020419A KR 20070010022 A KR20070010022 A KR 20070010022A
Authority
KR
South Korea
Prior art keywords
hafnium
ppm
less
compound
zirconium concentration
Prior art date
Application number
KR1020067020419A
Other languages
English (en)
Inventor
스코트 휴스톤 메이에레
제임스 필립 주니어 나트워라
Original Assignee
프랙스에어 테크놀로지, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 프랙스에어 테크놀로지, 인코포레이티드 filed Critical 프랙스에어 테크놀로지, 인코포레이티드
Publication of KR20070010022A publication Critical patent/KR20070010022A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/08Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G27/00Compounds of hafnium
    • C01G27/04Halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • C23C18/1208Oxides, e.g. ceramics
    • C23C18/1216Metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Thermal Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 약 1000 ppm 미만의 지르코늄 농도를 갖는 하프늄 할라이드 조성물, 약 1000 ppm 미만의 지르코늄 농도를 갖는 하프늄 할라이드 조성물의 제조 방법, 유기금속 화합물 전구체, 유기금속 화합물 전구체의 제조 방법, 및 유기금속 화합물 전구체로부터 필름 또는 코팅을 제조하는 방법에 관한 것이다. 본 발명의 유기금속 화합물은 필름 침착을 위한 화학 증착 또는 원자층 침착 전구체로서 반도체 용도에 적합하다.
하프늄 할라이드 조성물, 유기금속 화합물 전구체, 화학 증착, 원자층 침착

Description

저농도 지르코늄의 하프늄 할라이드 조성물 {LOW ZIRCONIUM HAFNIUM HALIDE COMPOSITIONS}
본 발명은 저농도 지르코늄의 하프늄 할라이드 조성물, 저농도 지르코늄의 하프늄 할라이드 조성물의 제조 방법, 유기금속 화합물 전구체, 유기금속 화합물 전구체의 제조 방법, 및 유기금속 화합물 전구체로부터 필름 또는 코팅을 제조하는 방법에 관한 것이다.
화학 증착 방법은 반도체 제작 또는 가공 동안 웨이퍼와 같은 기재 또는 다른 표면 상에 물질의 필름을 형성하는 데 사용된다. 화학 증착에서, 화학 증착 전구체 (화학 증착 화합물로도 공지됨)는 열적으로, 화학적으로, 광화학적으로 또는 플라즈마 활성화에 의해 분해되어 원하는 조성을 갖는 박막이 형성된다. 예를 들어, 증기상 화학 증착 전구체를 기재와 접촉시키고, 이것을 전구체의 분해 온도 초과의 온도까지 가열하여 기재 상에 금속 또는 금속 산화물 필름을 형성할 수 있다. 바람직하게는, 화학 증착 전구체는 휘발성이고, 열 분해성이며, 화학 증착 조건 하에 균일한 필름을 형성할 수 있다.
반도체 산업은 현재 각종 용도를 위해 각종 금속의 박막을 사용하는 것을 고려하고 있다. 많은 유기금속 착체가 이들 박막 형성을 위한 가능한 전구체로서 평 가되었다. 산업계에서는, 새로운 화합물을 개발하고 필름 침착을 위한 화학 증착 전구체로서의 그의 능력을 연구하는 것에 대한 필요성이 존재한다.
하프늄 산화물, 실리케이트 및/또는 알루미네이트는 SiO2를 '고-k' 유전체로 대체하는 전자 산업용 차세대 재료에 대한 후보물질이다. 이들 필름의 침착 방법으로는 화학 증착 또는 원자층 침착이 가능하다. 상기 침착 방법을 위한 전구체 후보물질은 하프늄 함유 물질, 예컨대 하프늄 아미드, 하프늄 알콕시드 등을 포함한다. 이러한 전구체 후보물질로서는 염화하프늄 (HfCl4)을 전구체 합성에 사용하는 것이 매우 유망하다.
하프늄 함유 전구체에서는, 필름 중의 지르코늄 불순물로 인한 부조화되거나 불량한 장치 성능과 같은 가능한 문제들을 피하기 위해 하프늄 전구체 중의 지르코늄 함량을 최소화하거나 제거하는 것이 중요하다. 하프늄 및 지르코늄은 주기율표 상에서 가장 유사한 두가지이다. 하프늄과 지르코늄은 매우 유사하기 때문에, 이들의 분리는 매우 어렵고, 일부에서는 이들 물질에 대한 핵 산업 용도로 인해 오랫동안 연구되어 왔다. 통상적인 정제 방법은 증류/승화에 의한 것이다. 산업적으로 가공된 염화하프늄에는 통상적으로 약 1 내지 3 %의 지르코늄이 존재한다. 고순도 물질 (때로는 분광학적 또는 승화된 등급이라고 불림)에 대한 지르코늄 함량은 통상적으로 0.10 내지 0.3 % (1000 내지 3000 ppm)이다. 그러나, 승화에 의해 염화하프늄을 저농도 지르코늄으로 계속적으로 정제하는 것은 장황한 공정이고, 별로 효율적인 공정이 아니다. 비교적 낮은 지르코늄 농도 (아마도 수백 ppm만큼 낮 은 농도)를 얻는 것은 주의깊은 승화에 의해 달성될 수 있지만, 어떠한 유형의 효율적인 방식으로도 극히 낮은 (100 ppm 미만) 농도의 지르코늄에는 접근하지 못할 수 있다. 고순도의 염화하프늄을 제조하는 대체적인 방법이 유리할 것이다.
화학 증착 방법에 의해 박막을 형성하기 위한 방법을 개발하는 데에는, 바람직하게는 비교적 높은 증기압을 갖고, 균일한 필름을 형성할 수 있는 화학 증착 전구체에 대한 필요성이 계속적으로 존재한다. 따라서, 새로운 화합물을 개발하고 필름 침착을 위한 화학 증착 전구체로서의 그의 능력을 연구하는 것에 대한 필요성이 계속적으로 존재한다. 따라서, 당업계에서는 높은 증기압을 갖고, 균일한 필름을 형성할 수 있으며, 임의의 오염물을 도입하지 않는 화학 증착 전구체를 제공하는 것이 바람직하다.
<발명의 요약>
본 발명은 차세대 장치를 위한 화학 증착 및 원자층 침착 전구체, 구체적으로 염화하프늄을 포함하는 하프늄 함유 전구체 및 출발 물질로서 염화하프늄을 사용하는 이들 전구체에 관한 것이다. 시판되는 염화하프늄은 통상적으로 1000 ppm 내지 3 중량%의 지르코늄을 불순물로서 함유한다. 본 발명은 부분적으로, 염화물로 전환될 수 있는 출발 물질로서 낮은 지르코늄 농도 (50 ppm 이하만큼 낮은 농도)로 사용가능한 일부 하프늄 물질 중 하나로서 산화하프늄을 사용하여 저농도 지르코늄 염화하프늄을 제조하는 방법에 관한 것이다. 염화하프늄은 전구체 자체로 존재하는 것과 함께 거의 모든 다른 하프늄 전구체에 대한 출발점이 된다.
매우 낮은 지르코늄 농도를 갖는 현재 상업적으로 입수가능한 하프늄 중 하 나의 화합물은 산화하프늄이다. 보다 반응성인 염화하프늄에는 적합하지 않은 다양한 분리 방법 (예를 들어, 추출, 이온 부유선별, 포말 부유선별, 용매 박리)에 의해, 불활성 산화하프늄 (HfO2)을 50 ppm 미만의 지르코늄 농도로 정제할 수 있다. 그러나, 산화하프늄은 상당한 휘발성/반응성의 결여로 인해 적합한 전구체가 아니다.
고순도 산화하프늄으로 출발하여 단일 반응을 사용하여 저농도 지르코늄을 갖는 염화하프늄을 합성할 수 있다. 본 발명은 고순도 염화하프늄을 제공한다. 또한, 본 방법에는 분별 또는 다중 승화 단계가 요구되지 않는다.
본 발명은, 화학식 Hf(X)4 (식 중, X 동일하거나 상이하며, 할라이드 (예를 들어, Cl, Br, I 또는 F)임)로 표시되는 하프늄 함유 화합물을 포함하며, 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만의 지르코늄 농도를 갖는 조성물을 제조하기에 충분한 반응 조건 하에, 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만의 지르코늄 농도를 갖는 산화하프늄 화합물을 할로겐 또는 할로겐 함유 화합물, 예를 들어 염소, 브롬, 요오드, 불소 또는 염화물, 브롬화물, 요오드화물 또는 불화물과 반응시키는 것을 포함하는, 상기 조성물의 제조 방법에 관한 것이다.
본 발명은 또한, 화학식 Hf(X)4 (식 중, X는 동일하거나 상이하며, 할라이드임)로 표시되는 하프늄 함유 화합물을 포함하며, 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만의 지르코늄 농도를 갖는 조 성물에 관한 것이다.
본 발명은 또한, 화학식 Hf(X)4 (식 중, X 동일하거나 상이하며, 할라이드임)로 표시되는 하프늄 함유 화합물을 포함하며, 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만의 지르코늄 농도를 갖는 조성물을 제조하기에 충분한 반응 조건 하에, 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만의 지르코늄 농도를 갖는 산화하프늄 화합물을 할로겐 또는 할로겐 함유 화합물과 반응시키는 것을 포함하는 방법에 의해 제조되는 상기 조성물에 관한 것이다.
본 발명은 또한, 유기금속 전구체 화합물을 포함하며, 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만의 지르코늄 농도를 갖는 조성물을 제조하기에 충분한 반응 조건 하에, 탄화수소 또는 헤테로원자 함유 화합물, 예를 들어 리튬화 아미드, 알콕시드, 디케토네이트, 시클로펜타디에나이드 또는 이미드를 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만의 지르코늄 농도를 갖는 화학식 Hf(X)4 (식 중, X는 동일하거나 상이하며, 할라이드임)로 표시되는 하프늄 함유 화합물과 반응시키는 것을 포함하는, 상기 조성물의 제조 방법에 관한 것이다. 유기금속 전구체 화합물은, 예를 들어 하프늄 아미드, 하프늄(IV) tert-부톡시드, 하프늄(IV) 아세틸아세토네이트, 비스(시클로펜타디에닐)하프늄 디클로라이드 또는 t-부틸이미도비스(디메틸아미노)하프늄을 포함한다.
본 발명은 또한, 유기금속 전구체 화합물을 포함하며, 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만의 지르코늄 농도를 갖는 조성물을 제조하기에 충분한 반응 조건 하에, 탄화수소 또는 헤테로원자 함유 화합물, 예를 들어 리튬화 아미드, 알콕시드, 디케토네이트, 시클로펜타디에나이드 또는 이미드를 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만의 지르코늄 농도를 갖는 화학식 Hf(X)4 (식 중, X는 동일하거나 상이하며, 할라이드임)로 표시되는 하프늄 함유 화합물과 반응시키는 것을 포함하는 방법에 의해 제조되는 상기 조성물에 관한 것이다. 유기금속 전구체 화합물은, 예를 들어 하프늄 아미드, 하프늄(IV) tert-부톡시드, 하프늄(IV) 아세틸아세토네이트, 비스(시클로펜타디에닐)하프늄 디클로라이드 또는 t-부틸이미도비스(디메틸아미노)하프늄을 포함한다.
본 발명은 또한, 상기 유기금속 전구체 화합물을 분해하여 필름, 코팅 또는 분말을 형성함으로써 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만의 지르코늄 농도를 갖는 필름, 코팅 또는 분말을 제조하는 방법에 관한 것이다.
본 발명은 여러 이점을 갖는다. 예를 들어, 본 발명의 방법은 다양한 화학 구조 및 물성을 갖는 유기금속 화합물 전구체를 생성하는 데 유용하다. 유기금속 화합물 전구체로부터 생성된 필름은 짧은 인큐베이션 시간으로 침착될 수 있고, 유기금속 화합물 전구체로부터 침착된 필름은 우수한 평활성을 나타낸다.
도 1은 초고순도 (UHP) 염화하프늄의 제조를 위한 장치를 개략적으로 나타낸 것이다.
상기한 바와 같이, 본 발명은 화학식 Hf(X)4 (식 중, X 동일하거나 상이하며, 할라이드 (예를 들어, Cl, Br, I 및 F)임)로 표시되는 하프늄 함유 화합물을 포함하며, 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만의 지르코늄 농도를 갖는 조성물을 제조하기에 충분한 반응 조건 하에, 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만의 지르코늄 농도를 갖는 산화하프늄 화합물을 할로겐 또는 할로겐 함유 화합물, 예를 들어 염소, 브롬, 요오드, 불소 또는 염화물, 브롬화물, 요오드화물 또는 불화물과 반응시키는 것을 포함하는, 상기 조성물의 제조 방법에 관한 것이다. 또다른 실시양태에서, 조성물 및 산화하프늄은 바람직하게는 약 50 ppm 미만, 보다 바람직하게는 약 25 ppm 미만, 더욱 바람직하게는 약 10 ppm 미만의 지르코늄 농도를 가질 수 있다.
하프늄 및 지르코늄의 가공은 가장 흔히는 지르콘 광석 MSiO4 (식 중, M은 일부 하프늄을 함유하는 지르코늄임)로 출발한다. 광석은 염소 및 탄소의 존재 하에 고온 (약 900 ℃)에서 염소화되어 지르코늄/하프늄 테트라클로라이드, SiCl4, 및 CO2를 형성하고, 후자의 두 물질은 높은 휘발성으로 인해 용이하게 분리된다 (미국 특허 제5,102,637호). 규소를 제거하고, 하프늄 및 지르코늄 할라이드를 산화물 또는 옥시염화물로 전환하고, 원하는 순도에 따라 미국 특허 제2,944,878호에 개시된 것과 같은 많은 방법으로 분리한다. 최종적으로, 분리된 금속을 단리하기 위해, 산화물을 통상적으로 탄소 상의 염소로 재염소화하여 순수한 사염화물을 생성한다.
본 발명의 방법에 사용할 수 있는 금속 산화물을 염소화하는 많은 방법이 존재한다. 금속 산화물을 염소화하는 예시적 방법은 하기와 같다.
MSiO4 + 4Cl2 + 2C ---> MCl4 + SiCl4 + 2CO2
MO2 + 2Cl2 + C ---> MCl4 + CO2
M02 + CCl4 ---> MCl4 + CO2
(M = 전이 금속, 예컨대 하프늄 또는 지르코늄)
하프늄 및 지르코늄 산화물의 염소화는 산업적 규모로 문헌에 공지되어 있으나, 저농도 지르코늄의 산화하프늄을 사용하지는 않는다. 예시적 염소화 방법은, 예를 들어 미국 특허 제3,293,005호 및 문헌 [Sheridan, C. W. et al., 'Preparation of Charge Materials for ORNL Electromagnetic Isotope Separators' Oak Ridge National Laboratory 1962]에 기재되어 있다.
금속 산화물, 예를 들어 산화하프늄 출발 물질은 당업계에 공지된 폭넓게 다양한 화합물로부터 선택될 수 있다. 거의 모든 금속이 통상적으로 산화물로 존재하고, 따라서 적합하게 사용할 수 있는 금속의 범위에는 거의 모든 주기율표 원소가 포함된다. 본 발명에서는 제4족 금속이 가장 바람직하고, 따라서 란탄족을 비롯한 전이 원소가 바람직하다. 산화하프늄을 사용하는 경우, 산화하프늄 중의 지르코늄 농도는 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만으로 하는 것이 중요하다. 또다른 실시양태에서, 산화하프늄은 바람직하게는 약 50 ppm 미만, 보다 바람직하게는 약 25 ppm 미만, 더욱 바람직하게는 약 10 ppm 미만의 지르코늄 농도를 갖는다.
금속 산화물 출발 물질의 농도는 폭넓은 범위로 달라질 수 있고, 단지 할로겐 또는 할로겐 함유 화합물 출발 물질과 반응하는 데 필요한 최소량일 필요가 있다. 일반적으로, 반응 혼합물의 크기에 따라, 약 1 mmol 이하 내지 약 10,000 mmol 이상의 범위의 금속 산화물 출발 물질 농도가 대부분의 공정에 대해 충분할 것이다.
할로겐 및 할로겐 함유 화합물은, 당업계에 공지된 폭넓게 다양한 화합물, 예를 들어 염소, 브롬, 요오드, 불소, 염화물, 브롬화물, 요오드화물, 불화물 등으로부터 선택될 수 있다. 예시적 할라이드는 대부분의 금속에 대해 존재한다. 따라서, 할로겐 및 할로겐 함유 화합물 공급원, 예를 들어 염소 기체, 유기 염소 공급원 (예를 들어, 사염화탄소, 포스겐 등, 및 무기 염소 공급원 (예를 들어, PbCl2), 및 적합한 온도 및 압력의 적절한 선택에 따라, 하프늄 함유 화합물이 적합하게 형성될 수 있다. 본 발명에서는 다른 유기 또는 무기 공급원에 비해 염소 또는 사염화탄소가 가장 바람직하다.
할로겐 또는 할로겐 함유 화합물 출발 물질의 농도는 폭넓은 범위로 달라질 수 있고, 단지 금속 산화물 출발 물질과 반응하는 데 필요한 최소량일 필요가 있다. 일반적으로, 반응 혼합물의 크기에 따라, 약 1 mmol 이하 내지 약 10,000 mmol 이상의 범위의 할로겐 및 할로겐 함유 화합물 출발 물질 농도가 대부분의 공정에 대해 충분할 것이다.
하프늄 함유 화합물을 포함하는 조성물 제조를 위한 본 발명의 방법에서는 지지제의 첨가를 또한 사용할 수 있다. 이러한 지지제는, 예를 들어 산소의 보다 용이한 제거를 위해 유용할 수 있다. 이들 유형의 방법에서는, 이산화탄소의 형성이 가능하도록 탄소 등의 지지제를 첨가할 수 있다. 염소와 같은 사용되는 임의의 반응성 기체 이외에 퍼지/캐리어 기체를 사용할 수 있고, 이는 질소, 헬륨, 아르곤 등의 많은 불활성 기체로부터 선택될 수 있다.
금속 산화물 출발 물질 및 할로겐 또는 할로겐 함유 화합물 출발 물질의 반응으로부터 제조된 하프늄 함유 화합물은 당업계에 공지된 폭넓게 다양한 화합물로부터 선택될 수 있다. 예시적 하프늄 함유 화합물은, 예를 들어 HfCl4, HfF4, HfBr4 또는 HfI4 등을 포함한다.
금속 산화물 출발 물질과 할로겐 및 할로겐 함유 화합물 출발 물질의 반응을 위한 반응 조건, 예컨대 온도, 압력 및 접촉 시간 또한 크게 달라질 수 있고, 이러한 조건의 임의의 적합한 조합이 본원에서 사용될 수 있다. 반응 온도는 약 25 ℃ 이하 내지 약 1000 ℃ 이상, 보다 바람직하게는 약 400 내지 600 ℃의 범위, 적합하게는 거의 모든 달성가능한 온도일 수 있다. 통상적으로, 반응은 약 0.1 torr 이하 내지 약 1500 torr 이상, 보다 바람직하게는 약 700 내지 900 torr, 적합하게는 거의 모든 달성가능한 압력 하에 수행한다. 반응을 위한 접촉 시간은 대략 수 초 또는 수 분 내지 수 시간 이상으로 달라질 수 있다. 반응물은 반응 혼합물에 첨가하거나 임의의 순서로 조합할 수 있다. 사용되는 혼합 시간은 모든 단계에서 약 0.01 내지 약 400시간, 바람직하게는 약 0.1 내지 75시간, 보다 바람직하게는 약 0.5 내지 8시간의 범위일 수 있다.
본원에 기재된 경우에서, 최종 생성물은 승화 기술에 의해 단리한다. 고려할만한 다른 기술로는 크로마토그래피, 결정화, 추출, 증류, 이온 부유선별, 포말 부유선별, 용매 박리 등이 포함된다.
반응기의 구성 물질은 석영 (본원에서 유리함), 유리, 스테인레스강, 기타 금속 및 금속 합금, 플라스틱 및 기타 중합체 물질을 비롯한 각종 조성물일 수 있다. 물질의 선택은 온도, 압력, 염소화제 등에 크게 좌우된다.
상기한 바와 같이, 본 발명은 화학식 Hf(X)4 (식 중, X는 동일하거나 상이하며, 할라이드임)로 표시되는 하프늄 함유 화합물을 포함하며, 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만의 지르코늄 농도를 갖는 조성물에 관한 것이다. 또다른 실시양태에서, 조성물은 바람직하게는 약 50 ppm 미만, 보다 바람직하게는 약 25 ppm 미만, 더욱 바람직하게는 약 10 ppm 미만의 지르코늄 농도를 가질 수 있다.
본 발명은 또한, 화학식 Hf(X)4 (식 중, X 동일하거나 상이하며, 할라이드임)로 표시되는 하프늄 함유 화합물을 포함하며, 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만의 지르코늄 농도를 갖는 조성물을 제조하기에 충분한 반응 조건 하에, 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만의 지르코늄 농도를 갖는 산화하프늄 화합물을 할로겐 또는 할로겐 함유 화합물과 반응시키는 것을 포함하는 방법에 의해 제조되는 상기 조성물에 관한 것이다. 또다른 실시양태에서, 조성물 및 산화하프늄 화합물은 바람직하게는 약 50 ppm 미만, 보다 바람직하게는 약 25 ppm 미만, 더욱 바람직하게는 약 10 ppm 미만의 지르코늄 농도를 가질 수 있다.
상기한 바와 같이, 본 발명은, 유기금속 전구체 화합물을 포함하며, 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만의 지르코늄 농도를 갖는 조성물을 제조하기에 충분한 반응 조건 하에, 탄화수소 또는 헤테로원자 함유 화합물, 예를 들어 리튬화 아미드, 알콕시드, 디케토네이트, 시클로펜타디에나이드 또는 이미드를 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만의 지르코늄 농도를 갖는 화학식 Hf(X)4 (식 중, X는 동일하거나 상이하며, 할라이드임)로 표시되는 하프늄 함유 화합물과 반응시키는 것을 포함하는, 상기 조성물의 제조 방법에 관한 것이다. 유기금속 전구체 화합물은, 예를 들어 하프늄 아미드, 하프늄(IV) tert-부톡시드, 하프늄(IV) 아세틸아세토네이트, 비스(시클로펜타디에닐)하프늄 디클로라이드 또는 t-부틸이미도비스(디메틸아미노)하프늄을 포함한다. 또다른 실시양태에서, 조성물 및 산화하프늄 화합물은 바람직하게는 약 50 ppm 미만, 보다 바람직하게는 약 25 ppm 미만, 더욱 바람직하게는 약 10 ppm 미만의 지르코늄 농도를 가질 수 있다.
본 발명은 또한, (i) 탄화수소 또는 헤테로원자 함유 물질을, 탄화수소 또는 헤테로원자 함유 화합물을 포함하는 제1 반응 혼합물이 제조되기에 충분한 반응 조건 하에, 용매의 존재 하에 염기 물질과 반응시키는 단계, (ii) 상기 제1 반응 혼합물에 금속 공급원 화합물을 첨가하는 단계, (iii) 상기 탄화수소 또는 헤테로원자 함유 화합물을, 유기금속 화합물을 포함하는 제2 반응 혼합물을 제조하기에 충분한 반응 조건 하에, 상기 금속 공급원 화합물과 반응시키는 단계, 및 (iv) 상기 제2 반응 혼합물로부터 상기 유기금속 화합물을 분리하는 단계를 포함하는, 유기금속 화합물의 제조 방법에 관한 것이다. 상기 방법은 폭넓은 범위의 생성물 제조에 용이하게 채용될 수 있는 동일한 장비, 일부 동일한 시약 및 공정 파라미터를 사용하여 수행할 수 있기 때문에 대규모 제조에 대해 특히 잘 적합화된다. 상기 방법은 모든 조작을 단일 반응기 중에서 수행하고 유기금속 화합물에 대한 경로가 중간체 착체의 단리를 필요로 하지 않는 독특한 방법을 사용하는 유기금속 화합물의 합성을 위해 제공된다. 상기 방법은 본원에 참고로서 인용된 2003년 10월 6일자 미국 특허 출원 제10/678,074호에 보다 상세히 기재되어 있다.
탄화수소 또는 헤테로원자 함유 출발 물질은 당업계에 공지된 폭넓게 다양한 화합물로부터 선택될 수 있다. 예시적 탄화수소 또는 헤테로원자 함유 화합물은, 예를 들어 아민, 알콜, 디케톤, 시클로펜타디엔, 이민, 탄화수소, 할로겐 등을 포함한다. 바람직한 탄화수소 또는 헤테로원자 함유 출발 물질은 화학식 HNRR' (식 중, R 및 R'는 독립적으로 메틸, 에틸, 프로필, 부틸, 이소프로필, tert-부틸 등이거나, 또는 R 및 R'는 함께 결합되어 치환 또는 비치환된 시클릭 아민, 예를 들어 피리딘, 피페리딘 등을 형성할 수 있음)를 갖는 아민을 포함한다. 본 발명의 방법에 유용할 수 있는 다른 아민은 화학식 HNRR', H2NR 및 NH3 (식 중, R 및 R'는 독립적으로 약 20개 미만의 탄소 원자를 포함하는 포화 또는 불포화된, 분지 또는 비분지 탄화수소쇄 또는 고리, 알킬 할라이드, 실란, 에테르, 티오에테르, 에스테르, 티오에스테르, 아미드, 아민, 니트릴, 케톤 또는 상기 기의 혼합물임)을 갖는 것들을 포함한다.
탄화수소 또는 헤테로원자 함유 출발 물질의 농도는 폭넓은 범위로 달라질 수 있고, 단지 염기 출발 물질과 반응하는 데 필요한 최소량일 필요가 있다. 일반적으로, 제1 반응 혼합물의 크기에 따라, 약 1 mmol 이하 내지 약 10,000 mmol 이상의 범위의 탄화수소 또는 헤테로원자 함유 출발 물질 농도가 대부분의 공정에 대해 충분할 것이다.
염기 출발 물질은 당업계에 공지된 폭넓게 다양한 화합물로부터 선택될 수 있다. 예시적 염기는 약 10 초과, 바람직하게는 약 20 초과, 보다 바람직하게는 약 25 초과의 pKa를 갖는 임의의 염기를 포함한다. 염기 물질은 바람직하게는 n-BuLi, t-BuLi, MeLi, NaH, CaH2, 리튬 아미드 등이다.
염기 출발 물질의 농도는 폭넓은 범위로 달라질 수 있고, 단지 탄화수소 또는 헤테로원자 함유 출발 물질과 반응하는 데 필요한 최소량일 필요가 있다. 일반적으로, 제1 혼합물의 크기에 따라, 약 1 mmol 이하 내지 약 10,000 mmol 이상의 범위의 염기 출발 물질 농도가 대부분의 공정에 대해 충분할 것이다.
일 실시양태에서, 탄화수소 또는 헤테로원자 함유 화합물은 동일계에서 생성될 수 있고, 예를 들어 리튬화 아미드, 알콕시드, 디케토네이트, 시클로펜타디에나이드, 이미드 등이다. 탄화수소 또는 헤테로원자 함유 화합물을 금속 공급원 화합물과의 반응 직전에 반응 용기내에서 동일계에서 생성하는 것은 임의의 반응성 고체를 단리하고 취급할 필요성이 제거됨으로써 순도의 관점에서 유리하다. 이것은 또한 저비용이다.
적소에서 동일계 생성된 탄화수소 또는 헤테로원자 함유 화합물을 사용하면, 고순도 금속 공급원 화합물, 예를 들어 염화하프늄의 첨가를 고체 첨가를 통해, 또는 일부 경우에 보다 편리하게는 용매 (예를 들어, 헥산) 슬러리로서 수행할 수 있다. 특정 금속 공급원 화합물은 감습성이고, 질소와 같은 불활성 분위기 하에 사용되지만, 일반적으로 탄화수소 또는 헤테로원자 함유 화합물, 예를 들어 리튬화 아미드, 알콕시드, 디케토네이트, 시클로펜타디에나이드, 이미드 등에 비해 훨씬 적은 정도이다. 또한, HfCl4 등의 많은 금속 공급원 화합물은 농후하고 전달이 용이하다.
탄화수소 또는 헤테로원자 함유 출발 물질과 염기 출발 물질의 반응으로부터 제조된 탄화수소 또는 헤테로원자 함유 화합물은 당업계에 공지된 폭넓은 범위의 화합물로부터 선택될 수 있다. 예시적 탄화수소 또는 헤테로원자 함유 화합물은, 예를 들어 리튬화 아미드, 알콕시드, 디케토네이트, 시클로펜타디에나이드, 이미드 등을 포함한다.
탄화수소 또는 헤테로원자 함유 화합물의 농도는 폭넓은 범위로 달라질 수 있고, 단지 금속 공급원 화합물과 반응하여 본 발명의 유기금속 화합물을 제공하는 데 필요한 최소량일 필요가 있다. 일반적으로, 제2 반응 혼합물의 크기에 따라, 약 1 mmol 이하 내지 약 10,000 mmol 이상의 탄화수소 또는 헤테로원자 함유 화합물 농도가 대부분의 공정에 대해 충분할 것이다.
본 발명의 방법에 사용되는 용매는 임의의 포화 및 불포화 탄화수소, 방향족 탄화수소, 방향족 헤테로사이클, 알킬 할라이드, 실릴화 탄화수소, 에테르, 폴리에테르, 티오에테르, 에스테르, 티오에스테르, 락톤, 아미드, 아민, 폴리아민, 니트릴, 실리콘 오일, 다른 비양성자성 용매, 또는 이들 하나 이상의 혼합물일 수 있고, 보다 바람직하게는 디에틸에테르, 펜탄 또는 디메톡시에탄이고, 가장 바람직하게는 헥산 또는 THF이다. 의도된 반응을 과도하게 불리하게 방해하지 않는 임의의 적합한 용매를 사용할 수 있다. 원하는 경우, 하나 이상의 상이한 용매의 혼합물을 사용할 수 있다. 사용되는 용매의 양은 본 발명에 대해 결정적이지 않고, 단지 반응 성분이 반응 혼합물 중에 가용화되기에 충분한 양일 필요가 있다. 일반적으로, 용매의 양은 반응 혼합물 출발 물질의 총 중량을 기준으로 약 5 중량% 내지 약 99 중량% 이상일 수 있다.
염기 출발 물질과 탄화수소 또는 헤테로원자 함유 물질의 반응을 위한 반응 조건, 예컨대 온도, 압력 및 접촉 시간 또한 크게 변할 수 있고, 이러한 조건의 임의의 적합한 조합을 본원에서 사용할 수 있다. 반응 온도는 임의의 상기한 용매의 환류 온도일 수 있고, 보다 바람직하게는 약 -80 ℃ 내지 약 150 ℃, 가장 바람직하게는 약 20 ℃ 내지 약 80 ℃일 수 있다. 통상적으로 반응은 주변 압력 하에 수행되고, 접촉 시간은 대략 수 초 또는 수 분 내지 수 시간 이상으로 달라질 수 있다. 반응물은 반응 혼합물에 첨가하거나 임의의 순서로 조합할 수 있다. 사용되는 교반 시간은 모든 단계에서 약 0.1 내지 약 400시간, 바람직하게는 약 1 내지 75시간, 보다 바람직하게는 약 4 내지 16시간의 범위일 수 있다.
고순도 금속 공급원 화합물은 당업계에 공지된 폭넓게 다양한 금속 함유 화합물로부터 선택될 수 있고, 바람직하게는 상기 고순도 하프늄 함유 화합물은 화학식 화학식 Hf(X)4로 표시된다. 예시적 금속으로는, 하프늄, 지르코늄, 티타늄, 탄탈, 몰리브덴 및 기타 전이 금속이 포함된다. 고순도 금속 공급원 화합물은 바람직하게는 전이 금속 할라이드 화합물, 보다 바람직하게는 MXn (식 중, M은 전이 금속이고, X는 할라이드이고, n은 3, 4 또는 5의 값임), 예컨대 HfCl4, HfF4, HfBr4, HiI4, Hf(OTf)4 등이고, 가장 바람직하게는 HfCl4이다. 기타 금속 공급원 화합물은 하프늄 금속, HfOCl2 등을 포함할 수 있다.
고순도 금속 공급원 화합물의 농도는 폭넓은 범위로 달라질 수 있고, 단지 사용되기 원하는 소정의 금속 농도를 제공하고 적어도 본 발명의 유기금속 화합물에 필요한 금속의 양에 대한 기초를 제공하는 데 필요한 최소량일 필요가 있다. 일반적으로, 제1 반응 혼합물의 크기에 따라, 약 1 mmol 이하 내지 약 10,000 mmol 이상의 범위의 금속 공급원 화합물 농도가 대부분의 공정에 대해 충분할 것이다.
탄화수소 또는 헤테로원자 함유 화합물과 고순도 금속 공급원 화합물의 반응을 위한 반응 조건, 예컨대 온도, 압력 및 접촉 시간 또한 크게 달라질 수 있고, 이러한 조건의 임의의 적합한 조합이 본원에서 사용될 수 있다. 반응 온도는 임의의 상기한 용매의 환류 온도일 수 있고, 보다 바람직하게는 약 -80 ℃ 내지 약 150 ℃, 가장 바람직하게는 약 20 ℃ 내지 약 80 ℃일 수 있다. 통상적으로 반응은 주변 압력 하에 수행되고, 접촉 시간은 대략 수 초 또는 수 분 내지 수 시간 이상으로 달라질 수 있다. 반응물은 반응 혼합물에 첨가하거나 임의의 순서로 조합할 수 있다. 사용되는 교반 시간은 모든 단계에서 약 0.1 내지 약 400시간, 바람직하게는 약 1 내지 75시간, 보다 바람직하게는 약 4 내지 16시간의 범위일 수 있다. 단일 용기에서 수행되는 본 발명의 실시양태에서, 탄화수소 또는 헤테로원자 함유 화합물은 고순도 금속 공급원 화합물과의 반응 전에 제1 반응 혼합물로부터 분리하지 않는다. 바람직한 실시양태에서, 고순도 금속 공급원 화합물은 주변 온도 또는 주변 온도 초과의 온도에서 제1 반응 혼합물에 첨가한다.
탄화수소 또는 헤테로원자 함유 화합물 및 고순도 금속 공급원 화합물의 반응으로부터 제조되는 유기금속 화합물은 당업계에 공지된 폭넓게 다양한 화합물로부터 선택될 수 있다. 본 발명의 목적상, 유기금속 화합물은 금속-탄소 원자 결합을 갖는 화합물 및 금속-헤테로원자 결합을 갖는 화합물을 포함한다. 예시적 유기금속 화합물은, 예를 들어 전이 금속 함유 아미드 (예를 들어, 하프늄 아미드, 예컨대 테트라키스(디메틸아미노)하프늄), 알콕시드 (예를 들어, 하프늄(IV) tert-부톡시드), 디케토네이트 (예를 들어, 하프늄(IV) 아세틸아세토네이트), 시클로펜타디에나이드 (예를 들어, 비스(시클로펜타디에닐)하프늄 디클로라이드), 이미드 (예를 들어, t-부틸이미도비스(디메틸아미노)하프늄) 등을 포함한다.
상기한 바와 같이, 본 발명은 유기금속 전구체 화합물을 포함하며, 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만의 지르코늄 농도를 갖는 조성물을 제조하기에 충분한 반응 조건 하에, 탄화수소 또는 헤테로원자 함유 화합물, 예를 들어 리튬화 아미드, 알콕시드, 디케토네이트, 시클로펜타디에나이드 또는 이미드를 약 1000 ppm 미만, 바람직하게는 약 500 ppm 미만, 보다 바람직하게는 약 100 ppm 미만의 지르코늄 농도를 갖는 화학식 Hf(X)4 (식 중, X는 동일하거나 상이하며, 할라이드임)로 표시되는 하프늄 함유 화합물과 반응시키는 것을 포함하는 방법에 의해 제조되는 상기 조성물에 관한 것이다. 유기금속 전구체 화합물은, 예를 들어 하프늄 아미드, 하프늄(IV) tert-부톡시드, 하프늄(IV) 아세틸아세토네이트, 비스(시클로펜타디에닐)하프늄 디클로라이드 또는 t-부틸이미도비스(디메틸아미노)하프늄을 포함한다. 또다른 실시양태에서, 조성물 및 하프늄 함유 화합물은 바람직하게는 약 50 ppm 미만, 보다 바람직하게는 약 25 ppm 미만, 더욱 바람직하게는 약 10 ppm 미만의 지르코늄 농도를 갖는다.
본 발명의 방법에 의해 제조된 유기금속 화합물에 대해, 정제는 재결정화를 통해, 보다 바람직하게는 반응 잔사 (예를 들어, 헥산)의 추출 및 크로마토그래피를 통해, 가장 바람직하게는 승화 및 증류를 통해 수행할 수 있다.
별법의 방법, 예를 들어 아미드 공급원으로서 아민 자체 대신에 원하는 아민의 HCl염을 사용하는 것 및 과량의 아민을 사용하여 HfCl4와 반응시키고 양성자화된 염화아민으로서 생성된 HCl을 구속함으로써 리튬화 단계를 제거하는 것도 본 발명의 범위내에 포함된다.
또한, 상기 방법은 하프늄 아미드 시스템에 제한되지 않는다. 다른 금속 및 다른 음이온성 리간드로 확장될 수도 있다. 다른 금속의 예로는, 지르코늄, 티타늄, 탄탈 및 몰리브덴이 포함되나, 이에 제한되지는 않는다. 다른 리간드로는, 알콕시드, 베타디케토네이트, 시클로펜타디에나이드, 이미드, 니트레이트, 음이온성 탄화수소, 할라이드, 카르보네이트 등이 포함되나, 이에 제한되지는 않는다.
당업자는, 하기 청구의 범위에 보다 특별히 한정된 바와 같은 본 발명의 범주 또는 사상으로부터 벗어나지 않는, 본원에 상세히 기재된 방법에 대한 많은 변화가 이루어질 수 있음을 인지할 것이다.
상기한 합성 방법에 의해 형성된 유기금속 화합물을 특성화하기 위해 사용할 수 있는 기술의 예로는, 분석 기체 크로마토그래피, 핵자기 공명, 열중량 분석, 유도 결합 플라즈마 질량 분광분석, 시차 주사 열량측정, 증기압 및 점도 측정이 포함되나, 이에 제한되지는 않는다.
상기한 유기금속 화합물 전구체의 상대 증기압 또는 상대 휘발도는 당업계에 공지된 열중량 분석 기술에 의해 측정할 수 있다. 또한, 평형 증기압은, 예를 들어 밀봉 용기로부터 모든 기체를 배기시키고, 그 후 화합물의 증기를 용기에 도입함으로써 측정할 수 있고, 압력은 당업계에 공지된 바와 같이 측정한다.
본원에 기재된 많은 유기금속 화합물 전구체는 실온에서 액체이고, 동일계 분말 및 코팅 제조에 잘 적합화된다. 예를 들어, 액체 유기금속 화합물 전구체를 기재에 도포한 후, 전구체를 분해하기에 충분한 온도까지 가열함으로써 기재 상에 금속 또는 금속 산화물 코팅을 형성할 수 있다. 액체 전구체를 기재에 도포하는 것은 페인팅, 스프레잉, 침지 또는 당업계에 공지된 다른 기술에 의해 수행할 수 있다. 가열은 기재를 전기적으로 가열함으로써, 또는 당업계에 공지된 바와 같은 다른 수단에 의해, 가열총을 사용하여 오븐 중에서 수행할 수 있다. 적층 코팅은 유기금속 화합물 전구체를 도포하고 이를 가열하고 분해함으로써 제1층을 형성한 후, 동일하거나 상이한 전구체로 하나 이상의 다른 코팅을 수행하고 가열함으로써 얻어질 수 있다.
상기한 바와 같은 액체 유기금속 화합물 전구체는 기재 상에 분무 및 스프레잉할 수도 있다. 사용할 수 있는 노즐, 분무기 등의 분무 및 스프레잉 수단은 당업계에 공지되어 있다.
본 발명의 바람직한 실시양태에서, 상기한 바와 같은 유기금속 화합물은 분말, 필름 또는 코팅 형성을 위한 기상 침착 기술에 사용된다. 화합물은 단일 공급원 전구체로서 사용할 수 있거나, 또는 하나 이상의 다른 전구체, 예를 들어 하나 이상의 다른 유기금속 화합물 또는 금속 착체를 가열함으로써 생성된 증기와 함께 사용할 수 있다. 상기한 바와 같은 하나 초과의 유기금속 화합물 전구체를 소정의 방법에 사용할 수도 있다.
침착은 다른 기상 성분의 존재 하에 수행할 수 있다. 본 발명의 일 실시양태에서, 필름 침착은 하나 이상의 비반응성 캐리어 기체의 존재 하에 수행한다. 비반응성 기체의 예로는, 불활성 기체, 예를 들어 질소, 아르곤, 헬륨, 및 공정 조건 하에 유기금속 화합물 전구체와 반응하지 않는 다른 기체가 포함된다. 다른 실시양태에서, 필름 침착은 하나 이상의 반응성 기체의 존재 하에 수행한다. 사용할 수 있는 일부 반응성 기체는, 히드라진, 산소, 수소, 공기, 산소 풍부 공기, 오존 (O3), 아산화질소 (N2O), 수증기, 유기 증기 등이 포함되나, 이에 제한되지는 않는다. 당업계에 공지된 바와 같이, 산화 기체, 예를 들어 공기, 산소, 산소 풍부 공기, O3, N2O 또는 산화 유기 화합물의 증기의 존재는 금속 산화물 필름의 형성을 용이하게 한다.
상기한 바와 같이, 본 발명은 또한 부분적으로, 필름, 코팅 또는 분말의 제조 방법에 관한 것이다. 상기 방법은, 하기에 더 기재하는 바와 같이, 하나 이상의 유기금속 화합물 전구체를 분해하여 필름, 코팅 또는 분말을 형성하는 단계를 포함한다.
본원에 기재된 침착 방법을 수행하여 단일 금속을 포함하는 필름, 분말 또는 코팅, 또는 단일 금속 산화물을 포함하는 필름, 분말 또는 코팅을 형성할 수 있다. 혼합 필름, 분말 또는 코팅, 예를 들어 혼합 금속 산화물 필름을 침착시킬 수도 있다. 혼합 금속 산화물 필름은, 예를 들어 하나 이상이 상기한 유기금속 화합물로부터 선택된 것인 여러 유리금속 전구체를 사용함으로써 형성할 수 있다.
기상 필름 침착을 수행하여 원하는 두께, 예를 들어 약 1 nm 내지 1 mm 초과 범위의 두께를 갖는 필름층을 형성할 수 있다. 본원에 기재된 전구체는 박막, 예를 들어 약 10 nm 내지 약 100 mm 범위의 두께를 갖는 필름의 제조에 특히 유용하다. 예를 들어, 하프늄, 하프늄 산화물, 하프늄 실리케이트 및 하프늄 알루미네이트의 필름이 금속 전극 제작을 위해, 특히 로직에서의 n-채널 금속 전극, DRAM용 커패시터 전극 및 유전체 물질로서 고려될 수 있다.
상기 방법은 또한 상 또는 조성이 다른 둘 이상의 층을 갖는 적층 필름 제조에 대해 적합화된다. 적층 필름의 예로는, 금속-절연체-반도체 및 금속-절연체-금속이 포함된다.
일 실시양태에서, 본 발명은 상기한 유기금속 화합물 전구체의 증기를 열적으로, 화학적으로, 광화학적으로 또는 플라즈마 활성화에 의해 분해시켜 기재 상에 필름을 형성하는 단계를 포함하는 방법에 관한 것이다. 예를 들어, 화합물에 의해 생성된 증기를 유기금속 화합물이 분해되어 기재 상에 필름이 형성되기에 충분한 온도를 갖는 기재와 접촉시킨다.
유기금속 화합물 전구체는 화학 증착에, 또는 보다 구체적으로는 당업계에 공지된 유기금속 화학 증착 방법에 사용할 수 있다. 예를 들어, 상기한 유기금속 화합물 전구체는 주변압 및 저압에서 화학 증착 방법에 사용할 수 있다. 상기 화합물은 고온벽 화학 증착 (전체 반응 챔버를 가열하는 방법) 및 저온 또는 가온벽 유형 화학 증착 (기재만을 가열하는 기술)에 사용할 수 있다.
유기금속 화합물 전구체는 각각 플라즈마 또는 전자기 에너지로부터의 에너지를 사용하여 화학 증착 전구체를 활성화시키는 플라즈마 또는 광 보조 화학 증착 방법에 사용할 수도 있다. 화합물은, 각각 이온빔 또는 전자빔을 기재에 적용하여 화학 증착 전구체 분해를 위한 에너지를 공급하는 이온빔 및 전자빔 보조 화학 증착에 사용할 수도 있다. 레이저광을 기재에 적용하여 화학 증착 전구체의 광분해 반응을 수행하는 레이저 보조 화학 증착 방법도 사용할 수 있다.
본 발명의 방법은, 예를 들어 당업계에 공지된 바와 같은 고온 또는 저온벽 반응기, 플라즈마 보조, 빔 보조 또는 레이저 보조 반응기와 같은 다양한 화학 증착 반응기 중에서 수행할 수 있다.
본 발명의 방법을 사용하여 코팅될 수 있는 기재의 예로는, 고체 기재, 예컨대 금속 기재, 예를 들어, Al, Ni, Ti, Co, Pt, Ta; 금속 규화물, 예를 들어 TiSi2, CoSi2, NiSi2; 반도체 물질, 예를 들어 Si, SiGe, GaAs, InP, 다이아몬드, GaN, SiC; 절연체, 예를 들어 Si02, Si3N4, HfO2, Ta2O5, Al2O3, 바륨 스트론튬 티타네이트 (BST); 배리어 물질, 예를 들어 TiN, TaN; 또는 물질의 조합을 포함하는 기재가 포함된다. 추가로, 필름 또는 코팅은 유리, 세라믹, 플라스틱, 열경화성 중합체 물질 및 다른 코팅 또는 필름층 상에 형성할 수 있다. 바람직한 실시양태에서, 필름은 전자 부품의 제작 또는 가공에 사용되는 기재 상에 침착된다. 다른 실시양태에서는, 광학적 투과 필름 또는 고온에서 산화제의 존재 하에 안정한 낮은 비저항 전도체 침착을 지지하는 기재를 사용한다.
본 발명의 방법을 수행하여 필름을 평활하고 평평한 기재 상에 침착시킬 수 있다. 일 실시양태에서는, 본 발명의 방법을 수행하여 웨이퍼 제작 또는 가공에 사용되는 기재 상에 필름을 침착시킨다. 예를 들어, 본 발명의 방법을 수행하여 트렌치, 홀 또는 바이어와 같은 특징부를 포함하는 패턴화된 기재 상에 필름을 침착시킬 수 있다. 또한, 본 발명의 방법을 웨이퍼 제작 또는 가공 중의 다른 단계, 예를 들어 마스킹, 에칭 등과 함께 통합할 수 있다.
화학 증착 필름은 원하는 두께로 침착될 수 있다. 예를 들어, 형성된 필름은 1 마이크론 미만의 두께, 바람직하게는 500 nm 미만, 보다 바람직하게는 200 nm 미만의 두께일 수 있다. 50 nm 두께 미만의 필름, 예를 들어 약 1 내지 약 20 nm의 두께를 갖는 필름을 제조할 수도 있다.
상기한 유기금속 화합물 전구체를 본 발명의 방법에 사용하여 원자층 침착 (ALD) 또는 원자층 핵생성 (ALN) 기술에 의해 필름을 형성할 수 있고, 그 동안 기재를 전구체, 산화제 및 불활성 기체 스트림의 교대 펄스에 노출시킨다. 순차적인 층 침착 기술은, 예를 들어 미국 특허 제6,287,965호 및 동 제6,342,277호에 기재되어 있다. 상기 두 특허의 개시는 그의 전문이 본원에 참고로서 인용된다.
예를 들어, 하나의 ALD 사이클에서, 기재를 단계적 방식으로 a) 불활성 기체; b) 전구체 증기를 담지하는 불활성 기체; c) 불활성 기체; 및 d) 산화제에 단독으로 또는 불활성 기체와 함께 노출시킨다. 일반적으로, 각 단계는 장치가 허용하는만큼 짧고 (예를 들어, 밀리초), 공정에 요구되는만큼 길 수 있다 (예를 들어, 수 초 또는 수 분). 1회 사이클의 기간은 밀리초만큼 짧고, 수 분만큼 길 수 있다. 사이클은 수 초 내지 수 시간 범위일 수 있는 기간에 걸쳐 반복된다. 생성된 필름은 수 nm 더 얇거나 두꺼울 수 있다 (예를 들어, 1 밀리미터 (mm)).
본 발명의 방법은 또한 초임계 유체를 사용하여 수행할 수 있다. 현재 당업계에 공지되어 있는 초임계 유체를 사용하는 필름 침착 방법의 예로는, 화학 유체 침착, 초임계 유체 수송-화학 침착, 초임계 유체 화학 침착 및 초임계 침적 침착이 포함된다.
예를 들어, 화학 유체 침착 방법은 고순도 필름 제조, 및 복합체 표면 피복과 고 종횡비 특징부의 충전에 잘 적합화된다. 화학 유체 침착은, 예를 들어 미국 특허 제5,789,027호에 기재되어 있다. 초임계 유체를 사용하여 필름을 형성하는 것은 또한 미국 특허 제6,541,278 B2호에 기재되어 있다. 상기 두 특허의 개시는 그의 전문에 본원에 참고로서 인용된다.
본 발명의 일 실시양태에서는, 가열 패턴화된 기재를 근임계 또는 초임계 유체, 예를 들어 근임계 또는 초임계 CO2와 같은 용매의 존재 하에 하나 이상의 유기금속 화합물 전구체에 노출시킨다. CO2의 경우, 용매 유체는 약 1000 psig 초과의 압력 및 약 30 ℃ 이상의 온도에서 제공된다.
전구체는 분해되어 기재 상에 금속 필름을 형성한다. 반응은 또한 전구체로부터 유기 물질을 생성시킨다. 유기 물질은 용매 유체에 의해 가용화되고 기재로부터 용이하게 제거된다. 금속 산화물 필름은, 예를 들어 산화 기체를 사용하여 형성할 수도 있다.
일례에서, 침착 방법은 하나 이상의 기재를 수용하는 반응 챔버내에서 수행한다. 예를 들어 노(furnace)에 의해 전체 챔버를 가열함으로써 기재를 원하는 온도까지 가열한다. 유기금속 화합물의 증기는, 예를 들어 챔버에 진공을 적용함으로써 생성할 수 있다. 저비점 화합물의 경우, 챔버는 화합물의 증발을 일으키기에 충분히 고온일 수 있다. 증기가 가열된 기재 표면에 접촉함에 따라, 이것이 분해되어 금속 또는 금속 산화물 필름을 형성한다. 상기한 바와 같이, 유기금속 화합물 전구체는 단독으로, 또는 예를 들어 다른 유기금속 전구체, 불활성 캐리어 기체 또는 반응성 기체와 같은 하나 이상의 성분과 조합하여 사용할 수 있다.
본 발명의 방법에 의해 필름을 제조하는 데 사용할 수 있는 시스템에서는, 원료를 기체 블렌딩 다기관에 도입하여 공정 기체를 형성하고, 이를 필름 성장이 수행되는 분해 반응기에 공급할 수 있다. 원료로는, 캐리어 기체, 반응성 기체, 퍼징 기체, 전구체, 에칭/세정 기체 등이 포함되나, 이에 제한되지는 않는다. 공정 기체 조성의 정확한 조정은 당업계에 공지된 바와 같은 질량-유량 조절기, 밸브, 압력 변환기 및 기타 수단을 사용하여 달성된다. 배기 다기관은 기체 배출 침착 반응기 및 측로 스트림을 진공 펌프로 이송할 수 있다. 감소 시스템인 진공 펌프의 하류를 사용하여 배기 가스로부터 임의의 해로운 물질을 제거할 수 있다. 침착 시스템에는 공정 기체 조성의 측정을 허용하는 잔류 기체 분석기를 포함한 동일계 분석 시스템이 장착될 수 있다. 조절 및 데이타 수집 시스템은 다양한 공정 파라미터 (예를 들어, 온도, 압력, 유속 등)를 모니터링할 수 있다.
상기한 유기금속 화합물 전구체를 사용하여 단일 금속을 포함하는 필름 또는 단일 금속 산화물을 포함하는 필름을 제조할 수 있다. 혼합 필름, 예를 들어 혼합 금속 산화물 필름을 침착시킬 수도 있다. 이러한 필름은, 예를 들어 여러 유기금속 전구체를 사용함으로써 제조된다. 금속 필름은, 예를 들어 캐리어 기체, 증기 또는 다른 산소 공급원을 사용하지 않고 제조할 수도 있다.
본원에 기재된 방법에 의해 제조된 필름은 당업계에 공지된 기술, 예를 들어 X-선 회절, 오제(Auger) 분광분석, X-선 광전자 발광 분광분석, 원자간힘 현미경, 주사 전자 현미경 및 당업계에 공지된 다른 기술에 의해 특성화할 수 있다. 필름의 비저항 및 열 안정성 또한 당업계에 공지된 방법에 의해 측정할 수 있다.
본 발명의 다양한 변형 및 변화가 당업자에게 명확할 것이며, 이러한 변형 및 변화는 본원의 범주 및 청구의 범위의 사상 및 범주내에 포함되는 것임을 이해하여야 한다.
실시예 1
워크인(walk-in) 흄 후드 (Cl2 및 COCl2의 서브-ppm 농도를 측정하기 위한 MDA 사이언티픽 모니터가 장착됨)에 석영 장치를 배치하였다 (도 1 참조). 장치는 내경 20 mm X 외경 25 mm의 석영 튜브 및 복숭아형(pear-shaped) 석영 벌브 (분별 깔대기와 구조가 유사)를 포함하였다. 3개의 주 개구, 즉 1개의 수평 튜브 말단, 주 튜브에 대해 수직인 1개의 수직 24/40 피메일(female) 그라운드 석영 이음부, 및 복숭아형 부분 하부의 1개의 수직 24/40 메일(male) 그라운드 석영 이음부가 존재하였다. 추가로, 4 mm 켐-캡(Chem-Cap) 밸브 (켐글라스(Chemglass))를 개구 튜브 말단 근처에 배치하였다. 막대를 사용하여 석영 울 (약 1 인치 플러그)을 튜브의 굴곡 개시부 이전 약 1 인치 지점까지 장치 중으로 밀어넣었다. 5개의 열전쌍 (표면 마운트 오메가 타입(Omega Type) K)을 5개의 가열 대역에서 장치 상에 배치하였다. 써몰린(Thermolyne) 디스플레이 상에서 온도를 모니터링하였다. 이어서, 이들 대역을 가열 테이프 (반스테드 써모라인(Barnstead Thermolyne), 스타코 바리악(Staco variacs)으로 조절됨)로 감싸고, 편조(braided) 섬유유리로 포장된 0.75 인치 세라믹 섬유 절연체로 덮었다. 증발 대역은 장치의 좌측 개구 말단으로부터 6 인치 T 교차부에 중심 조절하고, 교차부의 한쪽 측면으로 2 인치 연장하였다. 가열전(pre-heat) 대역은 개구 튜브로부터 13 인치에 중심 조절하고, 한쪽 측면으로 5 인치 연장하였다. 반응 대역은 개구 튜브로부터 25 인치에 중심 조절하고, 한쪽 방향으로 7 인치 연장하였다.
또한, 반응 대역을 튜브 굽힘부 주위로 연장하였다. 넉다운(knock-down) 대역은 약 2 인치 하부로 연장되는 복숭아형 영역의 상부 영역이었다 (복숭아형 영역의 나머지 부분은 덮지 않은 상태로 유지하였다). 수집 대역은 수집 플라스크 (이 경우에는 500 mL 둥근 바닥형이었으나, 규모에 따라 보다 작거나 큰 플라스크를 사용할 수 있음)에 존재하였고, 플라스크의 응축 아암(arm)으로 연장되었다 (도 1 참조). 플라스크 자체를 맨틀에 의해 가열할 수도 있었다. 플라스크를 최소의 그리스(고진공 다우 코닝(Dow Corning) 실리콘 그리스) 또는 테플론(Teflon) 슬리브로 복숭아형 영역 하부의 그라운드 석영 이음부에서 시스템 상에 배치하였다. 또한, 테플론 코팅된 교반-바 마그네트를 플라스크 중에 배치하여 실행이 완료된 후 생성물 수집이 용이하도록 하였다 (하기 참조). 플라스크 (켐-캡) 상의 기체 유입 포트를 퍼징을 위한 아르곤 공급까지 훅으로 잠그었다. 플라스크의 응축 아암 (24/40 피메일 그라운드 이음부로 마무리됨)에 그라운드 유리-튜브 어댑터 (최소의 그리스 또는 테플론 슬리브 사용) 및 테플론 배출 라인을 부착하였다.
배출 라인을 100 mL 넉다운 트랩 (유리 튜브) 및 유리 버블러 (어지몬트 갈덴 퍼플루오리네이티드 플루이드(Ausimont Galden Perfluorinated Fluid) HT 270)을 통해 진행시킨 후, 흄 후드의 상부-후면으로 배출되는 5 L 수성 NaOH 스크러버 (5 내지 20 중량%; 1 내지 5 M) 중으로 마무리하였다. 계량 밸브를 갖는 표준 건조 100 mL 압력 평형 첨가 깔대기를 최소의 그리스 또는 테플론 슬리브로 장치의 좌측 근처 4 인치 연장부에서 다른 그라운드 석영 이음부 상에 배치하고, 셉텀 및 퍼징을 위한 스테인레스강 니들로 캡핑하였다. 고순도 HfO2 (50 g, 0.25 mol, 50 ppm 미만의 Zr)를 14 인치 길이의 석영 보트 (내경 15 mm X 외경 18 mm, '제거된' (상부 로딩 보트를 형성함) 아크의 상부 120 °의 한쪽 말단 상에 석영 튜브 밀폐됨) 중으로 로딩하고, 막대를 사용하여 석영 장치 중으로 슬라이딩시켰다. 석영 장치의 개구 말단을 1/8 인치 스테인레스강 라인에 부착된 유리-금속 리덕션 피팅으로 고정하였다. 조절된 (5 psig 미만) 아르곤 공급부 (프랙스에어(Praxair)) 및 조절된 (5 psig 미만) 염소 렉쳐 보틀 (프랙스에어 시그마-3 등급, 99.998 %)을 상 기 라인에 연결하고, 여기에 또한 단리 밸브, 로토미터 및 압력 완화 밸브 (5 psig)를 장착하였다. 아르곤 유동을 개시하였다 (200 mL/분).
퍼징이 진행되는 동안, 무수 불활성-기체 퍼징된 CCl4 (38.5 g, 24 mL, 0.5 mol)를 캐뉼라를 통해 첨가 깔대기로 전달하였다. 시스템이 퍼징되면 (30분) 퍼징 니들을 제거하였다. 아르곤 유동을 30분 동안 진행시킨 후, 가열을 개시하였다. 일반적으로, 온도는 하기와 같았다: 증발 대역 110 ℃, 가열전 대역 575 ℃, 반응 대역 600 ℃ 및 수집 대역 150 ℃. 복숭아형 영역 벽으로부터 수집 플라스크로의 생성물 배출을 촉진시키기 위해 실행 동안 넉다운 대역을 단지 주기적으로 활성화시켰다. 이 공정은 약 350 ℃까지 가열한 후, 가열을 중단함으로써, 대략 2시간마다 수행하였다. 온도를 안정화시킨 후 (약 1시간), 아르곤 유동을 종결하고, 염소 유동을 개시하였다 (100 mL/분). 석영 시스템 상의 상기 2종의 기체 유입 밸브 및 수집 플라스크가 단단히 밀봉되도록 체크하였다. 염소를 30분 동안 유동시킨 후, (동일한 염소 유동과 함께) CCl4 적가를 약 4 mL/시간의 속도로 개시하였다. 수 초 후, 복숭아형 냉각 대역에서 백색 고체가 관찰되었고, 이것이 수집 플라스크로 슬라이딩되기 시작하였다.
CCl4 첨가가 완료되면 (약 6시간), 염소 유동을 30분 동안 계속하고, 그 후 염소 유동을 종결하고, 아르곤 유동을 개시하였다 (200 mL/분). 아르곤 유동 30분 후, 가열을 중단하고, 시스템을 냉각시켰다. 석영이 냉각되면, 임의의 잔류 생성물이 수집 플라스크로 적하되었다. 테플론 코팅된 마그네트를 미리 수용 플라스크 에 배치한 후, 제2 마그네트를 사용하여 내부 마그네트를 복숭아형 영역의 벽을 따라 유도하여 생성물 수율을 향상시킬 수 있었다. 이어서, 아르곤 유동을 기체 유입 측면 아암을 통해 수집 플라스크로 향하게 하고, 시스템 개시부 근처의 퍼징 기체 유입 밸브를 통해 석영 장치로 다시 통과시켰다 (도 1 참조); 이 공정은 플라스크가 대기 오염없이 제거되도록 하였다. 이러한 퍼징 하에, 플라스크를 신속히 제거하고, 오븐 건조된 그라운드 유리 마개로 밀봉하였다. 이어서, 플라스크를 내용물을 단리시킬 수 있는 불활성 대기 글로브 박스 중에 배치하였다 (주의: 그리스를 사용한 경우, 린트 무함유 클린 룸 천 및 탄화수소 용매로 주의깊게 제거하거나 또는 기체 유입 측면 아암을 통해 물질을 제거한다). 초고순도 HfCl4를 열중량 분석 (99 % 초과) 및 유도 결합 플라즈마 질량 분광분석 (99.995 % 초과, Zr = 7.1 ppm, Ti = 1.3 ppm)으로 분석하였다. 전형적으로 10 %의 HfO2가 미반응 물질로서 시스템으로부터 회수되었다 (즉, 보트 상에 남아있음). 이 물질을 개질시키지 않고 후속 실행에 재사용할 수 있다. 반응한 HfO2로부터 계산한 결과, 초고순도 HfCl4가 90 % 초과의 순도로 단리되었다.
본 발명은 여러 방식으로 선행 기술과 구별된다. 예를 들어, 본 발명의 방법에서는 고순도 HfO2, 예를 들어 적어도 0.01 % 미만 및 0.001 % 미만만큼 낮은 Zr 및 Ti 불순물을 갖는 HfO2가 사용된다. 본 명세서는, 1 % Zr 및 0.2 % Ti를 갖는 HfO2를 사용하는 상기 오크 릿지(Oak Ridge)에 의해 보고된 방법보다 훨씬 더 설득 력이 있다. 이러한 변화는 수율, 컨시스턴시 및 메쉬 크기에 영향을 줄 수 있고, (가장 중요하게는) 보다 순수한 생성물을 제공한다. 또한, 본 발명의 방법에서는 석영 튜브를 사용한다. 석영 튜브를 사용함으로써 (오크 릿지가 사용한 파이렉스(Pyrex)에 비해), 원하는 경우 보다 고온을 사용할 수 있다. 석영은 파이렉스보다 500 ℃ 초과의 고온에서 작업할 수 있다. 이러한 유동성은 보다 높은 효율, 작업처리량 및 수율을 가능하게 할 수 있다. 또한, 파이렉스는 고온에서 반응 시약 중에 용해되어 최종 생성물 중의 불순물의 존재를 초래하는 붕소와 같은 도판트를 함유한다. 이러한 오염 가능성은 특히 반도체 용도에서 고려되는 문제이다. 석영과 같이 고온이 가능하여도, 금속 장치를 사용하는 것은 금속 오염 및 부식 가능성의 단점이 있다. 석영 장치의 형상 또한 신규한 접근이다.
일직선의 튜브 디자인은 클로깅(clogging)이 발생할 수 있어 높은 작업처리량을 가능하지 않게 하였다는 것이 발견되었다. 복숭아형 디자인을 사용함으로써, 기체 생성물이 확산되어 보다 신속히 냉각되고 보다 넓은 영역에서 응축됨으로써, 수율 및 효율이 최대화될 수 있다. 또한, 이 방법에서는 공기/수분이 함유되지 않는다. 상기 오크 릿지에 의해 보고된 방법 (가장 알려진 산업적 규모의 방법)에서는, 최종 생성물을, 최소로, 공기에 잠시 노출시키면서 생성물을 반응기로부터 회수한다. 이러한 노출은 불가피하게 HCl 및 HfO2 형태의 일부 불순물 형성을 초래한다. 본 발명의 방법은 생성물이 어떠한 시점에서도 공기 또는 수분 노출 없이 회수될 수 있는 방식으로 셋업된 것이므로, 보다 순수한 생성물을 제공한다.
본 발명의 추가의 두가지 핵심적 발견은, 염소 기체를 사용하지 않는 것과, 불순물, 즉 헥사클로로에탄의 제거의 선택을 포함한다. (염소와 달리) 아르곤 유동의 존재 하에 CCl4를 사용함으로써 상당량의 생성물이 수득된다는 것이 발견되었다. 본 발명에서는 보다 많은 CCl4가 필요하고, 효율이 높지 않았지만, 추가로 최적화함으로써 염소와 같은 독성 기체를 다루는 것에 대한 가능성 있는 대안임을 증명할 수 있다. 둘째로, 기체 크로마토그래피 측정에 의해 공정 중에 헥사클로로에탄 불순물이 확인되었다. 선행 기술 문헌의 방법에서는 저순도 물질에 대해 언급되지 않았으나, 이 화합물은 CCl3 라디칼의 조합으로부터 생성된다. 상기 분자의 존재는 전자 용도에서의 성능을 방해할 수 있다. 상기 예는 검출불가능한 농도 (기체 크로마토그래피)의 헥사클로로에탄을 갖는 HfCl4를 제공한다. 필요한 경우 시스템을 보다 빠르게 실행할 수 있으나, 전형적으로 헥사클로로에탄의 농도가 증가한다. 이렇게 되면, HfCl4는 불순물을 원하는 생성물로부터 승화 제거함으로써 초고순도로 정제될 수 있다 (헥사클로로에탄은 약 190 ℃에서 승화됨).
또한, 본 발명의 방법에서는 다른 탄소 및 염소 공급원을 사용할 수 있다. 탄소 및 염소의 다른 공급원을 사용하여 수율을 향상시키고, 반응 조건 (온도, 반응 시간, 효율)을 조정하고/거나 해로운 부산물 (예를 들어, 포스겐)의 생성을 제한할 수 있다. 그 예로는, C (예를 들어, 활성화된 흑연/목탄), CO, CO2, 탄화수소, Cl2, CCl4, HCCl3, H2CCl2, H3CCl 등이 포함된다.

Claims (10)

  1. 화학식 Hf(X)4 (식 중, X는 동일하거나 상이하며, 할라이드임)로 표시되는 하프늄 함유 화합물을 포함하며, 약 1000 ppm 미만의 지르코늄 농도를 갖는 조성물을 제조하기에 충분한 반응 조건 하에, 약 1000 ppm 미만의 지르코늄 농도를 갖는 산화하프늄 화합물을 할로겐 또는 할로겐 함유 화합물과 반응시키는 것을 포함하는, 상기 조성물의 제조 방법.
  2. 제1항에 있어서, 상기 조성물이 약 500 ppm 미만의 지르코늄 농도를 갖고, 상기 산화하프늄 화합물이 약 500 ppm 미만의 지르코늄 농도를 갖거나, 또는 상기 조성물이 약 100 ppm 미만의 지르코늄 농도를 갖고, 상기 산화하프늄 화합물이 약 100 ppm 미만의 지르코늄 농도를 갖는 것인 방법.
  3. 제1항에 있어서, 상기 할로겐 또는 할로겐 함유 화합물이 염소, 브롬, 요오드, 불소 또는 염화물, 브롬화물, 요오드화물 또는 불화물을 포함하고, 하프늄 함유 화합물이 HfCl4, HfF4, HfBr4 또는 HfI4를 포함하는 것인 방법.
  4. 화학식 Hf(X)4 (식 중, X는 동일하거나 상이하며, 할라이드임)로 표시되는 하프늄 함유 화합물을 포함하며, 약 1000 ppm 미만의 지르코늄 농도를 갖는 조성 물.
  5. 화학식 Hf(X)4 (식 중, X는 동일하거나 상이하며, 할라이드임)로 표시되는 하프늄 함유 화합물을 포함하며, 약 1000 ppm 미만의 지르코늄 농도를 갖는, 제1항의 방법에 의해 제조되는 조성물.
  6. 유기금속 전구체 화합물을 포함하며, 약 1000 ppm 미만의 지르코늄 농도를 갖는 조성물을 제조하기에 충분한 반응 조건 하에, 탄화수소 또는 헤테로원자 함유 화합물을 약 1000 ppm 미만의 지르코늄 농도를 갖는 화학식 Hf(X)4 (식 중, X는 동일하거나 상이하며, 할라이드임)로 표시되는 하프늄 함유 화합물과 반응시키는 것을 포함하는, 상기 조성물의 제조 방법.
  7. 제6항에 있어서, 상기 탄화수소 또는 헤테로원자 함유 화합물이 리튬화 아미드, 알콕시드, 디케토네이트, 시클로펜타디에나이드 또는 이미드를 포함하고, 상기 하프늄 함유 화합물이 HfCl4, HfF4, HfBr4 또는 HfI4를 포함하고, 상기 유기금속 전구체 화합물이 하프늄 아미드, 하프늄(IV) tert-부톡시드, 하프늄(IV) 아세틸아세토네이트, 비스(시클로펜타디에닐)하프늄 디클로라이드 또는 t-부틸이미도비스(디메틸아미노)하프늄을 포함하는 것인 방법.
  8. 유기금속 전구체 화합물을 포함하며, 약 1000 ppm 미만의 지르코늄 농도를 갖는, 제6항의 방법에 의해 제조된 조성물.
  9. 제8항의 유기금속 전구체 화합물을 분해하여 필름, 코팅 또는 분말을 형성함으로써, 약 1000 ppm 미만의 지르코늄 농도를 갖는 필름, 코팅 또는 분말을 제조하는 방법.
  10. 제9항에 있어서, 상기 유기금속 전구체 화합물을 열적으로, 화학적으로, 광화학적으로 또는 플라즈마-활성화에 의해 분해시키고, 상기 유기금속 전구체 화합물을 증발시켜 증기를 금속, 금속 규화물, 반도체, 절연체 및 배리어 물질로 구성된 군에서 선택된 물질을 포함하는 기재를 수용하는 침착 반응기 중으로 도입하는 것인 방법.
KR1020067020419A 2004-03-01 2005-02-28 저농도 지르코늄의 하프늄 할라이드 조성물 KR20070010022A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US54816704P 2004-03-01 2004-03-01
US60/548,167 2004-03-01
US11/063,638 2005-02-24
US11/063,638 US20050214458A1 (en) 2004-03-01 2005-02-24 Low zirconium hafnium halide compositions

Publications (1)

Publication Number Publication Date
KR20070010022A true KR20070010022A (ko) 2007-01-19

Family

ID=34922086

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067020419A KR20070010022A (ko) 2004-03-01 2005-02-28 저농도 지르코늄의 하프늄 할라이드 조성물

Country Status (4)

Country Link
US (1) US20050214458A1 (ko)
JP (1) JP4852527B2 (ko)
KR (1) KR20070010022A (ko)
WO (1) WO2005085494A1 (ko)

Families Citing this family (357)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150067397A (ko) * 2002-11-15 2015-06-17 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US9029189B2 (en) * 2003-11-14 2015-05-12 President And Fellows Of Harvard College Bicyclic guanidines, metal complexes thereof and their use in vapor deposition
US20080026576A1 (en) * 2006-07-31 2008-01-31 Rohm And Haas Electronic Materials Llc Organometallic compounds
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP2008210969A (ja) * 2007-02-26 2008-09-11 Renesas Technology Corp 半導体装置およびその製造方法並びに半導体記憶装置およびその製造方法
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5401950B2 (ja) * 2008-12-02 2014-01-29 セントラル硝子株式会社 ハフニウムアミド錯体の製造方法及びハフニウム含有酸化膜
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR1148715A (fr) * 1956-04-03 1957-12-13 Pechiney Sublimation
US3069232A (en) * 1959-07-14 1962-12-18 Nat Distillers Chem Corp Recovery of hafnium values
US3293005A (en) * 1964-04-01 1966-12-20 Andrew T Mccord Process for chlorinating oxides
US3713781A (en) * 1970-10-21 1973-01-30 W Dunn Cross-flow fluid bed reactor
DE2164707C2 (de) * 1970-12-28 1983-02-03 Hiroshi Tokyo Ishizuka Vorrichtung zur Raffination von Hafniumchlorid enthaltendem, rohem Zirkoniumtetrachlorid durch Sublimation
JPS589819A (ja) * 1981-07-06 1983-01-20 Hiroshi Ishizuka ZrCl↓4とHfCl↓4とを分離する方法
JPS5816068A (ja) * 1981-07-22 1983-01-29 Hitachi Ltd プレ−ナマグネトロン方式のスパッタリング方法
US4444635A (en) * 1981-07-22 1984-04-24 Hitachi, Ltd. Film forming method
JPS6442302A (en) * 1987-02-03 1989-02-14 Solex Res Corp Japan Production of metal halogenide
JPH0624982B2 (ja) * 1988-01-12 1994-04-06 三菱原子燃料株式会社 四塩化ジルコニュウムと四塩化ハフニユウムを分離する方法
US5102637A (en) * 1990-10-12 1992-04-07 Westinghouse Electric Corp. Method of purifying zirconium tetrachloride and hafnium tetrachloride in a vapor stream
US5112493A (en) * 1990-12-10 1992-05-12 Westinghouse Electric Corp. Zirconium-hafnium production in a zero liquid discharge process
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
JP4104039B2 (ja) * 2000-10-02 2008-06-18 日鉱金属株式会社 高純度ジルコニウム又はハフニウムの製造方法
US20020107343A1 (en) * 2001-02-08 2002-08-08 Mitsui Chemicals, Inc. Ethylene polymer, preparation process thereof and molded articles of the same
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6472337B1 (en) * 2001-10-30 2002-10-29 Sharp Laboratories Of America, Inc. Precursors for zirconium and hafnium oxide thin film deposition
US7964070B2 (en) * 2003-07-25 2011-06-21 Jx Nippon Mining & Metals Corporation Highly pure hafnium material, target thin film comprising the same and method for producing highly pure hafnium
JP3698163B1 (ja) * 2003-09-19 2005-09-21 三菱マテリアル株式会社 ハフニウム含有膜形成材料及び該材料から作製されたハフニウム含有薄膜の製造方法
KR100766275B1 (ko) * 2003-11-19 2007-10-15 닛코킨조쿠 가부시키가이샤 고순도 하프늄, 동 고순도 하프늄으로 이루어진 타겟트 및박막과 고순도 하프늄의 제조방법
JP4133863B2 (ja) * 2004-02-23 2008-08-13 東邦チタニウム株式会社 金属塩化物の製造方法

Also Published As

Publication number Publication date
JP4852527B2 (ja) 2012-01-11
US20050214458A1 (en) 2005-09-29
WO2005085494A1 (en) 2005-09-15
JP2007527839A (ja) 2007-10-04

Similar Documents

Publication Publication Date Title
JP4852527B2 (ja) 低ジルコニウムのハロゲン化ハフニウム組成物
US20120029219A1 (en) Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
TWI426079B (zh) 有機金屬化合物
KR101193654B1 (ko) 유기금속 전구체 화합물
US7348445B2 (en) Organoaluminum precursor compounds
US20060193984A1 (en) Organoaluminum precursor compounds
US20110206863A1 (en) Organometallic compounds having sterically hindered amides
KR101126020B1 (ko) 유기금속 화합물의 대규모 제조
WO2000067300A1 (en) Liquid precursors for formation of materials containing alkali metals
JP5294636B2 (ja) 有機金属化合物の製造方法
TWI383063B (zh) 低鋯之鹵化鉿組成物

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20120820

Effective date: 20130129