KR20060051490A - 집적회로장치 - Google Patents

집적회로장치 Download PDF

Info

Publication number
KR20060051490A
KR20060051490A KR1020050087770A KR20050087770A KR20060051490A KR 20060051490 A KR20060051490 A KR 20060051490A KR 1020050087770 A KR1020050087770 A KR 1020050087770A KR 20050087770 A KR20050087770 A KR 20050087770A KR 20060051490 A KR20060051490 A KR 20060051490A
Authority
KR
South Korea
Prior art keywords
power
circuit
power supply
management device
processor
Prior art date
Application number
KR1020050087770A
Other languages
English (en)
Other versions
KR100688102B1 (ko
Inventor
다츠야 도쿠에
Original Assignee
엔이씨 일렉트로닉스 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔이씨 일렉트로닉스 가부시키가이샤 filed Critical 엔이씨 일렉트로닉스 가부시키가이샤
Publication of KR20060051490A publication Critical patent/KR20060051490A/ko
Application granted granted Critical
Publication of KR100688102B1 publication Critical patent/KR100688102B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode

Abstract

집적회로장치가, 연산회로를 가지는 CPU, 및 전력 IC를 통해 CPU의 전력 제어를 구현하는 전원관리장치 (power management unit; PMU) 를 포함한다. 전원관리장치는 연산회로를 가지지 않는다. 전원관리장치는, 복수의 명령을 저장하는 RAM 및 RAM에 저장된 명령에 따라 CPU의 전력 제어를 구현하는 제어부를 포함한다.
집적회로장치, 전력제어, 전원관리장치

Description

집적회로장치{INTEGRATED CIRCUIT DEVICE}
도 1 은 본 발명의 집적회로의 블록도.
도 2 는 본 발명의 집적회로의 전원관리장치 (power management unit; PMU) 매크로 (macro) 의 내부 블록도.
도 3 은 본 발명의 집적회로의 파워-오프 (power-off) 시퀀스를 도시하는 플로우 차트.
도 4 는 본 발명의 집적회로의 파워-온 (power-on) 시퀀스를 도시하는 플로우 차트.
도 5 는 본 발명의 집적회로의 블록도.
도 6 은 종래의 집적회로의 블록도.
*도면의 주요부분에 대한 부호의 설명*
1 : 전원관리장치 (power management unit; PMU)
2 : 클록생성회로
10 : CPU
11 : 주변 매크로 레지스터 인터페이스 회로
12 : 내장 (built-in) SRAM
13 : SRAM 제어/명령 디코딩회로
14 : 시퀀서 회로
15 : 전력 제어 인터페이스 회로
20 : 상시 전력공급 영역
30, 40, 50, 60 : 논리회로
100 : LSI
141 : 상태 머신
142 : 디코더
200 : 전력 IC
3a, 3b, 3c, 3d, 3e : 스위치
본 발명은 직접회로장치에 관한 것으로, 보다 구체적으로 직접회로의 전력 제어에 관한 것이다.
다양한 타입의 LSI (large-scale integration) 가 배터리로 구동되는 이동전화와 이동단말기에 이용된다. LSI의 소비 전력 감소는, 이동전화의 장시간 사용을 가능하게 하고 다기능을 달성하는데 있어 중요한 요소이다. 또, LSI가 더욱 복잡해질수록, 총 소모 전류에 대한, 휴지상태 (stop state) 에서 소모되는 정적 (static) 소모 전류 또는 누설 전류의 비율이, 0.13㎛ 이하의 미세 제조 공정에서는 무시할 수 없을 정도로 높게 증가한다.
누설 전류를 감소시키기 위해서, LSI의 내부를 복수 개의 블록으로 분할하여 각 블록별로 전력을 공급함으로써, 동작에 불필요한 블록에는 전력공급을 중단하는 기술이 제안되었다.
이하, 도 6 을 참조하여 종래의 전력 제어 기술을 설명한다. 도 6 에서 나타낸 바와 같이, 전력 IC (200) 에 LSI (100) 가 접속되어 있다. LSI (100) 는 CPU (10), 상시 전력공급 영역 (constant power-on region; 20), 논리회로 A (30) 및 논리회로 B (40) 를 갖는다. 상시 전력공급 영역 (20) 은 CPU (10) 와 같은 다른 회로들이 동작하지 않더라도 전력이 항상 공급되는 회로 영역이다. 상시 전력공급 영역 (20) 은 CPU (10) 의 전력 공급을 제어하는 제어회로 (21) 를 갖는다. 제어회로 (21) 는, 예를 들어, 프로그램을 사용하지 않고 단순한 파워-온 (power-on) 요청과 같은 고정된 (fixed) 동작만을 실행하는, 전기회로로 구성되는 하드웨어 탑재 (hardware fixed) 회로로 구현된다. 전력 IC (200) 는, 논리회로 A (30) 용의 전원부 (201), CPU (10) 용의 전원부 (202), 상시 전력공급 영역 (20) 용의 전원부 (203), 논리회로 B (40) 용의 전원부 (204), 제어 인터페이스 (I/F; 205) 및 CPU (10) 용의 파워-온 요청 처리부 (206) 를 가지는 기능 블록이다.
이하, 도 6 에 도시된 종래 기술에서, CPU (10) 로의 전력 공급이 차단될 때 외부 인터럽트 신호가 제어 회로 (21) 에 입력되는 처리 동작을 설명한다. 외부 인터럽트 신호를 검출하면, 제어회로 (21) 는 CPU (10) 로의 전력 공급 요청을 전력 IC (200) 에 전송한다. 전력공급이 차단된 상태에서 CPU (10) 가 초기화 되기 때문에, 전력 공급이 재개되는데 긴 부팅 시간이 소요된다. 예를 들어, 경우에 따라서는, 부팅시간은 20초 내지 30초 정도 소요될 수 있다. 또, 제어회로 (21) 는 하드웨어로 구성되기 때문에, 고정된 시퀀스만을 실행할 수 있고, 복잡한 전력 공급 시퀀스를 처리할 수 없다. 예를 들어, 제어회로 (21) 가 외부 인터럽트 신호를 검출하고, 논리회로 A (30) 의 전력을 턴온 (turn on) 하고, 논리회로 A (30) 의 초기화 루틴을 실행한 후에 50㎲ 동안 대기상태로 유지한 후, CPU (10) 의 전력을 턴온하는 복잡한 전력 공급 시퀀스를 실행하기가 어렵다. 하드웨어 탑재 회로에 의해 이러한 복잡한 전력 공급 시퀀스가 실행될 수 있더라도, 준비과정없이 다른 전력 공급 시퀀스를 실행하는 것은 불가능하다. 또, 다양한 유형의 전력 공급 시퀀스를 실행하기 위한 회로를 하드웨어 탑재 회로상에 배치하는 것은 회로의 크기를 증가시킨다.
종래의 전력 제어 기술의 예가 미심사 일본 특허 공개 제 2002-341976 호에 개시되어 있다. 여기에 개시된 집적회로는 입출력 단자에 위치한 제어 회로에 의해 CPU 전력 제어를 구현한다. 그러나, 이 제어 회로는 단지 매우 간단한 제어만을 수행하고, 백업 레지스터 또한 단지 각 신호의 백업만을 수행한다. 따라서, 이 제어 회로는 복잡한 전력 공급 시퀀스를 실행할 수 없고 플렉시블하지 않다.
종래의 전력 제어 회로 기술의 다른 예가 미심사 일본 특허 공개 제 2002-288150 호에 개시되어 있다. 여기에 개시된 집적회로는 고성능 CPU 및 저 전력소모 CPU 양자를 가지고, 동작하지 않는 CPU에 대해서는 전력공급을 차단함으로써 누설 전류와 전력 소모를 감소시킨다. 그러나, CPU가 연산 회로를 가지기 때문에, 저 전력소모에도 불구하고 상당한 양의 누설 전류가 발생하고, 전력소모 감소의 효과가 작다.
상술한 바와 같이, 종래의 집적회로장치는 CPU 전력 제어를 하드웨어 탑재 회로로 구현하기 때문에, 복잡한 전력 공급 시퀀스를 처리할 수 없다는 것이 발견되었다. 또, 고성능 CPU 전력 제어를 저 전력 소모 CPU로 구현하는 종래 기술은 적은 전력소모 절감 효과만를 가진다.
본 발명의 하나의 양태에 따르면, 연산 회로를 가지는 프로세서, 및 연산 회로 없이 전원부를 통해 프로세서의 전력 제어를 구현하는 전원관리장치 (power management unit; PMU) 를 포함하는, 집적회로장치가 제공된다. 전원관리장치는 복수의 명령을 저장하는 메모리 및 메모리에 저장된 명령에 따라 프로세서의 전력 제어를 구현하는 제어부를 포함한다. 이러한 집적회로장치는 프로세서의 전력 제어를 구현하는 전원관리장치를 갖기 때문에, 프로세서에 전력이 공급되지 않는 경우에도, 각 주변 매크로에 지시를 발 (issue) 할 수 있어서, 시스템을 재시작하기 위한 시간을 줄일 수 있다. 또, 전원관리장치는 프로그램 가능하고, 따라서 메모리에 저장된 명령을 변경함으로써 다양한 파워-온/오프 시퀀스를 실행할 수 있고, 많은 수의 전력 분할 배분 (power division splits) 을 처리할 수 있다. 또, 전원관리장치는 명령 제어를 위한 기능의 향상 및 변경을 쉽게 할 수 있다. 또, 전원관리장치에는 연산 회로가 없기 때문에, 연산회로가 있는 프로세서에 비해 크기가 현저히 작아서, 저 전력소모를 달성할 수 있다.
본 발명의 또 다른 양태에 따르면, 연산 회로를 가지는 프로세서, 및 연산 회로 없이 전원부를 통해 프로세서의 전력 제어를 구현하는 프로그래밍가능한 시퀀서를 포함하는 집적회로장치로서, 상기 프로그래밍 가능한 시퀀서는, 전원부를 통해 프로세서에 전력을 공급하는 것과 동시에 집적회로장치의 다른 회로의 초기화를 수행하는, 직접회로장치가 제공된다. 이러한 구성에 의해 시스템 재시작 시간을 단축할 수 있다.
이하, 본 발명을 예시적인 실시형태를 참조하여 설명한다. 본 발명의 교시를 이용하여 다양한 대체 실시형태를 달성할 수 있으며, 설명 목적으로 예시된 이들 실시형태에만 본 발명이 한정되지 않는다.
[제 1 실시형태]
이하, 도 1 을 참조하여 본 발명의 제 1 실시형태에 따른 전력 제어 기술을 설명한다. 도 1 에 도시된 바와 같이, 본 발명의 집적회로장치는 LSI (100) 및 전력 IC (200) 를 가지며, 예를 들어, 이동 전화기등에 애플리케이션 프로세서로서 탑재될 수 있다. LSI (100) 는, LSI (100) 로 부터 별개로 배치된 전력 IC (200) 에 접속되어 있다. LSI (100) 는 CPU (10), 상시 전력공급 영역 (20), 논리회로 A (30) 및 논리회로 B (40) 를 가진다. 상시 전력공급 영역 (20) 은 전원관리장치 (1) 및 클록 생성 회로 (2) 를 가진다. CPU (10) 는 연산 회로를 가진다. CPU (10) 및 전원관리장치 (1) 는 공통 버스에 의해 접속되고, CPU (10) 및 전원관리장치 (1) 로부터의 신호들은 버스를 통해 선택적으로 전력 IC (200) 로 공급된다. CPU (10) 및 전원관리장치 (1) 로부터의 신호를 스위칭하기 위한 선택기를 배치할 수도 있다.
전원관리장치 (1) 는 CPU (10), 논리회로 A (30), 논리회로 B (40) 등으로의 전력 공급을 제어하는 기능, 및 클록 생성 회로 (2) 및 리셋 제어 회로 (미도시) 의 동작을 제어하는 기능을 가진다. 전원관리장치 (1) 는 제어부 (1a) 및 RAM (1b) 을 가진다. 제어부 (1a) 는 연산 회로를 포함하지 않는 전기 회로로 구성되고, RAM (1b) 에 저장된 명령 시퀀스 또는 복수의 명령으로 구성된 프로그램에 따라 동작한다. 따라서, 전원관리장치 (1) 는, RAM (1b) 에 저장된 명령을 변경함으로써 다양한 파워-온/오프 시퀀스를 실행할 수 있고, 다수의 전력 분할 배분을 처리할 수 있는, 프로그래밍 가능한 시퀀서이다. 또, 전원관리장치 (1) 는 명령 제어를 위한 기능의 향상 및 변경을 쉽게 할 수 있다.
전원관리장치 (1) 는, CPU (10) 에 전력이 공급되고 있지 않더라도, 논리회로 A (30) 및 논리회로 B (40) 와 같은 각 주변 매크로에 지시를 발할 수 있다. 따라서, 전원관리장치 (1) 는, CPU (10) 에 전력이 공급되고 있지 않더라도, 각 주변 매크로를 제어할 수 있다. 따라서, CPU (10) 에 전력이 공급된 후 CPU (10) 가 재시작 프로세싱 (resume processing) 를 수행하는 동안, 또는 CPU (10) 가 재시작 프로세싱을 수행하기 전에, 다양한 지시를 실행하는 것이 가능하므로, 시스템을 재시작 하는데 필요한 시간이 단축된다.
또, 전원관리장치 (1) 는 인터럽트 모니터 (monitor) 기능을 가지고, 외부 인터럽트 신호의 입력에 응답하여 파워-온 시퀀스를 실행할 수 있다. 또한, 전원관리장치 (1) 는 와치독 타이머 (watchdog timer) 기능을 가지고, 리셋 프로세싱에 의해 시스템 행업 (hang-up) 을 처리할 수 있다. 본 발명의 제 1 실시형태의 전원관리장치 (1) 는 전력 제어용의 프로그래밍가능한 특수 시퀀서이고, 연산 회로를 가지지 않는다. 따라서, 전원관리장치 (1) 는, 연산 회로를 가지는 프로세서와 비교해서 약 1/10 내지 1/50 정도로, 매우 작은 크기를 가진다. 따라서, 전원관리장치 (1) 를 사용함으로써 프로세서의 사용에 비해 저 전력소모가 가능하다. 전원관리장치 (1) 의 구체적인 구성은 후술한다.
클록 생성 회로 (2) 가, 클록 신호를 생성해서 CPU (10), 논리회로 A (30), 논리회로 B (40) 등에 공급한다. 클록 생성 회로 (2) 는 통상의 위상 동기 루프 (phase locked loop; PLL) 회로로 구성되고 발진기 회로를 갖는다.
전력 IC (200) 는, LSI (100) 에 전력을 공급하는 전원부로서 기능한다. 전력 IC (200) 는, 논리회로 A (30) 용의 전원부 (201), CPU (10) 용의 전원부 (202), 상시 전력공급 영역 (20) 용의 전원부 (203), 논리회로 B (40) 용의 전원부 (204), 및 제어 인터페이스 (I/F) (205) 를 가지는 기능 블록이다.
이하, 도 2 를 참조하여 전원관리장치 (1) 의 내부 블록을 상세히 설명한다. 전원관리장치 (1) 는 주변 매크로 레지스터 인터페이스 (I/F) 회로 (11), 내장 SRAM (12), SRAM 제어/명령 디코딩 회로 (13), 시퀀서 회로 (14), 및 전력 제어 인터페이스 (I/F) 회로 (15) 를 가진다.
주변 매크로 레지스터 인터페이스 회로 (11) 는, CPU (10), 논리회로 A (30) 및 논리회로 B (40) 에 접속되어 있고, CPU (10) 등과, 내장 SRAM (12) 및 시퀀서 회로 (14) 와 같은 전원관리장치 (1) 의 매크로사이에 통신을 수행하도록 통신 프로토콜을 변환한다. 주변 매크로 레지스터 인터페이스 회로 (11) 는, 데이터나 명령을 전원관리장치 (1) 의 각 매크로로부터 CPU (10) 등으로 송신할 때, 프로토콜을 인터페이스 버스 프로토콜로 변환한다.
내장 SRAM (12) 은, 도 1 에 도시한 RAM (1b) 에 상응하는 메모리이다. 이것은, 명령 시퀀스 또는 복수의 명령으로 구성된 프로그램을 판독가능하고 기입가능한 형태로 저장하는 저장 수단 (storage means) 이다.
SRAM 제어/명령 디코딩 회로 (13) 는, 내장 SRAM (12) 을 제어한다. SRAM 제어/명령 디코딩 회로 (13) 는, 시퀀서 회로 (14) 로부터의 명령 요청에 응답하여 내장 SRAM (12) 에 저장된 명령을 판독하고, 그 명령을 디코딩하여, 시퀀서 회로 (14) 로 출력한다.
시퀀서 회로 (14) 는 상태 머신 (141) 및 디코더 (142) 를 포함한다. 본 발명의 시퀀서 회로 (14) 는 연산 회로를 가지지 않는다. 상태 머신 (141) 은 명령에 동기하여 내부 상태를 시프트시키는 회로이다. 상태 머신 (141) 에 의해 시프트되는 내부 상태들은, 예를 들어, 외부 파워-온 지시 전송 상태, 주변 매크로 레지스터 기입 상태, 및 외부 전력 안정화 대기 상태들을 포함한다. 상태 머신 (141) 은 존슨 계수기 (Johnson counter) 에 기초하여 구성되고, 외부 신호에 따라 순차적으로 내부 상태를 변화시켜 시퀀스 제어를 수행한다. 디코더 (142) 는 지시를 발하고 지시 요청 신호를 생성하기 위해, 상태 머신 (141) 에서 시프트되는 내부 상태를 디코딩한다.
전력 제어 인터페이스 회로 (15) 는, 전력 IC (200) 에 지시를 발하기 위해, 지시 (명령) 의 프로토콜을 전력 IC (200) 의 인터페이스 버스 프로토콜로 변환한다.
이하, 도 3 의 플로우 차트를 참조하여 파워-오프 시퀀스를 설명한다. 도 3 의 플로우 차트에 도시된 S1 내지 S82 의 단계는 도 2 에 도시된 S1 내지 S82 에 각각 대응된다.
먼저, CPU (10) 가 명령을 내장 SRAM (12) 에 저장한다 (S1, S2). 구체적으로 설명하면, CPU (10) 가 명령저장 요청을 전원관리장치 (1) 에 전송하고 (S1), 전원관리장치 (1) 는 그 명령저장 요청에 대해 주변 매크로 레지스터 인터페이스 회로 (11) 에 의해 프로토콜 변환을 수행하고, 프로토콜 변환된 명령을 내장 SRAM (12) 의 소정의 영역에 저장한다 (S2).
그 후, CPU (10) 가 전원관리장치 (1) 에 활성화요청을 전송한다 (S3). 전원관리장치 (1) 가 활성화요청을 수신하면, 주변 매크로 레지스터 인터페이스 회로 (11) 에 의해 활성화 요청의 프로토콜을 변환하고, 프로토콜 변환된 활성화요청을 시퀀서 회로 (14) 로 출력한다 (S3). 그 후, 시퀀서 회로 (14) 가, 활성화 요청에 따른 프로세싱을 상태 머신 (141) 에 의해 수행하기 위해, 명령 요청을 SRAM 제어/명령 디코딩 회로 (13) 로 전송한다 (S4). 그 명령 요청에 응답하여, 활성화 요청을 수신할 때에 처리할 명령 데이터를, SRAM 제어/명령 디코딩 회로 (13) 가 내장 SRAM (12) 으로부터 판독한다. SRAM 제어/명령 디코딩 회로 (13) 가 그 판독된 명령 데이터를 수신하고, 데이터를 디코딩한 다음, 시퀀서 회로 (14) 로 전송한다.
이 예에서, 시퀀서 회로 (14) 는, 명령 데이터에 따라, 내장 SRAM (12) 에 저장되어 있는 소정의 값을, 논리회로 A (30) 및 논리회로 B (40) 의 레지스터 (31) 및 레지스터 (41) 에 각각 기입한다. 레지스터 (31) 및 레지스터 (41) 에 기입된 값은 클록 주파수를 변경하기 위한 값, 리셋을 위한 값, 그리고 모드 변환을 위한 값을 포함한다. 이 프로세싱을 수행하기 위해서, 시퀀서 회로 (14) 는 소정의 값을 레지스터 (31) 및 레지스터 (41) 에 기입하라는 지시를 발하여, 주변 매크로 레지스터 인터페이스 회로 (11) 로 전송한다. (S72). 주변 매크로 레지스터 인터페이스 회로 (11) 는 그 발한 지시의 프로토콜을 인터페이스 버스 프로토콜로 변환하여, 논리회로 A (30) 및 논리회로 B (40) 로 전송한다 (S82). 그 지시에 응답하여, 논리회로 A (30) 및 논리회로 B (40) 는, 소정의 값을 레지스터 (31) 및 레지스터 (41) 에 각각 기입한다.
그 후, 시퀀서 회로 (14) 는, 파워-오프 지시를 전력 IC (200) 를 통해 CPU (10) 및 논리회로 A (30) 및 B (40) 에 전송한다 (S4, S5, S6, S71, S81). 구체적으로는, 시퀀서 회로 (14) 의 상태 머신 (141) 이, 파워-오프 지시를 수신할 때에 처리할 명령을, SRAM 제어/명령 디코딩 회로 (13) 에 요청한다 (S4). 그 명령 요청에 응답하여, 파워-오프 지시를 수신할 때에 처리할 명령을 SRAM 제어/명령 디코딩 회로 (13) 가 내장 SRAM (12) 으로부터 판독하고, 시퀀서 회로 (14) 에 공급한다 (S6). 시퀀서 회로 (14) 는, 디코더 (142) 에 의해 그것을 디코딩하 고, 파워-오프 지시를 수신할 때 처리할 명령에 따른 지시를 상태 머신 (142) 에 의해 발한다 (S71). 이 경우, 지시는 CPU (10) 및 논리회로 A (30) 및 논리회로 B (40) 로의 파워-오프 지시이다. 전력 제어 인터페이스 회로 (15) 는 발한 지시의 프로토콜을 버스 프로토콜로 변환하여 전력 IC (200) 에 공급한다 (S81).
전력 IC (200) 가, 제어 인터페이스 (205) 에 의해 파워-오프 지시에 대한 프로토콜 변환을 수행하여, CPU 전원부 (202), 논리회로 A 전원부 (201), 및 논리회로 B 전원부 (204) 에 공급한다. 그것에 의해, CPU 전원부 (202), 논리회로 A 전원부 (201), 및 논리회로 B 전원부 (204) 가 각각 CPU (10), 논리회로 A (30), 및 논리회로 B (40) 로의 전력 공급을 차단한다. 파워-오프 지시에 응답하여, CPU (10) 등으로의 전력공급이 중지되고, 그것에 의해 CPU (10) 등은 파워-오프 상태로 들어간다.
그 후, 전원관리장치 (1) 는 전력이 0V 에서 안정될 때까지 대기한다. 그 후, 전원관리장치 (1) 는 외부 인터럽트 신호가 입력될 때까지 계속 대기한다.
이하, 도 4 의 플로우 차트를 참조하여 파워-온 시퀀스를 설명한다. 도 4 의 플로우 차트에 설명한 S4 내지 S82 의 단계는, 도 2 에 나타낸 S4 내지 S82 의 단계에 각각 대응된다.
CPU (10) 가 전력이 공급되지 않는 오프 (off) 상태에 있을 때, 전원관리장치 (1) 가 외부 인터럽트 신호를 검출한다고 가정한다. 전원관리장치 (1) 에서, 시퀀서 회로 (14) 가 외부 인터럽트 신호를 직접 검출한다. 그 외부 인터럽트 신호 검출에 응답하여, 시퀀서 회로 (14) 의 상태 머신 (141) 이, 외부 인터럽 트 신호를 검출할 때에 처리할 명령을 SRAM 제어/명령 디코딩 회로 (13) 에 요청한다 (S4). 그것에 의해, SRAM 제어/명령 디코딩 회로 (13) 는 그 명령 요청에 대응되는 명령을 내장 SRAM (12) 으로부터 판독하여, 시퀀서 회로 (14) 에 공급한다 (S6). 시퀀서 회로 (14) 는, 디코더 (142) 에 의해 그 명령이 파워-온 지시임을 인식하고, 상태 머신 (141) 에 의해 파워-온 지시를 발한다 (S71). 전력 제어 인터페이스 회로 (15) 가 그 전송된 파워-온 지시의 프로토콜을 경로 (path) 통신 프로토콜로 변환하여, 전력 IC (200) 에 공급한다 (S81). 전력 IC (200) 가, 제어 인터페이스 (205) 에 의해 그 파워-온 지시에 대한 프로토콜 변환을 수행하여, CPU 전원부 (202), 논리회로 A 전원부 (201), 및 논리회로 B 전원부 (204) 에 공급한다. 그것에 의해 CPU 전원부 (202), 논리회로 A 전원부 (201), 및 논리회로 B 전원부 (204) 는 CPU (10), 논리회로 A (30), 및 논리회로 B (40) 에 각각 전력을 공급한다. 전원관리장치 (1) 는 동작을 수행할 필요가 있는 부분에만 전력을 공급하도록 전력 공급을 제어한다. 따라서, 동작을 수행할 필요가 없는 부분에는 전력이 공급되지 않아, 전력 소비가 절감된다.
도 4 의 플로우 차트에 나타낸 바와 같이, CPU (10) 는 파워-온 상태에 진입한다. 이 예에서, CPU (10) 에 1.2 V 의 전력이 인가되며, 전원관리장치 (1) 의 시퀀서 회로 (14) 는 전력이 1.2 V 에서 안정될 때까지 대기한다. 특정 시간이 지난 후 1.2 V 에서 전력이 안정되면, 시퀀서 회로 (14) 의 상태 머신 (141) 이 논리회로 A (30) 의 레지스터 (31) 및 논리회로 B (40) 의 레지스터 (41) 에 초기값을 기입한다.
구체적으로는, 1.2 V 에서 전력이 안정된 것을 인지하면, 시퀀서 회로 (14) 의 상태 머신 (141) 은 SRAM 제어/명령 디코딩 회로 (13) 에 명령을 요청한다 (S4).
그 명령 요청에 응답하여, SRAM 제어/명령 디코딩 회로 (13) 는 내장 SRAM (12) 으로부터 명령 데이터를 판독하고, 그 데이터를 디코딩하여, 시퀀서 회로 (14) 에 공급한다. 시퀀서 회로 (14) 가 디코더 (142) 에 의해 그 입력된 명령을 디코딩하고, 지시를 발한다 (S72). 이 경우, 논리회로 A (30) 의 레지스터 (31) 및 논리회로 B (40) 의 레지스터 (41) 에 초기값을 기입하라는 명령이 발해진다. 이 명령은 초기값 데이터를 포함한다. 주변 매크로 레지스터 인터페이스 회로 (11) 가, 그 명령의 프로토콜을 버스 통신 프로토콜로 변환하여, 논리회로 A (30) 및 논리회로 B (40) 에 공급한다. 논리회로 A (30) 및 논리회로 B (40) 가 입력된 명령에 따라 레지스터 (31) 및 레지스터 (41) 각각에 초기값을 기입한다. 종래의 기술에서는, 논리회로 A (30) 의 레지스터 (31) 및 논리회로 B (40) 의 레지스터 (41) 에의 초기값 설정을 CPU (10) 에 의해 수행한다. 그러나, 이러한 초기값 설정을 전원관리장치 (1) 가 수행하는 경우, CPU (10) 에 전력이 공급되기 전에 초기값을 설정할 수 있다. 이에 의해 시스템을 재시작하기 위한 프로세싱 (processing) 시간의 단축이 가능하게 된다.
또, 전원관리장치 (1) 가, 상시 전력공급 영역 (20) 에 배치된 리셋 (reset) 생성 회로 (미도시) 를 통해 CPU (10) 에 대한 리셋을 해제 (release) 한다. 그러면, CPU (10) 가 재시작 프로세싱을 시작한다. 따라서, CPU (10) 및 전원 관리장치 (1) 가 모두, CPU (10) 에 전력공급이 시작되는 파워-온 시퀀스동안, 다양한 프로세싱을 동시에 수행할 수 있기 때문에, 예를 들어, 시스템을 재시작 하는 시간을 20 초 내지 30 초 에서 약 1㎳ 까지 단축시킬 수 있다. 예를 들어, 본 발명의 제 1 실시형태의 집적 회로가 이동전화기에 탑재된 것으로 가정한다. 이동전화기가 전화 호출 (call) 을 수신하면, 디지털 신호 프로세서 (DSP) 와 같은 통화 기능에 관련된 매크로에 전력을 공급하도록, 전원관리장치 (1) 가 전력 IC (200) 를 제어한다. 따라서, CPU (10) 의 재시작 프로세싱이 종료되기를 대기하지 않고, 초기화를 수행할 수 있고, 동작 시작 지시를 발할 수 있다.
전원관리장치 (1) 는, 클록 생성 회로 (2) 에 클록 주파수를 변경하도록 지시를 발할 수도 있다. 예를 들어, 전원관리장치 (1) 가, CPU (10) 에 공급되는 클록 주파수를 상대적으로 낮은 주파수에서 더 높은 주파수 (예를 들어, 200㎒) 로 변경하는 지시를 클록 생성 회로 (2) 에 발한다. 그 지시에 응답하여, 클록 생성 회로 (2) 가 높은 주파수 클록을 생성하여 CPU (10) 에 공급한다. 특히, 예를 들어, 클록 생성 회로 (2) 가 주파수를 변경한 후 안정될 때까지 500㎲ 가 소요되기 때문에, 전원관리장치 (1) 에 의해 주파수를 변경하는 것이, 시스템의 재시작 시간을 단축하는데는 효과적이다. 클록 생성 회로 (2) 는 상시 전력공급 영역 (20) 에 위치하여 전력이 공급되지만, CPU (10) 등에 클록을 제공할 필요가 없으면, 발진 회로는 휴지 상태에 있게 된다.
상술한 바와 같이, 본 발명의 제 1 실시형태의 전원관리장치 (1) 는 프로그래밍 가능하고, RAM (1b) 에 저장된 명령들을 변경함으로써 다양한 파워-온/오프 시퀀스를 실행할 수 있고, 다수의 전력 분할 배분을 처리할 수 있다. 또, 전원관리장치 (1) 는 명령 제어를 위한 기능의 향상 및 변경을 쉽게 할 수 있다.
또, 전원관리장치 (1) 는, CPU (10) 에 전력이 공급되지 않을 때에도 각 주변 매크로에 지시를 발할 수 있다. 따라서, CPU (10) 에 전력이 공급되고 CPU (10) 가 재시작 프로세싱을 수행하는 동안, 또는 CPU가 재시작 프로세싱을 수행하기 전에, 다양한 지시를 실행할 수 있어서 시스템 재시작에 필요한 시간을 단축할 수 있다.
또, 전원관리장치 (1) 는 전력 제어용의 프로그래밍가능한 특수 시퀀서이고, 연산 회로를 포함하지 않는다. 따라서, 연산 회로를 포함하는 프로세서에 비해 회로 크기가 상당히 작아서, 저 전력소모가 달성된다.
[제 2 실시형태]
이하, 도 5 를 참조하여 본 발명의 제 2 실시형태에 따른 전력 제어 기술을 설명한다. 제 2 실시형태는 LSI (100) 의 내부에 위치된 각 매크로들에 대응하는 전력 공급 스위치들 (3a, 3b, 3c, 3d 및 3e) 을 갖고, 전원관리장치 (1) 는 전력 스위치 (3a 내지 3e) 의 온/오프 를 제어한다.
구체적으로는, 전력 스위치 (3a 내지 3e) 는 전력 IC (200) 로부터 전력이 항상 공급되는 라인에서 분기되는 브랜치 라인상에 위치되며, 각각 CPU (10), 논리회로 A (30), 논리회로 B (40), 논리회로 C (50) 및 논리회로 D (60) 에 접속된다. 전력 IC (200) 의 LSI 전원부 (207) 로부터 전력 스위치 (3a) 를 통해 CPU (10) 에 전력이 공급된다. 이와 유사하게, 전력 스위치 (3b) 를 통해 논리회로 A (30) 에, 전력 스위치 (3c) 를 통해 논리회로 B (40) 에, 전력 스위치 (3d) 를 통해 논리회로 C (50) 에, 그리고 전력 스위치 (3e) 를 통해 논리회로 D (60) 에 전력이 공급된다. 전력 스위치 (3a, 3b, 3c, 3d 및 3e) 들이 상시 전력공급 영역 (20) 에 위치되고, 전원관리장치 (1) 에 의해 온/오프가 제어된다. 따라서, 전원관리장치 (1) 가, 전력 IC (200) 를 통하지 않고, LSI (100) 의 각 매크로에 대한 전력 제어를 수행할 수 있어서, LSI (100) 의 외부에 위치하는 전력 IC (200) 의 전원의 수를 감축할 수 있다.
본 발명은 상술한 실시형태들에 한하지 않으며, 본 발명의 범위 및 사상에서 벗어나지 않고 수정 및 변경될 수도 있음이 명백하다.
본 발명의 제 1 양태에 따른 집적회로장치에 의해, 프로세서에 전력이 공급되고 있지 않더라도, 각 주변 매크로에 지시를 발하는 것이 가능함으로써, 시스템을 재시작하기 위한 시간이 단축되고, 프로그래밍 가능한 전원관리장치를 이용하여 다양한 파워-온/오프 시퀀스의 실행이 가능하고, 많은 수의 전력 분할 배분이 가능하다. 연산 회로가 없는 전원관리장치를 이용하여 프로세서를 이용할 때에 비해 회로 크기가 감소되고 저 전력소모가 달성된다.
본 발명의 제 2 양태에 따른 전력 제어 기술에 의해, 상기 프로그래밍 가능한 시퀀서는 전원부를 통해 프로세서에 전력을 공급하는 것과 동시에 집적회로장치의 다른 회로의 초기화를 수행함으로써 시스템을 재시작하는 시간을 절약할 수 있다.

Claims (10)

  1. 연산 회로를 가지는 프로세서; 및
    연산 회로가 없는 전원부를 통해 상기 프로세서의 전력 제어를 구현하는 전원관리장치 (PMU) 를 포함하고,
    상기 전원관리장치는,
    복수의 명령을 저장하는 메모리, 및
    상기 메모리에 저장된 명령에 따라 상기 프로세서의 전력 제어를 구현하는 제어부를 포함하는, 집적회로장치.
  2. 제 1 항에 있어서,
    상기 전원관리장치는 상기 전원부로부터 항상 전력이 공급되는 상시 전력공급 영역에 위치하는, 집적회로장치.
  3. 제 1 항에 있어서,
    상기 전원관리장치의 제어부는 상태 머신 및 디코더를 가지는 시퀀서 회로를 포함하는, 집적회로장치.
  4. 제 1 항에 있어서,
    논리회로를 더 포함하고,
    상기 전원관리장치는, 상기 전원부를 통해 상기 프로세서에 전력을 공급할 때, 상기 전원부를 통해 상기 논리회로에 전력을 공급하는, 집적회로 장치
  5. 제 1 항에 있어서,
    레지스터를 가지는 논리회로를 더 포함하고,
    상기 전원관리장치는, 상기 전원부를 통해 상기 프로세서에 전력을 공급할 때, 소정의 값을 상기 논리회로의 레지스터에 기입하는 것을 수행하는, 집적회로장치.
  6. 제 1 항에 있어서,
    상기 프로세서에 클록을 공급하는 클록 생성 회로를 더 포함하고,
    상기 전원관리장치는, 상기 전원부를 통해 상기 프로세서에 전력을 공급할 때, 상기 프로세서에 소정의 클록을 공급하도록 상기 클록 생성 회로를 제어하는, 집적회로장치.
  7. 제 1 항에 있어서,
    논리회로; 및
    상기 전원부로부터 항상 전력이 공급되는 라인으로부터 분기되는 브랜치 라인상에 위치되며 상기 프로세서 및 상기 논리회로에 각각 접속되는 복수의 전력 스위치를 더 포함하고,
    상기 전원관리장치는 상기 전력 스위치들의 온 및 오프를 제어하는, 집적회로장치.
  8. 제 7 항에 있어서,
    상기 전원관리장치 및 상기 전력 스위치들은, 상기 전원부에서 항상 전력이 공급되는 상시 전력공급 영역에 위치하는, 집적회로장치.
  9. 연산 회로를 가지는 프로세서; 및
    연산 회로 없이 전원부를 통해 상기 프로세서의 전력 제어를 구현하는, 프로그래밍 가능한 시퀀서를 더 포함하고,
    상기 프로그래밍 가능한 시퀀서는 상기 전원부를 통해 상기 프로세서에 전력을 공급함과 동시에, 집적회로장치의 다른 회로의 초기화를 수행하는, 집적회로장치.
  10. 제 9 항에 있어서,
    상기 초기화는 상기 집적회로장치의 다른 회로에 포함된 레지스터에 초기값을 기입하는 것을 포함하는, 집적회로장치.
KR1020050087770A 2004-10-05 2005-09-21 집적회로장치 KR100688102B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2004-00292852 2004-10-05
JP2004292852A JP2006107127A (ja) 2004-10-05 2004-10-05 半導体集積回路装置

Publications (2)

Publication Number Publication Date
KR20060051490A true KR20060051490A (ko) 2006-05-19
KR100688102B1 KR100688102B1 (ko) 2007-03-02

Family

ID=35729685

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050087770A KR100688102B1 (ko) 2004-10-05 2005-09-21 집적회로장치

Country Status (5)

Country Link
US (1) US7752467B2 (ko)
EP (1) EP1645940A2 (ko)
JP (1) JP2006107127A (ko)
KR (1) KR100688102B1 (ko)
CN (1) CN100354793C (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10564698B2 (en) 2016-08-19 2020-02-18 Semiconductor Energy Laboratory Co., Ltd. Method for controlling power supply in semiconductor device

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006107127A (ja) * 2004-10-05 2006-04-20 Nec Electronics Corp 半導体集積回路装置
JP4581933B2 (ja) * 2005-09-14 2010-11-17 日本電気株式会社 電源供給システム、携帯機器及びそれらに用いる電源投入順序制御方法
US7366926B2 (en) * 2006-06-13 2008-04-29 Montage Technology Group Limited On-chip supply regulators
US7917784B2 (en) 2007-01-07 2011-03-29 Apple Inc. Methods and systems for power management in a data processing system
US8667198B2 (en) 2007-01-07 2014-03-04 Apple Inc. Methods and systems for time keeping in a data processing system
JP4685040B2 (ja) 2007-01-24 2011-05-18 パナソニック株式会社 半導体集積回路及びその電源供給制御方法
US7831847B2 (en) * 2007-05-07 2010-11-09 Mediatek Inc. Integrated circuit with power control and power control method thereof
US8645740B2 (en) 2007-06-08 2014-02-04 Apple Inc. Methods and systems to dynamically manage performance states in a data processing system
GB2453405B (en) * 2007-06-15 2012-08-08 Apple Inc Systems and methods for providing device-to-device handshaking through a power supply signal
US7711864B2 (en) 2007-08-31 2010-05-04 Apple Inc. Methods and systems to dynamically manage performance states in a data processing system
US8046615B2 (en) * 2007-10-19 2011-10-25 Denso Corporation Microcomputer system with reduced power consumption
JP5104254B2 (ja) * 2007-11-30 2012-12-19 富士通セミコンダクター株式会社 集積回路装置
JP2009237712A (ja) * 2008-03-26 2009-10-15 Fujitsu Ltd 回路システムおよびプログラム
JP2010057160A (ja) * 2008-08-01 2010-03-11 Nec Electronics Corp 半導体集積回路
KR101036275B1 (ko) * 2009-02-03 2011-05-23 서울대학교산학협력단 동작 모니터링 유닛 및 동작 모니터링 유닛을 이용한 시스템의 소비 전력 추정 방법
JP5285643B2 (ja) * 2010-03-15 2013-09-11 シャープ株式会社 半導体集積回路および電子情報機器
US20110307746A1 (en) * 2010-06-07 2011-12-15 Sullivan Jason A Systems and Methods for Intelligent and Flexible Management and Monitoring of Computer Systems
US8680710B2 (en) * 2010-12-17 2014-03-25 Texas Instruments Incorporated Analog power sequencer and method
JPWO2012132020A1 (ja) * 2011-03-31 2014-07-24 富士通株式会社 情報処理システム、システム管理装置、集積回路
CN102799252A (zh) * 2011-05-26 2012-11-28 华硕电脑股份有限公司 电脑装置及其电源产生器
US8769316B2 (en) * 2011-09-06 2014-07-01 Intel Corporation Dynamically allocating a power budget over multiple domains of a processor
US9074947B2 (en) 2011-09-28 2015-07-07 Intel Corporation Estimating temperature of a processor core in a low power state without thermal sensor information
US8954770B2 (en) 2011-09-28 2015-02-10 Intel Corporation Controlling temperature of multiple domains of a multi-domain processor using a cross domain margin
US8832478B2 (en) 2011-10-27 2014-09-09 Intel Corporation Enabling a non-core domain to control memory bandwidth in a processor
US9026815B2 (en) 2011-10-27 2015-05-05 Intel Corporation Controlling operating frequency of a core domain via a non-core domain of a multi-domain processor
US8943340B2 (en) 2011-10-31 2015-01-27 Intel Corporation Controlling a turbo mode frequency of a processor
US9158693B2 (en) 2011-10-31 2015-10-13 Intel Corporation Dynamically controlling cache size to maximize energy efficiency
US9262133B2 (en) * 2012-01-27 2016-02-16 Amx Llc Mapping and formatting input commands to a third party protocol
US9229524B2 (en) 2012-06-27 2016-01-05 Intel Corporation Performing local power gating in a processor
JP2014023235A (ja) * 2012-07-17 2014-02-03 Ricoh Co Ltd 電源装置、電源制御方法、及び電源制御プログラム
US10079019B2 (en) 2013-11-12 2018-09-18 Apple Inc. Always-on audio control for mobile device
CN104699212B (zh) * 2013-12-04 2017-11-28 联想(北京)有限公司 一种电源管理系统、电子设备以及pmu芯片
US10031000B2 (en) 2014-05-29 2018-07-24 Apple Inc. System on a chip with always-on processor
US9619377B2 (en) * 2014-05-29 2017-04-11 Apple Inc. System on a chip with always-on processor which reconfigures SOC and supports memory-only communication mode
US9778728B2 (en) 2014-05-29 2017-10-03 Apple Inc. System on a chip with fast wake from sleep
FR3030177B1 (fr) * 2014-12-16 2016-12-30 Stmicroelectronics Rousset Dispositif electronique comprenant un module de reveil d'un appareil electronique distinct d'un coeur de traitement
JP6068597B2 (ja) * 2015-11-04 2017-01-25 株式会社藤商事 遊技機
JP2022144020A (ja) * 2021-03-18 2022-10-03 ローム株式会社 電源システム
WO2023022190A1 (ja) * 2021-08-20 2023-02-23 ローム株式会社 電源管理回路および電子機器

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4097865A (en) * 1971-06-01 1978-06-27 The United States Of America As Represented By The Secretary Of The Navy Electronic countermeasure sequence timer
US6343363B1 (en) * 1994-09-22 2002-01-29 National Semiconductor Corporation Method of invoking a low power mode in a computer system using a halt instruction
US5632037A (en) * 1992-03-27 1997-05-20 Cyrix Corporation Microprocessor having power management circuitry with coprocessor support
US5630143A (en) * 1992-03-27 1997-05-13 Cyrix Corporation Microprocessor with externally controllable power management
US5455923A (en) * 1992-07-30 1995-10-03 Kaplinsky; Cecil H. Memory system for loading peripherals on power up
EP0654726B1 (en) * 1993-11-23 1998-12-16 Advanced Micro Devices, Inc. Computer power management systems
US5596756A (en) * 1994-07-13 1997-01-21 Advanced Micro Devices, Inc. Sub-bus activity detection technique for power management within a computer system
US5784611A (en) * 1994-12-19 1998-07-21 Seagate Technology, Inc. Device and process for in-system programming electrically erasable and programmable non-volatile memory
JP3188840B2 (ja) * 1996-06-14 2001-07-16 インターナショナル・ビジネス・マシーンズ・コーポレ−ション コンピュータ・システムに用いられる周辺装置及びその制御方法
US6230278B1 (en) * 1997-05-02 2001-05-08 Texas Instruments Incorporated Microprocessor with functional units that can be selectively coupled
CN1157641C (zh) * 1997-09-03 2004-07-14 松下电器产业株式会社 处理器
KR100382636B1 (ko) * 1998-07-15 2005-09-20 삼성전자주식회사 컴퓨터의냉각팬제어장치
JP2000112585A (ja) * 1998-10-02 2000-04-21 Toshiba Corp システムlsi及びパワーマネジメント方法
JP4272743B2 (ja) * 1999-04-01 2009-06-03 ハセガワ株式会社 剣道面防具
US6510525B1 (en) * 1999-04-26 2003-01-21 Mediaq, Inc. Method and apparatus to power up an integrated device from a low power state
JP2000341976A (ja) * 1999-05-31 2000-12-08 Takata Corp 磁性流体伝動機構及びそれを用いた超音波駆動装置
US6636962B1 (en) * 1999-12-10 2003-10-21 Intel Corporation Self-initializing chipset
US6640262B1 (en) * 1999-12-20 2003-10-28 3Com Corporation Method and apparatus for automatically configuring a configurable integrated circuit
KR20020014534A (ko) * 2000-08-18 2002-02-25 박종섭 저전력 오디오 프로세서
US6772360B2 (en) * 2001-02-07 2004-08-03 Emulex Design & Manufacturing Corporation Extension signal generator coupled to an extension timer and an extension register to generate an initialization extension signal
JP3480721B2 (ja) * 2001-02-22 2003-12-22 Necパーソナルプロダクツ株式会社 電源オン/オフシーケンス制御装置
JP2002288150A (ja) * 2001-03-28 2002-10-04 Matsushita Electric Ind Co Ltd 半導体集積回路装置
JP2002341976A (ja) * 2001-05-15 2002-11-29 Matsushita Electric Ind Co Ltd 多電源半導体装置及び該装置の電源制御方法
JP2003132683A (ja) * 2001-10-23 2003-05-09 Hitachi Ltd 半導体装置
JP4434539B2 (ja) * 2001-12-26 2010-03-17 富士通マイクロエレクトロニクス株式会社 プロセッサおよびそのブート方法
JP2003202935A (ja) * 2002-01-08 2003-07-18 Mitsubishi Electric Corp 電力管理方式及び電力管理方法
US7191350B2 (en) * 2002-01-30 2007-03-13 Matsushita Electric Industrial Co., Ltd. Instruction conversion apparatus and instruction conversion method providing power control information, program and circuit for implementing the instruction conversion, and microprocessor for executing the converted instruction
US20030233534A1 (en) * 2002-06-12 2003-12-18 Adrian Bernhard Enhanced computer start-up methods
JP4048091B2 (ja) * 2002-09-17 2008-02-13 株式会社リコー Lsi及び画像形成装置
JP4038134B2 (ja) * 2003-02-05 2008-01-23 インターナショナル・ビジネス・マシーンズ・コーポレーション 電源制御装置及び情報処理装置
US7062668B2 (en) * 2003-04-24 2006-06-13 Dell Products L.P. Method and system for information handling system component power management sequencing
US7170315B2 (en) * 2003-07-31 2007-01-30 Actel Corporation Programmable system on a chip
US7376854B2 (en) * 2004-03-31 2008-05-20 Intel Corporation System for enabling and disabling voltage regulator controller of electronic appliance according to a series of delay times assigned to voltage regulator controllers
JP2005309957A (ja) * 2004-04-23 2005-11-04 Denso Corp マイクロコンピュータ、車載システム
US7519802B2 (en) * 2004-05-05 2009-04-14 Hewlett-Packard Development Company, L.P. System and method for configuring a computer system
US7210030B2 (en) * 2004-07-22 2007-04-24 International Business Machines Corporation Programmable memory initialization system and method
US7266680B1 (en) * 2004-07-29 2007-09-04 Marvell International Ltd. Method and apparatus for loading configuration data
JP2006107127A (ja) * 2004-10-05 2006-04-20 Nec Electronics Corp 半導体集積回路装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10564698B2 (en) 2016-08-19 2020-02-18 Semiconductor Energy Laboratory Co., Ltd. Method for controlling power supply in semiconductor device
US11281285B2 (en) 2016-08-19 2022-03-22 Semiconductor Energy Laboratory Co., Ltd. Method for controlling power supply in semiconductor device

Also Published As

Publication number Publication date
JP2006107127A (ja) 2006-04-20
US7752467B2 (en) 2010-07-06
CN1758183A (zh) 2006-04-12
CN100354793C (zh) 2007-12-12
EP1645940A2 (en) 2006-04-12
US20060075267A1 (en) 2006-04-06
KR100688102B1 (ko) 2007-03-02

Similar Documents

Publication Publication Date Title
KR100688102B1 (ko) 집적회로장치
JP4515093B2 (ja) Cpuのパワーダウン方法及びそのための装置
KR101429674B1 (ko) 시스템 온 칩에서 전력 소모를 감소시키기 위한 장치 및방법
US7000140B2 (en) Data processor and data processing system
US20060107077A1 (en) Programmable power transition counter
US20050104653A1 (en) Multiple circuit blocks with interblock control and power conservation
JP2004038295A (ja) 情報処理装置及び電源制御方法
JP2010507135A (ja) Jtagの電力崩壊のデバッグ
WO2011033626A1 (ja) コンピュータシステム
JP2003108258A (ja) 割り込み処理可能な情報処理装置
JP3070527B2 (ja) 無線携帯端末
US7219248B2 (en) Semiconductor integrated circuit operable to control power supply voltage
US20110009173A1 (en) Signal processing device, mobile communication terminal device, and wireless communication system
WO2014176893A1 (zh) 移动终端的关机方法及装置
JP2004070854A (ja) データプロセッサ
JP4219601B2 (ja) 情報処理装置
JP4325261B2 (ja) 電子機器および半導体集積回路の制御方法
JPH06230845A (ja) レジューム方式
KR20090043211A (ko) 웨이크업 시퀀스 제어가능 전원 관리 장치 및 방법
KR100616683B1 (ko) 파워다운 및 웨이크업 회로
JPH10333790A (ja) パワーセーブ機能を備えた情報処理装置及び当該情報処理装置のパワーセーブ解除方法
KR100619845B1 (ko) 이동통신 단말기 제어기의 클럭 장치
JPH1097340A (ja) 携帯型情報機器
JPH04167113A (ja) 情報処理装置
JP2002217371A (ja) 集積回路装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120130

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130201

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee