KR20040017475A - 희생충진물질을 이용한 반도체 장치의 듀얼다마신배선형성방법 - Google Patents

희생충진물질을 이용한 반도체 장치의 듀얼다마신배선형성방법 Download PDF

Info

Publication number
KR20040017475A
KR20040017475A KR1020020049547A KR20020049547A KR20040017475A KR 20040017475 A KR20040017475 A KR 20040017475A KR 1020020049547 A KR1020020049547 A KR 1020020049547A KR 20020049547 A KR20020049547 A KR 20020049547A KR 20040017475 A KR20040017475 A KR 20040017475A
Authority
KR
South Korea
Prior art keywords
layer
film
buffer layer
interlayer insulating
etching
Prior art date
Application number
KR1020020049547A
Other languages
English (en)
Other versions
KR100462884B1 (ko
Inventor
정진성
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2002-0049547A priority Critical patent/KR100462884B1/ko
Priority to JP2003295656A priority patent/JP4347637B2/ja
Priority to US10/644,462 priority patent/US6787448B2/en
Publication of KR20040017475A publication Critical patent/KR20040017475A/ko
Priority to US10/901,877 priority patent/US7256502B2/en
Application granted granted Critical
Publication of KR100462884B1 publication Critical patent/KR100462884B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 희생충진막을 이용한 듀얼다마신공정에서 발생되는 패턴불량을 방지하기 위한 반도체 장치의 듀얼다마신 배선형성방법에 관한 것이다.
본 발명의 반도체 장치의 듀얼다마신 배선형성방법은 도전패턴을 구비한 반도체 기판상에 층간 절연막과 연마버퍼층을 형성하는 단계와; 상기 층간 절연막과 연마버퍼층을 식각하여 비어홀을 형성하는 단계와; 상기 비어홀이 채워지도록 상기 연마버퍼층상에 희생충진막을 형성하는 단계와; 상기 희생충진막, 연마버퍼층 및 층간 절연막의 일부분을 식각하여 트렌치를 형성하여 비어홀과 트렌치로 이루어진 듀얼다마신패턴을 형성하는 단계와; 상기 트렌치의 측벽에 식각버퍼층을 형성하는 단계와; 상기 희생충진막을 제거하는 단계와; 상기 듀얼다마신패턴내에 금속배선을 형성하는 단계를 포함한다.

Description

희생충진물질을 이용한 반도체 장치의 듀얼다마신 배선형성방법{Dual Damascene Interconnection Formation Method in Semiconductor Device using Sacrificial Filling Material}
본 발명은 반도체 장치의 금속배선 형성방법에 관한 것으로서, 보다 구체적으로는 트렌치식각시 보호막으로 작용하는 희생충진막의 제거시에 발생되는 패턴불량을 방지할 수 있는 듀얼다마신 금속배선 형성방법에 관한 것이다.
반도체 장치의 고집적화에 따라 배선의 폭이 감소하게 되고, 배선 폭의 감소는 배선저항의 증가를 초래하였다. 배선저항의 증가는 배선의 RC 딜레이를 증가시켜 소자의 구동특성을 저하시켰다. 그러므로, 고집적 반도체 장치의 구동특성을 향상시키기 위해서는 배선의 RC 딜레이를 감소시키는 것이 요구되고, 이러한 배선의 RC 딜레이를 감소시키기 위해 구리와 같은 저저항물질과 저유전율의 층간 절연막을 이용한 배선공정이 요구되었다.
구리배선방법으로 비어홀을 형성한 다음 트렌치패턴을 형성하여 구리배선을 형성하는 듀얼다마신공정(dual damascene)이 널리 사용되고 있다. 듀얼다마신공정에서 하부 금속배선의 손상을 방지하기 위해서, 트렌치식각시 비어홀하부에 형성된 확산 배리어 또는 식각정지막에 대한 고선택 식각기술의 확보가 가장 중요하다.
도프된 산화막(doped oxide) 계열의 저유전율을 갖는 층간 절연막을 사용하여 듀얼다마신공정을 진행하는 경우에는, 트렌치 식각시 비어홀에 의해 노출되는 식각정지막에 대한 식각선택비가 저하된다. 식각선택비 저하에 의해 트렌치 식각시에치스톱이 어려워 하부의 금속배선이 손상되는 문제점이 있었다.
이를 해결하기 위하여, 비어홀에 하부 반사방지막(BARC, bottom anti-reflection coating) 또는 HSQ(hydrogen silsesquioxane) 등과 같은 유동성 산화막(FOX, flowable oxide)을 희생충진물질(SFM, sacrificial filling material)로 충진한 다음 트렌치 식각공정을 수행하여 하부 금속배선을 보호하는 방법이 제안되었다.
도 1a 내지 도 1f는 종래의 듀얼다마신공정을 이용한 반도체장치의 금속배선을 형성하는 방법을 설명하기 위한 공정단면도를 도시한 것이다.
도1a를 참조하면, 반도체 기판(100)상에 하부금속배선인 구리배선(105)을 구비한 절연막(110)을 형성하고, 상기 절연막상에 제1식각정지막(121), 저유전율을 갖는 제1층간 절연막(131), 제2식각정지막(123) 및 저유전율을 갖는 제2층간 절연막(133)을 순차 형성한다.
이어서, 상기 제2층간 절연막(133)상에 후속의 화학 기계적 연마공정(CMP)에서 버퍼층으로 작용하는 절연막, 예를 들어 플라즈마 산화막(PEOX) (140)을 증착한다. 이때, 상기 제2층간 절연막(133)상에 연마 버퍼층(140)을 증착하기 전에, 상기 제2층간 절연막(133)과 연마버퍼층(140)의 계면에서의 접착력을 향상시키기 위하여 N2 개스 등을 이용하여 플라즈마처리를 한다.
도 1b를 참조하면, 상기 연마 버퍼층(140)상에 비어홀을 형성하기 위한 제1감광막패턴(150)을 형성한다. 상기 제1감광막패턴(150)을 이용하여 상기 연마 버퍼층(140), 제1 및 제2층간 절연막(131), (133) 그리고 제2식각정지막(123)을 식각하여 비어홀(160)을 형성한다.
도 1c를 참조하면, 상기 제1감광막 패턴(150)을 제거한 다음, 상기 비어홀(160)이 채워지도록 희생충진막(170)을 연마 버퍼층(140)상에 형성한다. 상기 비어홀(160)에 채워진 희생충진막(170)은 후속의 트렌치 식각공정시 하부 금속배선(105)을 보호하기 위한 보호막으로서 작용하며, 예를 들어 HSQ 와 같은 유동성 산화막을 사용한다.
도 1d를 참조하면, 상기 비어홀(160)을 포함한 트렌치가 형성될 부분이 노출되도록 상기 희생충진막(170)상에 트렌치 형성용 제2감광막패턴(155)을 형성한다.
도 1e를 참조하면, 상기 제2감광막패턴(155)을 이용하여 상기 희생충진막(170), 연마 버퍼층(140), 제2층간 절연막(133) 그리고 제2식각정지막(123)을 식각하여 트렌치(165)를 형성한다. 이때, 비어홀(160)내에 희생충진막(170)의 일부분이 존재하게 된다.
도 1f를 참조하면, 상기 제2감광막패턴(155)을 제거한 다음, 남아있는 희생충진막(170), (175)을 HF를 이용한 습식식각공정으로 제거한다. 이어서, 상기 비어홀(160)내의 제1식각정지막(121)을 제거하면, 비어홀(160)과 트렌치(165)로 이루어진 듀얼 다마신패턴이 얻어진다.
도 1g를 참조하면, 상기 비어홀(160)과 트렌치(165)로 된 듀얼다마신패턴이 채워지도록 구리와 같은 금속막을 증착한다. 이어서, 연마버퍼층(140)을 이용하여 상기 금속막을 CMP 하여 듀얼다마신 금속배선(180)을 형성한다.
종래의 듀얼다마신 금속배선 형성방법은 희생충진막(170)을 상기비어홀(160)에 충진한 다음에 저유전율을 갖는 제2층간 절연막(133)을 식각하여 트렌치(165)를 형성하여 줌으로써, 희생충진막에 의해 하부 금속배선(105)을 보호할 수 있었다.
그러나, 상기 제2층간 절연막(133)과 연마버퍼층(140)간의 계면접착력을 향상시키기 위한 플라즈마 처리시 제2층간 절연막(133)의 표면이 손상된다. 그러므로, 상기 트렌치(165) 형성후 남아있는 희생충진막(170), (175)을 제거할 때, 상기 희생충진막(170), (175) 뿐만 아니라 제2층간 절연막(133)의 손상된 부분도 함께 제거되어, 도 2에서와 같이 연마버퍼층(140)과 제2층간 절연막(133)의 계면에서 네킹(necking) 현상(190)과 같은 패턴불량이 발생된다.
상기 제2층간 절연막(133)과 연마버퍼층(140)의 계면에 발생된 패턴불량(190)에 의해 연마버퍼층(140)의 접착불량이 발생하고, 상기 듀얼 다마신 금속배선(180)을 형성하기 위한 CMP 공정시 연마버퍼층(140)이 리프팅되어 원하는 듀얼 다마신 금속배선(180)을 형성할 수 없는 문제점이 있었다.
본 발명의 목적은 상기한 바와같은 종래 기술의 문제점을 해결하기 위한 것으로서, 트렌치의 측벽에 식각버퍼층을 형성한 다음 희생충진막을 제거하여 줌으로써, 패턴불량을 방지할 수 있는 반도체 장치의 듀얼다마신 금속배선 형성방법을 제공하는 데 그 목적이 있다.
본 발명의 다른 목적은 듀얼다마신 금속배선의 콘택저항을 감소시킴과 동시에 확산배리어 특성을 향상시킬 수 있는 반도체 장치의 듀얼다마신 금속배선 형성방법을 제공하는 데 있다.
도 1a 내지 도 1g는 종래의 반도체 장치의 듀얼다마신 금속배선 형성방법을 설명하기 위한 공정단면도,
도 2는 종래의 듀얼다마신 금속배선공정에서 패턴불량이 발생하는 것을 설명하기 위한 단면도,
도 3a 내지 도 3j는 본 발명의 일 실시예에 따른 반도체 장치의 듀얼다마신 금속배선 형성방법을 설명하기 위한 공정단면도,
도 4는 본 발명의 다른 실시예에 따른 반도체 장치의 듀얼다마신 금속배선 형성방법을 설명하기 위한 단면도,
*도면의 주요부분에 대한 부호의 설명*
300, 400 : 반도체 기판 305, 405 : 구리배선
310, 410 : 절연막 331, 333, 431, 433 : 층간 절연막
321, 323, 421, 423 : 식각정지막 340, 440 : 연마버퍼층
350, 355 : 감광막 360, 460 : 비어홀
365, 465 : 트렌치 370 : 희생충진막
385, 485 : 식각버퍼층 390, 490 : 금속배선
이와 같은 목적을 달성하기 위한 본 발명은 도전패턴을 구비한 반도체 기판상에 층간 절연막과 연마버퍼층을 형성하는 단계와; 상기 층간 절연막과 연마버퍼층을 식각하여 비어홀을 형성하는 단계와; 상기 비어홀이 채워지도록 상기 연마버퍼층상에 희생충진막을 형성하는 단계와; 상기 희생충진막, 연마버퍼층 및 층간 절연막의 일부분을 식각하여 트렌치를 형성하여 비어홀과 트렌치로 이루어진 듀얼다마신패턴을 형성하는 단계와; 상기 트렌치의 측벽에 식각버퍼층을 형성하는 단계와; 남아있는 희생충진막을 제거하는 단계와; 상기 듀얼다마신패턴내에 금속배선을 형성하는 단계를 포함하는 반도체 장치의 듀얼다마신 배선형성방법을 제공하는 것을 특징으로 한다.
또한, 본 발명은 도전패턴을 구비한 반도체 기판상에 제1식각정지막, 제1층간 절연막, 제2식각정지막, 제2층간 절연막 및 연마버퍼층을 순차 형성하는 단계와; 상기 제1 및 제2층간 절연막, 제2식각정지막 및 연마버퍼층을 식각하여 비어홀을 형성하는 단계와; 상기 비어홀이 채워지도록 상기 연마버퍼층상에 희생충진막을 형성하는 단계와; 상기 희생충진막, 연마버퍼층, 제2층간 절연막과 제2식각정지막을 식각하여 트렌치를 형성하여 비어홀과 트렌치로 이루어지는 듀얼다마신패턴을 형성하는 단계와; 상기 트렌치의 측벽에 식각버퍼층을 형성하는 단계와; 남아있는 희생충진막을 제거하는 단계와; 상기 비어홀내의 제1식각정지막과 상기 식각버퍼층을 제거하는 단계와; 상기 듀얼다마신 패턴내에 배리어금속막을 구비한 금속배선을형성하는 단계를 포함하는 반도체 장치의 듀얼다마신 배선형성방법을 제공하는 것을 특징으로 한다.
또한, 본 발명은 도전패턴을 구비한 반도체 기판상에 제1식각정지막, 제1층간 절연막, 제2식각정지막, 제2층간 절연막 및 연마버퍼층을 순차 형성하는 단계와; 상기 제1 및 제2층간 절연막, 제2식각정지막 및 연마버퍼층을 식각하여 비어홀을 형성하는 단계와; 상기 비어홀이 채워지도록 희생충진막을 형성하는 단계와; 상기 희생충진막, 연마버퍼층, 제2층간 절연막 및 제2식각정지막을 식각하여 트렌치를 형성하여 비어홀과 트렌치로 이루어진 듀얼다마신 패턴을 형성하는 단계와; 상기 트렌치의 측벽에 식각버퍼층을 형성하는 단계와; 남아있는 희생충진막을 제거하는 단계와; 상기비어홀내의 제1식각정지막을 제거하는 단계와; 상기 듀얼다마신패턴내에 상기 식각버퍼층을 배리어 금속막으로 이용하는 듀얼 배리어금속층을 구비한 금속배선을 형성하는 단계를 포함하는 반도체 장치의 듀얼다마신 배선형성방법을 제공하는 것을 특징으로 한다.
상기 희생충진막은 유동성 산화막으로 이루어지고, 상기 식각버퍼층은 상기 희생충진막과 식각차를 갖는 물질로서, TiN, Ta 와 같은 배리어 금속막 또는 질화막과 같은 절연막으로 이루어지는 것을 특징으로 한다.
또한, 본 발명은 도전패턴을 구비한 반도체 기판상에 형성되고, 비어홀과 트렌치로 된 듀얼다마신패턴을 구비한 층간 절연막과; 상기 트랜치의 측벽에 형성된 제1배리어 금속막과; 상기 듀얼다마신패턴내에 형성된 제2배리어 금속막과; 상기 듀얼다마신패턴내에 채워진 금속막으로 이루어지는 반도체 장치의 듀얼다마신 금속배선을 제공하는 것을 특징으로 한다.
이하, 본 발명을 보다 구체적으로 설명하기 위하여 본 발명에 따른 실시예를 첨부 도면을 참조하면서 보다 상세하게 설명하고자 한다.
도 3a 내지 도 3h는 본 발명의 일 실시예에 따른 듀얼다마신공정을 이용한 반도체장치의 금속배선을 형성하는 방법을 설명하기 위한 공정단면도를 도시한 것이다.
도3a를 참조하면, 반도체 기판(300)상에 구리 등과 같은 하부 금속배선(305)을 구비한 절연막(310)이 형성되고, 상기 절연막(310)상에 제1식각정지막(321), 저유전율을 갖는 제1층간 절연막(331), 제2식각정지막(323) 및 저유전율을 갖는 제2층간 절연막(333)을 순차 형성한다.
상기 저유전율을 갖는 제1 및 제2층간 절연막(331), (333)으로 HSQ(hydrogen silsesquioxane), MSQ(methyl silsesquioxane), SiOC 등과 같은 도프된 산화막계열의 절연막이 사용되고, 상기 제1 및 제2식각정지막(321), (323)으로는 상기 저유전율을 갖는 제1 및 제2층간 절연막(331), (333)과 식각선택비를 갖는 물질, 예를 질화막이 사용된다.
이어서, 상기 제2층간 절연막(333)상에 후속의 화학 기계적 연마공정에서 버퍼층으로 작용하는 절연막, 예를 들어 플라즈마 산화막(PEOX) (340)을 증착한다. 상기 제2층간 절연막(333)을 증착한 다음, 제2층간 절연막(333)과 연마버퍼층(340)간의 계면접착력을 향상시키기 위하여 N2 개스 등을 이용하여 플라즈마처리를 한다.
도 3b를 참조하면, 비어홀이 형성될 부분이 노출되도록 상기 제2층간 절연막(333)상에 비어홀 형성을 위한 제1감광막패턴(350)을 형성한다. 상기 제1감광막패턴(350)을 이용하여 상기 연마버퍼층(340), 제1 및 제2층간 절연막(331), (333) 및 제2식각정지막(323)을 식각하여 비어홀(360)을 형성한다.
도 3c를 참조하면, 상기 제1감광막 패턴(350)을 제거한 다음, 상기 비어홀(360)이 채워지도록 희생충진막(370)을 상기 연마버퍼층(340)상에 형성한다. 상기 희생충진막(370)으로 후속의 트렌치를 형성하기 위한 제2층간 절연막(333)의 식각시 하부 금속배선(305)을 보호하는 보호막으로서의 역할을 하며, HSQ 와 같은 유동성 산화막(FOX)을 사용한다.
도 3d를 참조하면, 트렌치가 형성될 부분이 노출되도록 상기 희생충진막(370)상에 트렌치 형성을 위한 제2감광막패턴(355)을 형성한다. 이어서, 상기 제2감광막 패턴(355)을 이용하여 상기 희생충진막(370), 연마버퍼층(340), 제2층간 절연막(333)과 제2식각정지막(323)을 식각하여 트렌치(365)를 형성한다.
도 3e를 참조하면, 상기 제2감광막 패턴(355)을 제거하면, 비어홀(360)내에 트렌치 식각시 보호막으로 작용한 희생충진막(370)의 일부분이 남아있다.
도 3f를 참조하면, 기판전면에 상기 희생충진막(370)과 습식식각차를 갖는 막(380)을 증착한다. 상기 막(380)으로는, 예를 들어 TiN막 또는 Ta 막과 같은 배리어 금속막이 사용되거나 또는 질화막과 같은 절연막이 사용된다.
도 3g를 참조하면, 상기 막(380)을 식각하여 상기 트렌치(365)의 측벽에 스페이서형태의 식각버퍼층(385)을 형성한다. 상기 식각버퍼층(385)은 후속의 희생충진막(370)의 제거시 제2층간 절연막(333)을 보호하는 역할을 한다.
도 3h를 참조하면, 남아있는 상기 희생충진막(370), (375)을 HF 등을 이용한 습식식각공정을 통해 제거한다. 이때, 상기 트렌치(365)의 측벽에는 식각버퍼층(385)이 형성되어 상기 제2층간 절연막(333)과 연마버퍼층(340)을 보호하여 줌으로써, 이들 계면에서의 패턴불량은 발생되지 않는다.
도 3i를 참조하면, 상기 비어홀(360)내의 제1식각정지막(321)과 식각버퍼층(385)을 제거하면, 비어홀(360)과 트렌치(365)로 이루어진 듀얼다마신 패턴이 형성된다.
도 3j를 참조하면, 기판전면에 TaN과 같은 배리어금속막(391)을 증착한 다음 상기 듀얼 다마신패턴이 채워지도록 구리와 같은 금속막(393)을 증착한다. 연마버퍼층을 이용하여 CMP 공정을 수행하여 상기 배리어 금속막(391)과 상기 금속막(393)을 식각하여 듀얼다마신 금속배선(390)을 형성한다.
도 4는 본 발명의 다른 실시예에 따른 듀얼다마신 공정을 이용한 반도체 장치의 금속배선을 형성하는 방법을 설명하기 위한 단면도를 도시한 것이다.
본 발명의 다른 실시예에 따른 반도체 장치의 듀얼다마신 금속배선방법은 식각버퍼층을 제거하지 않고 듀얼배리어금속층을 형성하는 것이다.
즉, 일실시예와 같이, 반도체 기판(400)상에 구리배선과 같은 하부 금속배선(405)을 구비한 절연막(410)을 형성하고, 그위에 제1식각정지막(421), 저유전율을 갖는 제1층간 절연막(431), 제2식각정지막(423) 및 저유전율을 갖는 제2층간 절연막(433)과 연마버퍼층을 증착한다.
이어서, 상기 연마버퍼층, 제1 및 제2층간 절연막(431), (433)과 제2식각정지막(423)을 식각하여 비어홀(460)을 형성하며, 비어홀(460)이 채워지도록 희생충진막을 증착한 다음 상기 희생충진막, 연마정지층, 제2층간 절연막(433) 및 제2식각정지막(423)을 식각하여 트렌치를 형성한다.
상기 트렌치(465)의 측벽에 식각버퍼층(485)을 형성한 다음, 남아있는 희생충진막을 제거하고, 비어홀(460)내의 제1식각정지막(421)을 제거하여 비어홀(460)과 트렌치(465)로 이루어진 듀얼다마신패턴을 형성한다.
이어서, 트렌치(465)의 측벽에 식각버퍼층(485)이 형성된 상기 듀얼다마신 패턴이 채워지도록 기판전면에 TaN과 같은 배리어 금속층(491)과 구리등과 같은 금속막(493)을 증착하고, 상기 연마버퍼층을 이용하여 상기 배리어 금속층(491)과 금속막(494)을 CMP 하여 금속배선(490)을 형성한다. 그러므로, 듀얼다마신 패턴내에 식각버퍼층(485)과 TaN(491)의 듀얼 배리어금속층과 금속막(493)으로 이루어진 금속배선(490)이 형성된다.
본 발명의 다른 실시예에 따른 듀얼다마신 금속배선(490)은 트렌치(465)의 측벽에 형성된 식각버퍼층(485)을 배리어 금속막으로 이용함으로써, 금속배선의 콘택저항을 감소시키고, 금속배선의 충진(fill) 특성을 향상시킴과 동시에 금속배선(490)으로부터의 구리 등과 같은 금속의 확산배리어특성을 향상시킨다.
상기한 바와같은 본 발명의 듀얼다마신 공정을 이용한 반도체 장치의 금속배선 형성방법은 트렌치의 측벽에 식각버퍼층을 형성한 다음 희생충진막을 제거하여 줌으로써, 희생충진막과 연마버퍼층간의 패턴불량을 방지하여 원하는 듀얼다마신 금속배선을 형성하는 할 수 있는 이점이 있다.
또한, 트렌치의 측벽에 형성된 식각버퍼층을 배리어층으로 이용하여 듀얼 배리어층을 형성하여 줌으로써, 금속배선의 콘택저항을 감소시킴과 동시에 금속배선의 충진특성 및 확산배리어특성을 향상시킬 수 있는 이점이 있다.
상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.

Claims (16)

  1. 도전패턴을 구비한 반도체 기판상에 층간 절연막과 연마버퍼층을 형성하는 단계와;
    상기 층간 절연막과 연마버퍼층을 식각하여 비어홀을 형성하는 단계와;
    상기 비어홀이 채워지도록 상기 연마버퍼층상에 희생충진막을 형성하는 단계와;
    상기 희생충진막, 연마버퍼층 및 층간 절연막의 일부분을 식각하여 트렌치를 형성하여 비어홀과 트렌치로 이루어진 듀얼다마신패턴을 형성하는 단계와;
    상기 트렌치의 측벽에 식각버퍼층을 형성하는 단계와;
    남아있는 희생충진막을 제거하는 단계와;
    상기 듀얼다마신패턴내에 금속배선을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 듀얼다마신 배선형성방법.
  2. 제1항에 있어서, 상기 희생충진막은 유동성 산화막으로 이루어지는 것을 특징으로 하는 반도체장치의 듀얼다마신 배선형성방법.
  3. 제1항에 있어서, 상기 식각버퍼층은 상기 희생충진막의 제거시 상기 층간 절연막을 보호하는 보호막의 역할을 하는 것을 특징으로 하는 반도체 장치의 듀얼다마신 배선형성방법.
  4. 제1항에 있어서, 상기 식각버퍼층은 상기 희생충진막과 식각차를 갖는 물질로 이루어지는 것을 특징으로 하는 반도체 장치의 듀얼다마신 배선형성방법.
  5. 제4항에 있어서, 상기 식각버퍼층은 TiN, Ta 와 같은 배리어 금속막 또는 질화막과 같은 절연막으로 이루어지는 것을 특징으로 하는 반도체 장치의 듀얼다마신 배선형성방법.
  6. 제1항에 있어서, 상기 듀얼다마신패턴내에 금속배선을 형성하는 방법은
    상기 식각버퍼층을 제거하는 단계와;
    상기 듀얼다마신패턴이 채워지도록 배리어 금속막과 금속막을 증착하는 단계와;
    상기 연마버퍼층을 이용하여 상기 배리어 금속막과 금속막을 CMP 하는 단계로 이루어지는 것을 특징으로 하는 반도체장치의 듀얼다마신 배선형성방법.
  7. 제1항에 있어서, 상기 듀얼다마신패턴내에 금속배선을 형성하는 방법은
    상기 식각버퍼층을 구비한 상기 듀얼다마신패턴이 채워지도록 배리어 금속막과 금속막을 증착하는 단계와;
    상기 연마버퍼층을 이용하여 상기 배리어 금속막과 금속막을 CMP 하여 듀얼 배리어금속막을 구비한 금속배선을 형성하는 단계로 이루어지는 것을 특징으로 하는 반도체장치의 듀얼다마신 배선형성방법.
  8. 도전패턴을 구비한 반도체 기판상에 제1식각정지막, 제1층간 절연막, 제2식각정지막, 제2층간 절연막 및 연마버퍼층을 순차 형성하는 단계와;
    상기 제1 및 제2층간 절연막, 제2식각정지막 및 연마버퍼층을 식각하여 비어홀을 형성하는 단계와;
    상기 비어홀이 채워지도록 상기 연마버퍼층상에 희생충진막을 형성하는 단계와;
    상기 희생충진막, 연마버퍼층, 제2층간 절연막과 제2식각정지막을 식각하여 트렌치를 형성하여 비어홀과 트렌치로 이루어지는 듀얼다마신패턴을 형성하는 단계와;
    상기 트렌치의 측벽에 식각버퍼층을 형성하는 단계와;
    남아있는 희생충진막을 제거하는 단계와;
    상기 비어홀내의 제1식각정지막과 상기 식각버퍼층을 제거하는 단계와;
    상기 듀얼다마신 패턴내에 배리어금속막을 구비한 금속배선을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 듀얼다마신 배선형성방법.
  9. 제8항에 있어서, 상기 식각버퍼층은 상기 희생충진막 제거시 상기 제2층간 절연막을 보호하는 보호막으로 작용하는 특징으로 하는 반도체 장치의 듀얼다마신 배선형성방법.
  10. 제8항에 있어서, 상기 식각버퍼층은 상기 희생충진막과 식각차를 갖는 물질로 이루어지는 것을 특징으로 하는 반도체 장치의 듀얼다마신 배선형성방법.
  11. 제10항에 있어서, 상기 식각버퍼층은 TiN, Ta 등과 같은 배리어 금속막또는 질화막과 같은 절연막으로 이루어지는 것을 특징으로 하는 반도체 장치의 듀얼다마신 배선형성방법.
  12. 도전패턴을 구비한 반도체 기판상에 제1식각정지막, 제1층간 절연막, 제2식각정지막, 제2층간 절연막 및 연마버퍼층을 순차 형성하는 단계와;
    상기 제1 및 제2층간 절연막, 제2식각정지막 및 연마버퍼층을 식각하여 비어홀을 형성하는 단계와;
    상기 비어홀이 채워지도록 희생충진막을 형성하는 단계와;
    상기 희생충진막, 연마버퍼층, 제2층간 절연막 및 제2식각정지막을 식각하여 트렌치를 형성하는 단계와;
    상기 트렌치의 측벽에 식각버퍼층을 형성하는 단계와;
    남아있는 희생충진막을 제거하는 단계와;
    상기 비어홀내의 제1식각정지막을 제거하는 단계와;
    상기 듀얼다마신패턴내에 상기 식각버퍼층을 배리어 금속막으로 이용하는 듀얼 배리어금속층을 구비한 금속배선을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 듀얼다마신 배선형성방법.
  13. 제12항에 있어서, 상기 식각버퍼층은 상기 희생충진막 제거시 상기 제2층간 절연막을 보호하는 보호막으로 작용하는 것을 특징으로 하는 반도체 장치의 듀얼다마신 배선형성방법.
  14. 제12항에 있어서, 상기 식각버퍼층은 상기 희생충진막과 식각차를 갖는 물질로 이루어지는 것을 특징으로 하는 반도체 장치의 듀얼다마신 배선형성방법.
  15. 제14항에 있어서, 상기 식각버퍼층은 TiN, Ta 등과 같은 배리어 금속막또는 질화막과 같은 절연막으로 이루어지는 것을 특징으로 하는 반도체 장치의 듀얼다마신 배선형성방법.
  16. 도전패턴을 구비한 반도체 기판상에 형성되고, 비어홀과 트렌치로 된 듀얼다마신패턴을 구비한 층간 절연막과;
    상기 트랜치의 측벽에 형성된 제1배리어 금속막과;
    상기 듀얼다마신패턴내에 형성된 제2배리어 금속막과;
    상기 듀얼다마신패턴내에 채워진 금속막으로 이루어지는 것을 특징으로 하는 반도체 장치의 듀얼다마신 금속배선.
KR10-2002-0049547A 2002-08-21 2002-08-21 희생충진물질을 이용한 반도체 장치의 듀얼다마신배선형성방법 KR100462884B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR10-2002-0049547A KR100462884B1 (ko) 2002-08-21 2002-08-21 희생충진물질을 이용한 반도체 장치의 듀얼다마신배선형성방법
JP2003295656A JP4347637B2 (ja) 2002-08-21 2003-08-19 トレンチ側壁のバッファー層を使用して半導体装置用金属配線を形成する方法及びそれにより製造された装置
US10/644,462 US6787448B2 (en) 2002-08-21 2003-08-20 Methods for forming metal interconnections for semiconductor devices using a buffer layer on a trench sidewall
US10/901,877 US7256502B2 (en) 2002-08-21 2004-07-29 Metal interconnections for semiconductor devices including a buffer layer on a trench sidewall

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0049547A KR100462884B1 (ko) 2002-08-21 2002-08-21 희생충진물질을 이용한 반도체 장치의 듀얼다마신배선형성방법

Publications (2)

Publication Number Publication Date
KR20040017475A true KR20040017475A (ko) 2004-02-27
KR100462884B1 KR100462884B1 (ko) 2004-12-17

Family

ID=31884943

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0049547A KR100462884B1 (ko) 2002-08-21 2002-08-21 희생충진물질을 이용한 반도체 장치의 듀얼다마신배선형성방법

Country Status (3)

Country Link
US (2) US6787448B2 (ko)
JP (1) JP4347637B2 (ko)
KR (1) KR100462884B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100643568B1 (ko) * 2004-06-30 2006-11-10 주식회사 하이닉스반도체 반도체소자의 깊은 콘택홀 형성 방법
KR100689825B1 (ko) * 2005-02-14 2007-03-08 삼성전자주식회사 희생막을 이용한 반도체 소자의 형성방법들

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6730609B2 (en) * 2001-10-09 2004-05-04 Micron Technology, Inc. Etch aided by electrically shorting upper and lower sidewall portions during the formation of a semiconductor device
KR100487948B1 (ko) * 2003-03-06 2005-05-06 삼성전자주식회사 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
KR100546099B1 (ko) * 2003-05-30 2006-01-24 주식회사 하이닉스반도체 반도체소자의 금속배선 형성방법
TW200504932A (en) * 2003-07-31 2005-02-01 Winbond Electronics Corp Dual-damascene opening structure, and fabrication method for dual-damascene interconnect
KR100615598B1 (ko) * 2004-07-19 2006-08-25 삼성전자주식회사 평탄화 절연막을 갖는 반도체 장치들 및 그 형성방법들
US7192863B2 (en) * 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US7235479B2 (en) * 2004-08-26 2007-06-26 Applied Materials, Inc. Organic solvents having ozone dissolved therein for semiconductor processing utilizing sacrificial materials
KR100876532B1 (ko) * 2004-08-27 2008-12-31 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7572727B1 (en) * 2004-09-02 2009-08-11 Spansion Llc Semiconductor formation method that utilizes multiple etch stop layers
US7834459B2 (en) * 2004-10-26 2010-11-16 Rohm Co., Ltd. Semiconductor device and semiconductor device manufacturing method
KR100745986B1 (ko) * 2004-12-08 2007-08-06 삼성전자주식회사 다공 생성 물질을 포함하는 충전재를 사용하는 미세 전자소자의 듀얼 다마신 배선의 제조 방법
KR100641068B1 (ko) * 2005-01-21 2006-11-06 삼성전자주식회사 듀얼 다마신 채널 구조물과 그 제조 방법
US7329605B2 (en) * 2005-03-31 2008-02-12 Agere Systems Inc. Semiconductor structure formed using a sacrificial structure
GB2440881A (en) * 2005-05-31 2008-02-13 Advanced Micro Devices Inc Technique for forming copper-containing lines embedded in low-K dielectric by providing a stiffening layer
US7651942B2 (en) * 2005-08-15 2010-01-26 Infineon Technologies Ag Metal interconnect structure and method
JP5247999B2 (ja) * 2005-09-29 2013-07-24 東京エレクトロン株式会社 基板処理方法およびコンピュータ読取可能な記憶媒体
US8236702B2 (en) * 2005-10-06 2012-08-07 United Microelectronics Corp. Method of fabricating openings and contact holes
US20070082477A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Integrated circuit fabricating techniques employing sacrificial liners
US8164141B2 (en) * 2005-10-06 2012-04-24 United Microelectronics Corp. Opening structure with sidewall of an opening covered with a dielectric thin film
JP4948278B2 (ja) * 2006-08-30 2012-06-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20090093114A1 (en) * 2007-10-09 2009-04-09 Sean David Burns Method of forming a dual-damascene structure using an underlayer
TWI422001B (zh) * 2010-11-05 2014-01-01 Unimicron Technology Corp 半導體裝置及其製法
CN103050374B (zh) * 2011-10-17 2015-11-25 中芯国际集成电路制造(北京)有限公司 蚀刻后的处理方法
CN103367192B (zh) * 2013-07-09 2015-12-09 上海华力微电子有限公司 检测通孔蚀刻不足和通孔缺失缺陷的方法
KR102201092B1 (ko) 2014-09-16 2021-01-11 삼성전자주식회사 반도체 장치 제조 방법
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
CN108122822B (zh) * 2016-11-29 2021-04-23 中芯国际集成电路制造(上海)有限公司 半导体器件的制备方法
KR102521222B1 (ko) * 2017-11-15 2023-04-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US11177169B2 (en) 2019-06-21 2021-11-16 International Business Machines Corporation Interconnects with gouged vias
CN112382609B (zh) * 2020-11-04 2024-03-08 上海华力集成电路制造有限公司 双大马士革工艺方法
US11916013B2 (en) 2021-09-02 2024-02-27 International Business Machines Corporation Via interconnects including super vias

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US6297149B1 (en) * 1999-10-05 2001-10-02 International Business Machines Corporation Methods for forming metal interconnects
JP3346475B2 (ja) * 2000-01-18 2002-11-18 日本電気株式会社 半導体集積回路の製造方法、半導体集積回路
US6444557B1 (en) * 2000-03-14 2002-09-03 International Business Machines Corporation Method of forming a damascene structure using a sacrificial conductive layer
US6380073B1 (en) * 2000-08-29 2002-04-30 United Microelectronics Corp. Method for forming metal interconnection structure without corner faceted
US6323123B1 (en) * 2000-09-06 2001-11-27 United Microelectronics Corp. Low-K dual damascene integration process
KR100399909B1 (ko) * 2000-12-29 2003-09-29 주식회사 하이닉스반도체 반도체 소자의 층간 절연막 형성 방법
US6472310B1 (en) * 2002-04-08 2002-10-29 Advanced Micro Devices, Inc. Tin palladium activation with maximized nuclei density and uniformity on barrier material in interconnect structure
US6686662B2 (en) * 2002-05-21 2004-02-03 Agere Systems Inc. Semiconductor device barrier layer

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100643568B1 (ko) * 2004-06-30 2006-11-10 주식회사 하이닉스반도체 반도체소자의 깊은 콘택홀 형성 방법
KR100689825B1 (ko) * 2005-02-14 2007-03-08 삼성전자주식회사 희생막을 이용한 반도체 소자의 형성방법들
US7348277B2 (en) 2005-02-14 2008-03-25 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor device using sacrificial layer

Also Published As

Publication number Publication date
JP4347637B2 (ja) 2009-10-21
KR100462884B1 (ko) 2004-12-17
JP2004080044A (ja) 2004-03-11
US20050003656A1 (en) 2005-01-06
US6787448B2 (en) 2004-09-07
US20040038518A1 (en) 2004-02-26
US7256502B2 (en) 2007-08-14

Similar Documents

Publication Publication Date Title
KR100462884B1 (ko) 희생충진물질을 이용한 반도체 장치의 듀얼다마신배선형성방법
US6913994B2 (en) Method to form Cu/OSG dual damascene structure for high performance and reliable interconnects
US6713402B2 (en) Methods for polymer removal following etch-stop layer etch
US7871923B2 (en) Self-aligned air-gap in interconnect structures
US11557507B2 (en) Via cleaning to reduce resistance
JP2003045969A (ja) デュアルダマシン工程を利用した配線形成方法
JP2004214659A (ja) 半導体素子のコンタクト形成方法
US6812133B2 (en) Fabrication method of semiconductor device
JP5047504B2 (ja) ビアキャッピング保護膜を使用する半導体素子のデュアルダマシン配線の製造方法
US20020142582A1 (en) Method for forming copper lines for semiconductor devices
US6869879B1 (en) Method for forming conductive interconnects
US20030201121A1 (en) Method of solving the unlanded phenomenon of the via etch
KR100571696B1 (ko) 반도체 소자의 제조 방법
KR100737701B1 (ko) 반도체 소자의 배선 형성 방법
US7326632B2 (en) Method for fabricating metal wirings of semiconductor device
KR100906306B1 (ko) 반도체 소자의 구리 배선 형성 방법
KR20040000702A (ko) 반도체 소자의 구리 배선 형성 방법
KR20010004803A (ko) 반도체소자의 금속배선 형성방법
KR100458078B1 (ko) 반도체장치의금속배선형성방법
KR100606539B1 (ko) 반도체 소자의 금속배선 형성 방법
KR20030096730A (ko) 반도체 장치의 듀얼다마신 배선형성방법
KR100509434B1 (ko) 포토레지스트 점착성 개선 방법
KR20070090359A (ko) 반도체 소자의 제조방법
KR20040057698A (ko) 반도체소자 제조방법
KR20040072791A (ko) 반도체 소자의 금속 배선 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121130

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee