KR20010112270A - 이방성 플래티늄 프로파일을 위한 에칭 방법 - Google Patents

이방성 플래티늄 프로파일을 위한 에칭 방법 Download PDF

Info

Publication number
KR20010112270A
KR20010112270A KR1020017010477A KR20017010477A KR20010112270A KR 20010112270 A KR20010112270 A KR 20010112270A KR 1020017010477 A KR1020017010477 A KR 1020017010477A KR 20017010477 A KR20017010477 A KR 20017010477A KR 20010112270 A KR20010112270 A KR 20010112270A
Authority
KR
South Korea
Prior art keywords
etching
platinum electrode
electrode layer
gas
layer
Prior art date
Application number
KR1020017010477A
Other languages
English (en)
Inventor
젱 에이치. 황
첸차우 잉
강-리에 치앙
스티브 에스. 와이. 맥
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010112270A publication Critical patent/KR20010112270A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

다수의 플래티늄 전극을 포함하는 반도체 소자를 제조하도록 기판상에 배치된 플래티늄 전극층을 에칭하는 방법에 관한 것이다. 이 방법은 기판을 약 150℃ 이상의 온도까지 가열하는 단계와 질소와 할로겐(예, 염소), 불활성 가스(예, 아르곤), HBr, BCl3SiCl4및 이들의 혼합물로 구성된 그룹으로부터 선택된 가스를 포함하는 에칭 가스의 플라즈마를 사용해서 플래티늄 전극층을 에칭하는 단계를 포함한다. 기판은 약 1000Å 이상의 평균 높이값을 가진 피크-대 벨리 조도 높이를 가진 증착-수용면을 포함하는 유전체 윈도우를 포함하는 반응기 챔버내에서 가열될 수 있다.

Description

이방성 플래티늄 프로파일을 위한 에칭 방법{IMPROVED ETCHING METHODS FOR ANISOTROPIC PLATINUM PROFILE}
디지탈 정보의 저장 및 재생을 실행하는 것은 현 디지탈 전자장치의 공통 관심사이다. 메모리 크기와 어세스 시간은 컴퓨터 기술에 있어서의 진보을 측정하는 기준이다. 저장 콘덴서가 메모리 어레이(array) 소자로서 아주 빈번하게 사용된다. 기술 수준이 향상되면서, 미세한 회로선폭의 고밀도 다이나믹 랜덤 어세스 메모리(DRAM) 장치는 고 유전체 상수를 갖는 재료로 제조된 대 용량 저장 콘덴서를 필요로 한다. 고 유전체 상수를 갖는 재료 또는 강유전체 재료는 주로 소결 금속 산화물로 제조되며 상당한 양의 고 반응성 산소를 함유한다. 그러한 강유전체 재료 또는 박막을 갖는 콘덴서의 제조에 있어서, 전극들은 저장 콘덴서의 저장 용량을 감소시키는 전극의 산화를 방지하기 위해 최소 반응성을 갖는 재료로 제조되어야 한다. 그러므로, 플래티늄(Pt) 및 팔라듐(Pd) 등과 같은 귀금속들이 고밀도 DRAM용 콘덴서의 제조에 사용되는 바람직한 재료이다.
콘덴서 전극용으로 사용가능한 귀금속 중에서, 플래티늄은 산화에 대해 불활성이므로 흥미로운 후보 재료이며 또한, RuO2및 Pd와 같은 다른 전극 보다 낮은 누설 전류( < 10-9amp/㎠)를 갖는 것으로 공지되어 있다. 플래티늄은 양호한 전도성도 가진다.
종래 기술에 있어서, 플래티늄 에칭은 왕수(aqua regia)에 의한 습식 에칭과 같은 등방성 에칭, 또는 아르곤 가스에 의한 이온 밀링과 같은 이방성 에칭, 또는 다른 수단들에 의해 수행되어 왔다. 등방성 에칭의 특성으로 인해, 왕수에 의한 습식 에칭은 공정 정밀도를 저하시키는 원인이 될 수 있다. 등방성 에칭에 있어서의 정밀도는 미세 패턴 공정에 대해서는 충분히 높지 않다. 그러므로, 플래티늄 전극의 등방성 특성으로 인해 이는 서브미크론 크기의 패턴으로 형성하는 것이 어렵다. 게다가, 전극을 형성하기 위한 플래티늄에 대한 에칭 속도가 대량 제조에는 너무 느리기 때문에 이온 밀링에 따른 문제점(즉, 이방성 에칭)이 발생한다.
플래티늄의 에칭에 대한 공정 정밀도를 증가시키기 위해, 특히 에칭 가스(예를 들어, Cl2, HBr, O2, 등)가 사용되는 건식 에칭공정에 의해 플래티늄을 에칭하는 분야에 있어서 연구와 발전이 아주 활발하다. 다음 종래 기술은 에칭 가스 플라즈마로 플래티늄을 에칭하는 것과 관련한 대표적인 기술이다.
마쯔모또 등에게 허여된 미국 특허 제 5, 492, 855 호에는 이미 완성된 회로 소자와 배선을 갖는 기판의 상부에 절연 층, 바닥 전극 플래티늄 층, 유전체 박막 및 상부 전극 플래티늄 층을 제공하고, 상부 전극 플래티늄 층과 유전체 박막을 선택적으로 건식 에칭한 후에 바닥 전극 플래티늄 층을 선택적으로 건식 에칭함으로써 콘덴서를 형성하는 반도체 장치의 제조방법이 설명되어 있다. 상기 제조방법은 플래티늄 에칭용 에칭 가스로서 S 성분을 함유하는 가스, 또는 첨가 가스로서 S 성분을 함유하는 에칭 가스를 사용하며, S 및 Pt 성분을 조정하기 위한 이온 주입법에 의해 Pt 건식 에칭공정을 수행하기 이전에 S를 Pt 층 내측에 주입하며, 그리고 나서 이렇게 조정된 Pt 화합물을 건식 에칭한다.
마쯔모또 등에게 허여된 미국 특허 제 5, 527, 729 호에는 회로 소자와 배선 등이 이미 형성되어 있는 기판 상에 절연 층, 제 1 금속 층, 유전체 박막 및 제 2 금속 층을 형성하는 공정이 설명되어 있다. 상부 전극 및 콘덴서형 박막은 제 2 금속 층과 유전체 박막을 건식 에칭함으로써 형성된다. 바닥 전극은 제 1 금속 층을 건식 에칭함으로써 형성된다. 제 2 층을 건식 에칭하기 위한 에칭 가스는 할로겐화 수소(예를 들어, HBr)와 산소를, 할로겐화 수소와 산소 전체에 대한 산소의 비율이 약 10 내지 35%로 되게 설정한 혼합 가스이다. 상기 에칭 가스로는 클로로포름과 같은 탄화수소를 함유하는 가스도 공지되어 있다. 상기 마쯔모또 등의 특허에서는 기판 상의 절연 층으로서 산화 규소 층을, 제 1 및 제 2 금속 층으로서 플래티늄 층 또는 팔라듐 층을 사용한다. 제 2 금속 층과 유전체 박막의 건식 에칭은 약 5 Pa 이하의 저압 범위에서 고속으로 수행된다. 마쯔모또 등의 특허에는할로겐화 수소 및 산소의 혼합 가스가 에칭 가스로서 사용되는 경우에, 산화 규소 층에서의 에칭 속도가 플래티늄 층 또는 팔라듐 층으로 제조된 제 2 금속 층에서의 에칭 속도에 비해 충분히 낮으며, 이러한 방법에 의해 제 1 금속 층 하부의 산화 규소 층에 대한 과에칭이 방지되고 산화 규소 층 하부에 있는 회로 소자 및 배선에 대한 손상도 방지된다고 설명되어 있다. 또한, 마쯔모또 등의 특허에 따라서, 레지스트에 대한 플래티늄과 유전체 재료의 에칭속도의 비율은 레지스트 상의 에칭 속도를 낮춤으로써 증가될 수 있다. 그러므로, 플래티늄과 유전체 재료의 에칭은 종래의 두께를 갖는 레지스트(약 3 ㎛ 이상)를 사용하는 대신에, 정상적인 레이 두께(lay-thickness)(일반적으로 말해, 약 1.2 내지 약 2.0㎛ 두께)를 갖는 레지스트로 제조된 마스크를 사용하여 수행될 수 있다.
쵸우 등의 "초단파 산소 플라즈마에서의 플래티늄 금속 에칭" (응용물리학 저어널, 68(5)호, 1990년 9월 1일, 2425~2423 페이지)이란 논문에는 플라즈마와 화학적 시스템에서의 금속 에칭을 이해하기 위한 연구가 게재되어 있다. 상기 논문에는 플래티늄 포일에 대한 에칭이 유동형 초단파 시스템에서 수행되었는데, 낮은 입력 전력(200와트)에서 매우 급속한 에칭( ~6Å/s)이 발생되었다고 설명되어 있다. 산소 원자 농도, 이온 농도, 및 전자 온도를 포함하는 기본적인 플라즈마 변수들이 초단파 커플러 하부의 거리에 대한 함수로서 쵸우 등에 의해 측정되었다. 이들은 커플러로부터의 거리의 증가에 따라 감소되는 포일 에칭 속도과 상관관계가 있다. 이들 상관관계를 기초로하여, 쵸우 등은 간단한 기계적 모델을 정형화했다. 쵸우 등의 연구에 의해 산소 플라즈마 제트에서의 플래티늄 에칭은 산소 원자와 고에너지를 갖는 원자와의 부수적인 작용에 의한 것도 알아냈다.
니시까와 등의 "RF 마그네트론 및 전자 싸이클로트론 공명 플라즈마에서의 플래티늄 에칭 및 플라즈마 특성"(일본 응용 물리학 저어널, 34호(1995년), 767 ~ 770 페이지)이란 논문에는 플라즈마 변수(중성자 농도, 플라즈마 밀도 등)의 측정과 함께, RF 마그네트론 및 전자 싸이클로트론 공명(ECR) 플라즈마를 사용하여 조사한 플라즈마 에칭의 특성에 대한 연구가 게재되어 있다. 니시까와 등은 0.4 내지 50 mTorr 범위의 압력 전반에 걸쳐서 Cl2플라즈마에서 실험했다. RF 마그네트론 플라즈마에서, Pt의 에칭 속도은 20 내지 160℃의 기판온도에서 일정했다. 에칭 속도과 플라즈마 전자 밀도는 50 에서 5 mTorr로 가스 압력이 감소함에 따라 증가했다. 300와트의 RF 전력에 대한 ECR 플라즈마에서, 니시까와 등은 5 에서 0.4 mTorr로 가스 압력이 감소될 때 Pt의 에칭 속도이 거의 일정( ~100nm/분)했으나, 가스 압력이 감소할 때 플라즈마 전자밀도는 점차적으로 증가됨을 알아냈다. 니사까와 등의 연구에 의해 에칭 수율과 기판 상으로 입사하는 이온 플럭스와 중성 Cl2플럭스의 비율 사이의 관계성에 대한 실험결과를 검토했다.
요꼬야마 등의 고밀도 ECR 플라즈마에 의한 PZT/Pt/TiN 구조물의 고온 에칭"(일본 응용 물리학 저어널, 34호(1995년), 767 ~ 770 페이지)이란 논문에는 유리(SOG) 마스크상에 스핀을 갖는 PZT/Pt/TiN/Ti 구조물에 대한 미세 패턴화 기술에 대해 고밀도 전자 싸이클로트론 공명(ECR) 플라즈마와 300℃ 이상의 고기판 온도를 사용하여 입증하고자 하는 연구에 대해 게재되어 있다. 30%-Cl2/Aㅣ가스가 납지르콘산염 티탄산염(PZT) 박막을 에칭하는데 사용되었다. 80°이상의 에칭 프로파일로 인한 증착물은 남아있지 않았다. 40%-O2/Cl2가스가 Pt 박막을 에칭하는데 사용되었다. 에칭은 Ti 층에서 완전히 멈추었다. 30 nm 두께의 증착물이 측벽상에 남아 있었으며, 이들은 염화 수소산내에서 침지된 후에 요꼬야마 등의 논문에 의한 방법으로 제거되었다. 플래티늄 박막의 에칭된 프로파일은 80°이상이었다. Ti/TiN/Ti 층은 순수 Cl2가스로 에칭되었다. SOG 마스크로부터 시프트된 크기는 0.1㎛ 이하였다. 요꼬야마 등은 투사전자 현미경 및 에너지 분산 X선 분광기 분석에 의해 SOG와 PZT 사이의 어떤 상호확산을 검출해 내지 못했다.
유(Yoo) 등의 "Ar/Cl2/O2플라즈마에서의 Pt 에칭중에 에칭 곡선의 제어"(일본 응용물리학 저어널, 35호(1996년), 2501 내지 2504 페이지)라는 논문에서 기계적으로 향상된 반응성 이온 에칭기(MERIE)를 사용하여 20℃에서 0.25㎛의 설계 규정에 따라 플래티늄 패턴을 에칭하는 것에 대해 설명되어 있다. 유 등은 MERIE에 의한 에칭에 있어서의 주요 문제점은 에칭 생성물이 패턴 측벽에 재증착되어서 패턴 크기를 감소시키는 것이 어렵다는 점을 발견했다. 포토레지스트 마스크와 산화물 마스크를 별도로 사용하는 두 경우에 있어서, 에칭 생성물이 측벽에 재증착되는 것은 에칭 곡선이 45°로 낮게 되더라도 Cl2을 Ar에 첨가함으로써 감소시킬 수 있다. 재증착물들은 HCl 세정공정에 의해 제거되었다.
고떼끼의 "DRAM 콘덴서용 고-K 유전체 재료"(세미콘덕터 인터내셔널, 1996년 11월 109 내지 116페이지)라는 논문에서, 다이나믹 랜덤 어세스 메모리(DRAM)의 저장 콘덴서에 고 유전체 상수를 결합시키는 잠재적인 장점이 설명되어 있으며 고 유전체 층을 기가바이트에 적합한 간단한 적층형 콘덴서 구조물에 사용했을 때의 필수요건이 검토되었다. 고떼끼는 고 유전체 재료를 적층형 콘덴서 구조물에 사용할 때 다음과 같은 점들, 즉 전극 패턴화, 고-유전체 재료/배리어의 상호작용, 전극/고-유전체 재료의 상호작용, 표면 조도[예를들어, 하이로킹(hilocking) 등등], 표면 도포율, 고-유전체 재료의 균일도(예를들어, 두께, 조성, 입자 크기/방위, 등등), 및 배리어(예를들어, O2및 Si의 확산, 전도율, 접촉 저항 및 상호작용 등)를 고려해야할 필요성이 있음을 지적했다. 귀금속(즉, Pt, Ir, Pd)과 도전성 금속 산화물(즉, IrO2및 RuO2)을 포함하는 퍼로브스카이트 유전체(perovskite dielectrics)에 사용하기 위한 다수의 재료 및 이들의 조합물들이 연구되었다. 이들 재료의 일 함수, 건식 에칭에 의한 패턴화 능력, 표면 조도에 대한 표면 안정성, 및 반도체 제조자에게의 적합성이 고떼끼에 의해 다음 표 1로 요약되었다.
퍼로브스카이트 유전체에 사용하기 적합한 다수의 재료에 대한 특성 비교
재료 선택 일 함수 건식 에칭 표면 안정성 증착 방법
Pt 5.6~5.7 어려움 잠재적 문제점 스퍼터링
Ru 4.7 용이/위험 잠재적 문제점 스퍼터링
RuO2/Ru 용이/위험 양호 반응성 스퍼터링
Ir 5.0~5.8 어려움 양호 스퍼터링
IrO2/Ir 어려움 양호 반응성 스퍼터링
Pd 5.1~5.6 어려움 ? 스퍼터링
고떼끼는 "DRAM 콘덴서용 고-K 유전체 재료"라는 논문에서 콘덴서를 사용하는 DRAM 칩의 제조에 있어서 극복되어야 할 주요 문제점 중에 하나가 전극 패턴화에 대한 문제점이라고 설명하고 있다. Pt, Ru, Pd, 및 Ir과 같은 귀금속의 건식에칭 중에는 최소한의 휘발성 종들이 생성된다. 에칭 메카니즘이 주로 물리적 스퍼터링이었으므로, 펜스(fences)가 통상적으로 포토레지스트의 측면에 형성되었으며, 심지어 RIE 공정중에도 펜스가 형성되었다. 상기 펜스의 문제점을 제거하기 위해, "세정" 금속 구조물, 측벽 각도의 기울기 및 임계 회로선폭에 대한 제어손실을 초래하는, 에칭 중의 포토레지스트에 대한 측벽의 에칭 및 펜스 층의 에칭이 가능하다. 회로선폭의 칫수가 0.18 ㎛ 이하로 감소함에 따라, 측벽 각도에 대한 제한적인 템퍼링만이 허용되고 있다. 고떼끼는 DRAM 콘덴서에의 사용이 고려된 몇몇 고 유전체 재료, 박막을 형성하는데 사용될 수 있는 다수의 방법, 및 보고된 유전율 범위를 다음 표 2에 제시하고 있다.
다수의 고-유전체 재료와 제조 방법의 비교 및 유전체 상수
재료 증착 방법 εT(박막)
SrTiO3 MOCVD, ECR-CVD, 졸-겔, 스퍼터링, PLD 90 ~240
(Ba, Sr)TiO3 MOCVD, ECR-CVD, 졸-겔, 스퍼터링, PLD 160 ~ 600
PLT MOCVD, 졸-겔, 스퍼터링, PLD 400 ~900
PZT 및 PLZT MOCVD, 졸-겔, 스퍼터링, PLD > 1000
밀코베 등은 미국 펜실베니아주 필라델피아에서 1996년 10월에 열린 43차 심포지움에서 "무-펜스(Fence-Free) 패턴화 플래티늄 구조물의 반응성 이온 에칭에 대한 새로운 조사"라는 논제하에, 무-펜스 패턴화 구조물의 반응성 이온에칭(RIE) 중에 Pt 에칭공정을 시간의 경과에 따라 특징화하기 위한 조사가 수행되었음을 보고했다. 밀코베 등에 의한 실험은 동일한 2500Å 두께의 Pt 필름 층을 갖는 두 개의 산화 실리콘 웨이퍼를 상이한 두께의 포토레지스트 마스크로 동시에 처리하는 공정으로 이루어졌다. 주사식 전자 현미경(SEM)에 의한 분석을 위해 웨이퍼를 작은 조각들로 분할시키기 위해 전체 공정의 20, 40, 60 및 80% 에서 에칭이 중단되었었다. 2500Å 두께의 박막 층에 대한 무-베일(veil-less) 에칭을 수행하기 위해 공지된 Cl2-기초 RIE 조건을 사용하여, 밀코베 등은 에칭 공정 중의 처음의 20% 공정중에 여러 펜스들이 PR 마스크를 피복시켰음을 발견했다. 에칭이 계속되면서, 펜스 구조물들(fence structures)은 공정의 마지막 이전에 완전히 사라질 때까지 점진적인 리세스에 의해 최대 높이와 폭을 얻을 수 있었다. 밀코베 등의 자료는 에칭된 Pt 구조물의 최종 프로파일이 PR 마스크의 최초 두께 및 기울기뿐만 아니라 Pt 층의 최초 두께에 의존하는 함수를 갖고 있음을 보여준다. 밀코베 등은 일시적인 펜스의 행위를 관찰함으로써 할로겐계 플라즈마에서의 Pt 박막의 RIE와 관련된 화학 보조식 물리적 스퍼터링 성분의 존재를 지지하기 위한 데이타에 대한 강력한 증거를 제공하는 "무-펜스 패턴화 플래티늄 구조물의 반응성 이온 에칭에 있어서의 새로운 조사"라는 논문도 보고했다.
케일 등은 "PZT계 강유전체 장치용 플래티늄 전극의 에칭"(전기화학 협회보 96-12호(1996년), 515 내지 520페이지)이라는 논문에서, 플래티늄 에칭을 사용하여 콘덴서를 제조하는데에 있어서의 기술적 어려움이 주로 스퍼터링 공정에 있음을 설명하고 있다. 산소 및/또는 다수의 가스 염화물 또는 불화물이 에칭 공정을 화학적으로 개선하기 위해 사용되고 있지만, 양 에칭 메카니즘의 생성물들은 보통 낮은 휘발성을 갖고 있으며 웨이퍼 상에 재증착되는 경향이 있다. 이러한 벽(wall) 형태의 구조물은 "베일" 또는 "펜스"나 "래빗 이어(rabbit ear)"로 종종 지칭되며 이들의 길이는 이들이 부착되는 플래티늄 박막 두께의 2 배 이상의 길이에 달한다. 상기 구조물의 존재는 PZT 층의 유용한 증착을 불가능하게 한다. 케일 등은 단지 작은 "노브(nub)"형 구조물들이 존재하는 지점에 대한 재증착을 감소시킬 수 있는 때에도 노브에서 형성될 고 전기장이 유전체 파손의 가능성을 개선한다고 한는 점도 설명하고 있다. 낮은 재증착 또는 재증착이 전혀 없는 공정 조건이 발견되더라도, 수용될 수 없게 경사진 플래티늄 프로파일 각도를 매우 자주 초래한다. 케일 등은 공정 조건이 수직 측벽을 더욱 야기하는 쪽으로 기울어짐에 따라 재증착 정도가 더욱 심해진다는 것을 발견했다. 용해욕 내에서의 후에칭 습식세정이 매우 빈번히 사용되지만, 수직 측벽의 형성에 기여하는 두터운 재증착은 이러한 접근 방식의 효과를 최소화시킨다.
전술한 종래기술은 일반적으로, 수직한 조밀 영역 프로파일과 에칭 프로파일에 대한 CD(임계 치수)의 제어가 플래티늄 전극을 갖는 1-기가비트(이상)) DRAM 강유전체 장치의 성공적인 플라즈마 에칭에 중요한 요소라는 것을 입증하고 있다. 재증착 및 프로파일 제어는 매우 밀접한 관계가 있음이 판명되었다. 프로파일 각도와 재증착의 최적화는 이들 두 요소간의 절충을 필요로 한다. 강력한 후에칭 세정(예를들어, 산으로의 습식 세정, 기계적 연마 등등)에 의해 증착 무플라즈마 에칭을 달성하기 위한 몇몇 필요성을 경감시키는 경우에, 그러한 후증착에칭 세정은 현재 공지된 후에칭 세정방법에 의해 알루미늄 전극 자체가 통상적으로 에칭 및/또는 열화될 때와 마찬가지로 바람직한 정밀도를 갖지 못한다.
그러므로, 종래기술에 있어서 필요로하고 또한 본 발명에서 발명하고자 하는것은 고도한(즉, ≥85°) 프로파일 이방성의 플래티늄 전극 또는 이리듐 전극을 갖춘 고밀도 집적회로 반도체 장치를 제조하기 위한 플래티늄 층 및 이리듐 층을 에칭하는 방법이다. 더욱 필요로 하는 것은 약 0.3 ㎛ 이하의 임계 치수(예를들어, 폭)를 갖는 각각의 전극에 대해 약 0.3㎛ 이하의 거리만큼 이격되어 있고 약 85°이상의 플래티늄 또는 이리듐 프로파일을 각각 갖는 복수의 플래티늄 또는 이리듐 전극을 포함하고 있는 반도체 장치이다.
본 발명은 1998년 1월 13일자로 "이방성 플래티늄 프로파일의 에칭방법"이란 명칭으로 출원되어 계류 중인 미국 특허 출원번호 09/006,092호의 일부 연속출원이다.
본 발명은 플래티늄을 플라즈마 에칭시키는 것에 관한 것이다. 더 상세하게, 본 발명은 플래티늄 전극을 포함하는 반도체 집적회로를 제조하기 위해 플래티늄을 플라즈마 에칭하기 위한 방법에 관한 것이다.
도 1은 반도체 기판, 이런 반도체 기판 상에 배열된 배리어층, 이런 배리어층 위에 배열된 플래티늄 전극층, 이런 플래티늄 전극층 위에 배열된 마스크층 및 이런 마스크층 위에 배열된 패턴화된 레지스트층을 가진 반도체 웨이퍼의 측면도;
도 2는 마스크층 및 플래티늄 전극층 사이에서 플래티늄 전극층 위에 배열된 보호층을 더 포함하고 있는 도 1의 반도체 웨이퍼의 측면도;
도 3은 플라즈마를 강화하기 위한 전자기 유닛를 구비한 플라즈마 에칭 반응기를 포함하고 있는 종래의 플라즈마 처리 장치의 평면도;
도 4는 자기장에 의해 형성된 플럭스가 중심축선 주위를 회전하고 있는 모습을 도시하는 다이어그램;
도 5는 플래티늄 전극층의 표면으로부터 마스크층의 일부가 에칭되어 제거된 후 플래티늄 전극층이 노출된 도 1의 반도체 웨이퍼의 측면도;
도 6은 보호층의 표면으로부터 마스크층의 일부가 에칭되어 제거된 후 보호층이 노출된 도 2의 반도체 웨이퍼의 측면도;
도 7은 패턴화된 레지스트층이 마스크층으로부터 제거된 후의 모습을 파선으로 도시하는 도 5의 반도체 웨이퍼의 측면도;
도 8은 플래티늄층의 표면으로부터 보호층의 일부가 에칭되어 제거된 후, 그리고 마스크층의 일부로부터 패턴화된 레지스트층이 에칭되어 제거된 후의 모습을 파선으로 도시하는 도 6의 반도체 웨이퍼의 측면도;
도 9는 플래티늄 전극층이 에칭된 후 에칭된 플래티늄 전극층이 형성된 도 7의 반도체 웨이퍼의 측면도;
도 10은 플래티늄 전극층이 에칭된 후 에칭된 플래티늄 전극층이 형성된 도 8의 반도체 웨이퍼의 측면도;
도 11은 플래티늄 전극층이 에칭된 후 에칭된 플래티늄 전극층이 형성되고,그 상부에는 마스크층이 잔류하는 도 7의 반도체 웨이퍼의 측면도;
도 12는 플래티늄 전극층이 에칭된 후 에칭된 플래티늄 전극층이 형성되고, 잔류 보호층의 상부에 마스크층이 잔류하는 도 8의 반도체 웨이퍼의 측면도;
도 13은 에칭된 플래티늄 전극층의 표면으로부터 제거된 잔류 마스크층을 가진 도 11의 반도체 웨이퍼의 측면도;
도 14는 에칭된 플래티늄 전극층의 표면으로부터 제거된 잔류 보호층 및 마스크층을 가진 도 12의 반도체 웨이퍼의 측면도;
도 15는 잔류 마스크층이 에칭된 플래티늄 전극층의 표면으로부터 제거된 후 에칭될 배리어층을 가진 도 11의 반도체 웨이퍼의 측면도;
도 16은 잔류 마스크층 및 잔류 보호층이 에칭된 플래티늄 전극층의 표면으로부터 제거되고 에칭될 배리어층을 가진 도 12의 반도체 웨이퍼의 측면도;
도 17은 플래티늄 전극층을 에칭함에 있어 반도체 소자를 생산하기 위해 사용될 수 있는 유도 결합 RF 플라즈마 반응기의 단면도;
도 18은 플래티늄 전극층을 에칭함에 있어 반도체 소자를 생산하기 위해 사용될 수 있는 유도 결합 다른 RF 플라즈마 반응기의 단면도;
도 19는 실시예 I에 기재된 처리 조건에 따라 플래티늄 전극층이 에칭된 후 실시예 I에 대한 테스트 반도체 웨이퍼의 정면을 도시하는 사진;
도 20은 산화 마스크가 제거된 후 도 19의 테스트 반도체 웨이퍼의 정면을 도시하는 사진;
도 21은 도면부호가 기재된 각각의 부품들을 가진 도 19의 사진의 정면을 표시하는 도면;
도 22은 도면부호가 기재된 각각의 부품들을 가진 도 20의 사진의 정면을 표시하는 도면;
도 23은 실시예 II에 기재된 처리 조건에 따라 플래티늄 전극층이 에칭된 후 실시예 II에 대한 테스트 반도체 웨이퍼의 정면을 도시하는 사진;
도 24는 도면부호가 기재된 각각의 부품들을 가진 도 23의 사진의 정면을 도시하는 도면;
도 25는 돔 형상의 유전체 실링이 예시된 도 17의 유도 결합 RF 플라즈마의 부분 확대 단면도;
도 26은 유전체 막(예를 들면, 유전체 윈도우 또는 돔 형상의 유전체 실링)의 증착-수용 표면의 표면 피니쉬의 부분 측면도;
도 27는 실시예 Ⅲ에 기재된 처리 조건에 따라 플래티늄 전극층이 에칭된 후 실시예 Ⅲ에 대한 테스트 반도체 웨이퍼의 정면을 도시하는 사진;
도 28은 도면부호가 기재된 각각의 부품들을 가진 도 27의 사진의 정면을 도시하는 도면;
도 29는 실시예 Ⅳ에 기재된 처리 조건에 따라 플래티늄 전극층이 에칭된 후 실시예 Ⅳ에 대한 테스트 반도체 웨이퍼의 정면을 도시하는 사진;
도 30은 도면부호가 기재된 각각의 부품들을 가진 도 29의 사진의 정면을 부분적으로 도사하는 도면;
도 31은 오목한 내면을 가진 돔 형상의 유전체 실링의 부분 사시도;
도 32는 돔 형상의 유전체 실링과 연관된 오목한 내면이 실시예 Ⅴ에 따라 부산물 재료의 증착을 수용한 후의 유전체 실링의 부분 단면도;
도 33은 돔 형상으 유전체 실링과 연관된 오목한 내면이 실시예 Ⅵ에 따라 부산물 재료의 증착을 수용한 후의 유전체 실링의 부분 단면도;
도 34는 실시예 Ⅶ에 따라 부산물 재료의 증착을 수용하는 내면이 거친 오목한 내면을 구비한 돔 형상의 유전체 실링의 부분 확대 단면도이다.
본 발명은 다음과 같은 단계, 즉
a) 플래티늄 전극층을 지지하는 기판을 제공하는 단계와,
b) 상기 a) 단계의 기판을 약 150℃ 이상의 온도로 가열하는 단계, 및
c) 적어도 하나의 에칭된 플래티늄 전극층을 지지하는 기판을 생성하도록 할로겐 (예를들어, 염소)와 불활성 가스(예를들어, 아르곤)를 포함하는 에칭 가스의 고밀도 플라즈마를 사용하여 상기 플래티늄 전극층을 에칭하는 단계들을 포함하는, 기판 상에 배열된 플래티늄 전극층을 에칭하는 방법에 폭넓게 제공한다.
에칭 가스의 고밀도 플라즈마는, 109/cm3보다 큰, 바람직하게는 1011/cm3보다 큰 이온 밀도를 가진 에칭 가스의 플라즈마이다. 또한, 에칭 가스는 BCl3, HBr, SiCl4및 이들의 혼합물로 구성되는 그룹으로부터 선택된 가스를 포함한다. 상기 단계(a)의 플래티늄 전극층은, 상기 에칭 단계(c) 동안 선택적으로 플래티늄 전극층을 보호하기 위해, 플래티늄 전극층의 선택된 부분 위에 배열된 마스크층을 추가로 포함한다. 또한, 단계(a)의 플래티늄 전극층은 마스크층과 플래티늄 전극층 사이에서 플래티늄 전극층위 선택된 부분 위에 배열된 보호층을 추가로 포함한다. 마스크층은 에칭 단계(c) 동안 또는 그 후에 제거될 수 있다. 유사하게, 보호층은 에칭 단계(c) 동안 또는 그 후에 제거될 수 있다. 플래티늄 전극층은, 플래티늄 전극 웨이퍼에 포함되거나 그 일부를 이루며, 플래티늄 전극층을 에칭하는 방법은, 코일 인덕터 및 웨이퍼 받침대을 구비한 고밀도 플라즈마 챔버 내에 단계(a)의 플래티늄 전극층을 포함하는 플래티늄 전극 웨이퍼를 배열하는 단계; 그리고 이하의 처리 조건에 따라 고밀도 플라즈마 챔버 내에서 에칭 단계(c)를 수행하는 단계를 추가로 포함한다.
공정 변수
에칭가스 유동 50 내지 500 sccm
할로겐 가스(예: Cl2) 20% 내지 95부피%
불활성 가스(예: Ar) 5% 내지 80부피%
압력, mTorr 0.1 내지 300 milliTorr
코일 인덕터의 RF 전력(watts)* 100 내지 5000 watts
웨이퍼 받침대의 RF 전력(watts) 50 내지 3000 watts
플래티늄 전극 웨이퍼의 온도(℃) 150℃ 내지 500℃
플래티늄 에칭 속도(Å/분) 200 내지 6000 Å/분
코일 인덕터의 RF 주파수 100K 내지 300MHz
웨이퍼 받침대의 RF 주파수 100K 내지 300MHz
본 발명의 다른 실시예에서, 기판 위에 배열된 플래티늄 전극을 에칭하는 방법이 광범위하게 제공되는데, 이 방법은:
(a) 플래티늄 전극층을 지지하는 기판을 제공하는 단계;
(b) 단계(a)의 상기 기판을, 약 150℃이상의 온도까지 가열하는 단계; 및
(c) 적어도 하나의 에칭된 플래티늄 전극층을 지지하는 상기 기판을 생성하도록, 상기 플래티늄 전극층을 질소 및 할로겐(예: 염소)를 포함하는 에칭 가스의 플라즈마에서 에칭하는 단계를 포함하여 이루어진다. 이러한 플라즈마는 저밀도 플라즈마이거나 고밀도 플라즈마일 수 있으며 상기 에칭 가스는 불활성 가스(예; 아르곤), HBr, BCl3, SiCl4, 및 이들의 혼합물로 구성된 그룹으로부터 선택된 가스를 더 포함할 수 있다.
본 발명의 다른 실시예에서, 상기 에칭 단계(c)는 하기의 공정 조건으로 저밀도(또는 고밀도) 플라즈마 챔버내에서 수행될 수 있다.
공정 변수
에칭 가스 유동 50 내지 500 sccm
할로겐 가스(예: Cl) 40% 내지 90부피%
불활성 가스(예: Ar) 0.1% 내지 40부피%
질소 가스 0.1% 내지 60부피%
압력, mTorr 0.1 내지 500 milliTorr
코일 인덕터의 RF 전력(watts)* 0 내지 5000 watts
웨이퍼 받침대의 RF 전력(watts) 100 내지 5000 watts
플래티늄 에칭율(Å/분) 200 내지 6000 Å/분
코일 인덕터의 RF 주파수 100K 내지 300MHz
웨이퍼 받침대의 RF 주파수 100K 내지 300MHz
*만일 코일 인덕터에 0 watts가 이용되거나 지시되면, 0 watts가 RIE 챔버가 사용되고 있음을 나타낸다.
상기 에칭된 플래티늄층은, 바람직하게는 약 85°이거나 그 이상, 보다 바람직하게는 약 87°이거나 그 이상, 가장 바람직하게는 약 88.5°이거나 그 이상의 플래티늄 프로파일을 포함한다. 본 발명의 한 실시예에서, 바로 위에 언급한 공정 조건에서의 에칭 가스는 대안적으로, 약 10% 내지 약 90부피%의 할로겐(예: Cl2), 약 5% 내지 약 80부피%의 불활성 가스(예: 아르곤), 및 약 4% 내지 약 25부피%의 HBr 및/또는 BCl3를 포함할 수 있다. 본 발명의 다른 실시예에서, 상기 에칭 가스는 대안적으로, 약 0.1% 내지 약 60부피%의 질소, 약 40% 내지 약 90부피%의 할로겐(예: Cl2), 약 0.1% 내지 약 40부피%의 불활성 가스(예: 아르곤), 및 HBr, BCl3, SiCl4, 및 이들의 혼합물이 조합된 그룹으로부터 선택된 가스 약 1% 내지 약 30 부피%를 포함할 수 있다.
또한 본 발명은 플래티늄 전극을 포함하는 커패시턴스 구조물을 생성하는 방법을 광범위하게 제공하는데, 이 방법은:
a) 플래티늄 전극층 및 상기 플래티늄 전극층의 소정 부분 위에 배열된 적어도 하나의 마스크층을 지지하는 기판을 제공하는 단계;
b) 단계(a)의 기판을 약 150℃ 이상의 온도까지 가열하는 단계;
c) 적어도 하나의 플래티늄 전극을 갖는 커패시턴스 구조물을 생성하도록, 할로겐(예: 염소) 및 불활성 가스(예: 아르곤)을 포함하는 에칭 가스의 플라즈마를 이용하는 것을 포함하여 상기 층을 에칭하는 단계를 포함하여 이루어진다. 에칭 가스는 또한 질소를 포함할 수 있다.
바로 위의 에칭 단계(c) 도중에 또는 이후에 적어도 하나의 마스크층이 제거된다. 바로 위의 단계(a)의 상기 층은 마스크층과 상기 층 사이에 상기 층의 소정 부분에 놓여지는 보호층을 더 포함할 수 있다. 바로 위의 에칭 단계(c)에 의해 생성된 에칭된 플래티늄 전극층은 약 80°와 같거나 그 보다 큰, 바람직하게는 약 85°와 같거나 그 보다 큰, 보다 바람직하게는 약 87°와 같거나 그 보다 큰, 가장 바람직하게는 약 88.5°와 같거나 그 보다 큰 플래티늄 프로파일을 포함한다. 본 발명의 한 실시예에서, 단계(c)의 플라즈마의 에칭 가스가 보다 상세하게는 할로겐(예: 염소), 불활성 가스(예: 아르곤), 및 HBr, BCl3및 이들의 혼합물로 구성된 그룹으로부터 선택된 가스를 포함한다. 대안적으로, 단계(c)의 플라즈마의 에칭 가스가 질소(N2) 및 할로겐(예: 염소)를 포함한다. 본 발명의 다른 실시예에서, 단계(c)의 플라즈마의 에칭 가스가 보다 상세하게는 질소(N2), 할로겐(예: 염소), 불활성 가스(예: 아르곤), 및 HBr, BCl3, SiCl4, 및 이들의 혼합물로 구성된 그룹에서선택된 가스를 포함한다. 플래티늄 전극은 플래티늄 전극 웨이퍼의 일부이거나 이에 포함되며, 플래티늄 전극층을 포함하는 커패시턴스 구조물을 생성하는 방법은, 상기 에칭 단계(c)에 앞서서, 플래티늄 전극 웨이퍼를 코일 인덕터 및 웨이퍼 받침대를 갖는 고밀도 플라즈마 챔버내에 배치하는 단계; 및 상기 에칭 단계(c)를 전술된 하기의 공정 조건으로 고밀도 플라즈마 챔버내에서 수행하는 단계를 더 포함한다.
공정 변수
에칭 가스 유동 50 내지 500 sccm
할로겐 가스(예: Cl2) 약 10% 내지 약 90부피%
불활성 가스(예: Ar) 약 5% 내지 약 80부피%
HBr 및/또는 BCl3약 4% 내지 약 25부피%
압력, mTorr 0.1 내지 300 milliTorr
코일 인덕터의 RF 전력(watts) 100 내지 5000 watts
웨이퍼 받침대의 RF 전력(watts) 50 내지 3000 watts
플래티늄 전극 웨이퍼의 온도(℃) 약 150℃ 내지 약 500℃
플래티늄 에칭 속도(Å/분) 200 내지 6000 Å/분
코일 인덕터의 RF 주파수 100K 내지 300MHz
웨이퍼 받침대의 RF 주파수 100K 내지 300MHz
생성된 플래티늄 전극은 약 0.35㎛와 같거나 그 보다 작은, 바람직하게는 약0.3㎛와 같거나 그 보다 작은 크기의 간격 또는 공간만큼 분리된다. 플래티늄 전극 각각은 약 0.6㎛와 같거나 그 보다 작은, 바람직하게는 약 0.35㎛와 같거나 그 보다 작은, 보다 바람직하게는 약 0.3㎛와 같거나 그 보다 작은 값을 갖는 크기를 포함한다. 보다 바람직하게는, 각 플래티늄 전극이 약 0.35㎛와 같거나 그 보다 작은, 바람직하게는 약 0.3㎛와 같거나 그 보다 작은 폭을 가지며, 약 1.0㎛와 같거나 그 보다 작은, 바람직하게는 약 0.6㎛와 같거나 그 보다 작은 길이를 가지며, 약 0.6㎛와 같거나 그 보다 작은 높이를 갖는다. 본 발명의 임의의 실시예의 임의의 금속을 에칭하기 위한 에칭 가스의 플라즈마는 고밀도 유도결합 플라즈마(high density inductively coupled plasma)를 포함한다. 에칭 가스가 바람직하게는, 헬륨, 네온, 아르곤, 크립톤, 크세논, 라돈, 및 이들의 혼합물로 이루어진 그룹으로부터 선택된 불활성 가스를 포함한다. 보다 바람직하게는, 상기 불활성 가스가 헬륨, 네온, 아르곤, 및 이들의 혼합물로 이루어진 그룹으로부터 선택된다. 가장 바람직하게는, 불활성 가스가 아르곤이다. 전술한 바와 같이, 고밀도 유도결합 플라즈마의 에칭 가스가 염소, 아르곤, 및 BCl3, 및/또는 HBr을 포함하여 이루어지는 것이 가장 바람직하고, 바람직하게는 이들로서 이루어지거나 이들을 필수성분으로 포함한다.
본 발명은 반도체 소자를 제조하는 방법을 폭넓게 제공하는데, 이 방법은:
a) 상부에 회로 요소들이 형성된 기판 위에 패턴화된 레지스트층, 마스크층 및 플래티늄 전극층을 형성하는 단계;
b) 상기 마스크층의 일부를 에칭하는 단계로서, 패턴화된 레지스트층, 잔류 마스크층, 및 플래티늄 전극층을 지지하는 기판을 생성하도록, 에칭 가스의 플라즈마를 이용하는 것을 포함하여, 마스크층의 상기 부분을 침식하고 상기 플래티늄 전극층으로부터 제거하는 단계;
c) 상기 잔류 마스크층 및 상기 플래티늄 전극층을 지지하는 상기 기판을 생성하도록, 단계(b)의 상기 레지스트층을 제거하는 단계;
d) 단계(c)의 상기 기판을 약 150℃ 이상의 온도까지 가열하는 단계;
e) 적어도 하나의 이리듐 전극을 갖는 반도체 소자를 생성하도록, 할로겐 가스(예: 염소) 및 불활성 가스(예: 아르곤)를 포함하는 에칭 가스의 고밀도 플라즈마를 이용하는 것을 포함하여 단계(d)의 상기 플래티늄 전극층을 에칭하는 단계를 포함하여 이루어진다.
본 발명은 또한 기판 위에 배열된 플래티늄 전극층을 에칭하는 방법을 폭넓게 더 제공하는데, 이 방법은:
a) 플래티늄 전극층, 상기 플래티늄 전극층 위의 보호층, 상기 보호층 위의 마스크층, 및 상기 마스크층 위의 패턴화된 레지스트층을 지지하는 기판을 제공하는 단계;
b) 상기 마스크층의 일부를 에칭하는 단계로서, 상기 보호층의 부분을 노출시키고, 상기 플래티늄 전극층, 상기 플래티늄 전극층 위의 상기 보호층, 상기 보호층 위의 잔류 마스크층, 상기 잔류 마스크층 위의 상기 패턴화된 레지스트층을 지지하는 기판을 생성하도록, 에칭 가스를 이용하는 것을 포함하여 상기 마스크층의 상기 부분을 침식하고 상기 보호층으로부터 제거하는 단계;
c) 상기 플래티늄 전극층, 상기 플래티늄 전극층 위의 상기 보호층, 및 상기 보호층 위의 상기 잔류 마스크층을 지지하는 기판을 생성하도록, 단계(b)의 상기 잔류 마스크층으로부터 상기 패턴화된 레지스트층을 제거하는 단계;
d) 단계(c)의 기판을 약 150℃ 이상의 온도까지 가열하는 단계;
e) 상기 플래티늄 전극층의 부분을 노출시키고, 상기 플래티늄 전극층, 상기 플래티늄 전극층 위의 잔류 보호층, 상기 잔류 보호층 위의 상기 잔류 마스크층을 지지하는 기판을 생성하도록, 상기 보호층의 상기 노출 부분을 에칭하는 단계; 및
f) 에칭된 플래티늄 전극층, 상기 에칭된 플래티늄 전극층 위의 잔류 보호층, 상기 잔류 보호층 위의 상기 잔류 마스크층을 지지하는 기판을 생성하도록, 할로겐 가스(예: 염소) 및 불활성 가스(예: 아르곤)을 포함하는 에칭 가스의 고밀도 플라즈마를 이용하는 것을 포함하여 단계(e)의 상기 전극층의 상기 노출부분을 에칭하는 단계를 포함하여 이루어진다.
상기 기판을 약 150℃ 이상의 온도까지 가열하기 전에 상기 패턴화된 레지스트층이 상기 잔류 마스크층으로부터 제거되는데, 그 이유는 이와 같은 고온은 레지스트층을 파손시킬 수 있기 때문이다. 상기 잔류 마스크층은, 상기 기판을 약 150℃ 이상의 온도까지 가열하기 전이나 후, 및 상기 에칭 단계 도중 또는 이후에 상기 플래티늄 전극층으로부터 제거될 수 있다. 상기 플래티늄 전극층은 플래티늄 전극 웨이퍼의 일부이거나 이러한 웨이퍼에 포함된다. 상기 보호층의 목적은 마스크층과 플래티늄 전극층 사이의 접합을 보장하고, 또한 특히 본 발명의 에칭 공정중에, 플래티늄 전극층의 플래티늄 프로파일을 각각 유지하기 위한 것이다. 바람직하게는, 잔류 보호층들은 플래티늄 에칭 단계 이후에 에칭된 플래티늄 전극층으로부터 각각 제거된다.
위에서 지적한 바와 같이, 본 발명의 플래티늄 전극을 생성하기 위한 플래티늄 전극층의 에칭은 고밀도 플라즈마 챔버에서 수행된다. 플래티늄 에칭 단계는 바람직하게, 할로겐 가스(예를들어, 염화물), 불활성 가스(즉, 아르곤), 및 HBr 및/또는 BCl3를 포함하는, 또는 필수적으로 포함하는 에칭가스 고밀도 플라즈마를 사용한다. 고밀도 플라즈마 챔버는 이온 플럭스와 이온 에너지를 별도로 제어한다. 위에서 지적한 바와 같이, 고밀도 플라즈마 챔버 내부에 있는 고밀도 플라즈마의 이온 밀도는 약 109/㎤ 이상이다.
반도체 장치의 제조방법 및 기판상에 배치된 플래티늄 전극층의 에칭방법을 위한 고밀도 플라즈마 챔버는 코일 인덕터 및 웨이퍼 받침대를 포함하며, 상기 두 방법에 있어서의 플래티늄 에칭 단계는 전술한 다음의 공정 조건하에서 고밀도 플라즈마 챔버에서 수행된다.
공정 변수
에칭 가스 유동 50 내지 500sccm
할로겐 가스(예를들어, Cl2) 약 10 내지 약 90 부피%
불활성 가스(예를들어, Ar) 약 5 내지 약 80 부피%
HBr 및/또는 BCl3약 4 내지 약 25 부피%
압력, mTorr 0.1 내지 300 milliTorr
코일 인덕터의 RF 전력(와트) 100 내지 5000 와트
웨이퍼 받침대의 RF 전력(와트) 50 내지 3000 와트
플래티늄 전극 웨이퍼의 온도(℃) 약 150 내지 약 500℃
플래티늄 에칭 속도(Å/분) 200 내지 6000Å/분
코일 인덕터의 RF 주파수 100 K 내지 300 ㎒
웨이퍼 받침대의 RF 주파수 100 K 내지 300 ㎒
본 발명의 다른 실시예에서, 에칭단계는 다음의 공정 조건하에서 저밀도(또는 고밀도) 플라즈마 챔버에서 수행될 수 있다.
공정 변수
에칭 가스 유동 35 내지 900sccm
할로겐 가스(예를들어, Cl2) 10 내지 90 부피%
불활성 가스(예를들어, Ar) 0 내지 20 부피%
질소 가스 10 내지 80 부피%
HBr 및/또는 BCl3및/또는 SiCl40 내지 25 부피%
압력, mTorr 0.1 내지 2000 milliTorr
코일 인덕터의 RF 전력(와트)* 0 내지 5000 와트
웨이퍼 받침대의 RF 전력(와트) 100 내지 5000 와트
플래티늄 에칭 속도(Å/분) 200 내지 6000Å/분
코일 인덕터의 RF 주파수 100 K 내지 300 ㎒
웨이퍼 받침대의 RF 주파수 100 K 내지 300 ㎒
*만일 코일 인덕터로서 0 watts 가 이용된다면, 그 것은 RIE 챔버를 의미한다..
또한, 본 발명은 기판 위에 층을 프로세싱하는 방법을 제공하는데, 그 방법은,
a) 기판을 제공하는 단계;
b) 1000Å보다 큰 평균 높이값을 가진 피크-대-밸리 조도(粗度) 높이를 포함하는 증착-수용 표면을 가지는 유전체 윈도우(window)를 포함하는 반응 챔버 내에 기판을 배열하는 단계;
c) 단계(b)의 반응 챔버 내로 프로세싱 가스를 유입하는 단계; 및
d) 프로세싱 가스의 플라즈마로 기판 위의 층을 프로세싱 하도록 단계(b)의 반응 챔버 내로 프로세싱 전력을 인가하는 단계를 포함한다.
본 발명은 약 1000Å의 평균 높이값을 가진 피크-대-밸리 조도 높이를 포함하는 표면 피니쉬를 가지는 유전 구조물을 포함하는 유전체막을 제공한다. 받침대 조립체는 프로세싱 영역에 배열된다. 또한, 챔버 조립체는 프로세싱 전원을 포함한다; 프로세싱 가스-유입 조립체는, 챔버 벽의 프로세싱 영역 내로 프로세싱 가스를 유입시키기 위해 챔버 벽에 결합된다; 그리고 프로세싱 전원에 연결된 프로세싱 전력-전달 부재는 전력을 프로세싱 영역으로 전달하여, 프로세싱 가스로부터의 플라즈마를 프로세싱 챔버 벽의 프로세싱 영역 내에서 유지하도록 한다.
또한, 본 발명은 반도체 소자, 보다 구체적으로는 기판 및 이런 기판에 의해 지지되는 적어도 두 개의 플래티늄 전극을 포함하는 커패시턴스 구조물을 광범위하게 제공한다. 플래티늄 전극은 약 80°와 같거나 그 보다 큰, 바람직하게는 약 85°와 같거나 그 보다 큰, 보다 바람직하게는 약 87°와 같거나 그 보다 큰, 가장 바람직하게는 약 88.5°와 같거나 그 보다 큰 플래티늄 프로파일을 포함한다. 플래티늄 전극은 약 0.35㎛와 같거나 그 보다 작은, 바람직하게는 약 0.3㎛와 같거나 그 보다 작은 크기의 간격 또는 공간만큼 분리된다. 플래티늄 전극 각각은 약 0.6㎛와 같거나 그 보다 작은, 바람직하게는 약 0.35㎛와 같거나 그 보다 작은, 보다 바람직하게는 약 0.3㎛와 같거나 그 보다 작은 값을 갖는 크기를 포함한다. 보다 바람직하게는, 각 플래티늄 전극이 약 0.35㎛와 같거나 그 보다 작은, 바람직하게는 약 0.3㎛와 같거나 그 보다 작은 폭을 가지며, 약 1.0㎛와 같거나 그 보다 작은, 바람직하게는 약 0.6㎛와 같거나 그 보다 작은 길이를 가지며, 약 0.6㎛와 같거나 그 보다 작은 높이를 갖는다.
본 발명의 다른 바람직한 실시예에서, 기판 위에 배열된 이리듐(예, 귀금속 층)층을 에칭하는 방법이 제공된다. 이 방법은,
a) 이리듐 층을 지지하는 기판을 제공하는 단계;
b) 상기 a) 단계의 기판을 약 150℃ 이상의 온도로 가열하는 단계; 및
c) 적어도 하나의 에칭된 이리듐 층을 지지하는 기판을 생성하도록 할로겐 함유 가스(예를 들어, 염소)와 불활성 가스(예를 들어, 아르곤)를 포함하는 에칭 가스의 고밀도 플라즈마를 사용하여 상기 이리듐 층을 에칭하는 단계들을 포함한다. 본 발명의 한 실시예에서, 에칭 가스는 O2와 Cl2로 이루어진 그룹으로부터 선택된 가스를 더 포함한다. 본 발명의 다른 실시예에서, 에칭 가스는 O2, HCl, HBr 및 이들의 혼합물로 이루어진 그룹으로부터 선택된 가스를 더 포함한다. 할로겐 함유가스는 본질적으로 염소로 이루어지거나 이를 포함하며, 불활성 가스는 본질적으로 아르곤으로 이루어지거나 이를 포함한다. 선택적으로, 에칭 가스는 염소, 아르곤 및 O2로 이루어지거나 이들을 포함한다. 단계(a)의 이리듐 층은, 에칭 단계(c)동안 이리듐 층을 선택적으로 보호하기 위해 이리듐 층의 선택된 부분 위에 배열된 마스크층을 더 포함한다.
본 발명은 기판 위에 배열된 이리듐 전극층을 에칭하는 방법을 제공하는데, 이 방법은:
a) 이리듐 전극층, 상기 이리듐 전극층 위의 보호층, 상기 보호층 위의 Ti 마스크층, 및 상기 마스크층 위의 패턴화된 레지스트층을 지지하는 기판을 제공하는 단계;
b) 상기 Ti 마스크층의 일부를 에칭하는 단계로서, 상기 보호층의 부분을 노출시키고, 상기 이리듐 전극층, 상기 이리듐 전극층 위의 상기 보호층, 상기 보호층 위의 잔류 Ti 마스크층, 상기 잔류 Ti 마스크층 위의 상기 패턴화된 레지스트층을 지지하는 기판을 생성하도록, 에칭 가스를 이용하는 것을 포함하여 상기 Ti 마스크층의 상기 부분을 침식하고 상기 보호층으로부터 제거하는 단계;
c) 상기 이리듐 전극층, 상기 이리듐 전극층 위의 상기 보호층, 및 상기 보호층 위의 상기 잔류 마스크층을 지지하는 기판을 생성하도록, 단계(b)의 상기 잔류 Ti 마스크층으로부터 상기 패턴화된 레지스트층을 제거하는 단계;
d) 단계(c)의 기판을 약 150℃ 이상의 온도까지 가열하는 단계;
e) 상기 이리듐 전극층의 부분을 노출시키고, 상기 이리듐 전극층, 상기 이리듐 전극층 위의 잔류 보호층, 상기 잔류 보호층 위의 상기 잔류 마스크층을 지지하는 기판을 생성하도록, 상기 보호층의 상기 노출 부분을 에칭하는 단계; 및
f) 에칭된 이리듐 전극층, 상기 에칭된 이리듐 전극층 위의 잔류 보호층, 상기 잔류 보호층 위의 상기 잔류 Ti 마스크층을 지지하는 기판을 생성하도록, 산소, 염소 및 아르곤을 포함하는 에칭 가스의 플라즈마(예를 들면, 고밀도 또는 저밀도 플라즈마)를 이용하는 것을 포함하여 단계(e)의 상기 전극층의 상기 노출부분을 에칭하는 단계를 포함하여 이루어진다.
본 발명은 기판 위에 배열된 이리듐 전극층을 에칭하는 방법을 제공하는데, 이 방법은:
a) 이리듐 전극층, 상기 이리듐 전극층 위의 보호층, 상기 보호층 위의 마스크층, 및 상기 마스크층 위의 패턴화된 레지스트층을 지지하는 기판을 제공하는 단계;
b) 상기 마스크층의 일부를 에칭하는 단계로서, 상기 보호층의 부분을 노출시키고, 상기 이리듐 전극층, 상기 이리듐 전극층 위의 상기 보호층, 상기 보호층 위의 잔류 마스크층, 상기 잔류 마스크층 위의 상기 패턴화된 레지스트층을 지지하는 기판을 생성하도록, 에칭 가스를 이용하는 것을 포함하여 상기 마스크층의 상기부분을 침식하고 상기 보호층으로부터 제거하는 단계;
c) 상기 이리듐 전극층의 부분을 노출시키고, 상기 이리듐 전극층, 상기 이리듐 전극층 위의 잔류 보호층, 상기 잔류 보호층 위의 상기 잔류 마스크층, 상기 잔류 마스크층 위의 패턴화된 레지스트층을 지지하는 기판을 생성하도록, 상기 보호층의 상기 노출 부분을 에칭하는 단계;
d) 상기 이리듐 전극층, 상기 이리듐 전극층 위의 잔류 보호층, 및 상기 잔류 보호층 위의 상기 잔류 마스크층을 지지하는 기판을 생성하도록, 단계(c)의 상기 잔류 마스크층으로부터 상기 패턴화된 레지스트층을 제거하는 단계;
e) 단계(d)의 기판을 약 150℃ 이상의 온도까지 가열하는 단계; 및
f) 에칭된 이리듐 전극층, 상기 에칭된 이리듐 전극층 위의 잔류 보호층, 상기 잔류 보호층 위의 상기 잔류 마스크층을 지지하는 기판을 생성하도록, 염소 및 아르곤을 포함하는 에칭 가스의 고밀도 플라즈마(예를 들면, 저밀도 또는 고밀도 플라즈마)를 이용하는 것을 포함하여 단계(d)의 상기 이리듐 전극층의 상기 노출부분을 에칭하는 단계를 더 포함하여 이루어진다. 단계(f)의 에칭 가스는 산소, HCl, HBr 및 이들의 혼합으로 이루어진 그룹으로부터 선택된 가스를 더 포함한다. 보다 구체적으로, 에칭 가스는, 산소, 할로겐(예, 염소), 불활성 가스(예, 아르곤) 및 HBr, HCl 및 이들의 혼합으로 이루어진 그룹으로부터 선택된 가스로 이루어지거나 이들을 포함하는 것이 바람직하다. 보다 구체적으로, 에칭 가스는 약 5 내지 약 20 부피%의 산소, 약 10 내지 약 60 부피%의 할로겐 가스(예를 들면, 염소), 약 30 내지 약 80 부피%의 불활성 가스(예를 들면, 아르곤), 그리고 약 5 내지 약 20부피%의 HBr 및/또는 HCl을 포함할 수 있다; 바람직하게는 약 5 내지 약 15 부피%의 산소, 약 20 내지 50 부피%의 할로겐 가스(예를 들면, 염소) 및 약 40 내지 약 70 부피%의 불활성 가스(예를 들면, 아르곤), 그리고 약 5 내지 약 15 부피%의 HBr 및/또는 HCl을 포함할 수 있다; 보다 바람직하게는 약 5 내지 약 10 부피%의 산소, 약 20 내지 약 35 부피%의 할로겐 가스(예를 들면, 염소), 약 40 내지 약 60 부피%의 불활성 가스(예를 들면, 아르곤) 및 약 5 내지 약 10 부피%의 HBr 및/또는 HCl을 포함할 수 있다. 에칭 가스 유동율은 약 50 sccm 내지 500 sccm의 범위이다.
에칭 가스가 산소, 할로겐 가스(예를 들면, 염소), 불활성 가스(예를 들면, 아르곤), 그리고 HBr 및/또는 BCl3의 혼합물이라면, 적절히 유도되어 연결된 플라즈마 반응기 내에서 전극층을 에칭하기 위한 공정 변수는 할로겐 가스(예를 들면, Cl2), 불활성 가스(예를 들면, Ar), 그리고 HBr 및/또는 HCl을 포함하는 가스의 비율을 기초로하여 아래에 기재된 범위와 일치한다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccm O2Cl2ArHBr 및/또는 HCl압력, mT코일 인덕터의RF 전력(Watts)웨이퍼 받침대의RF 전력(Watts)웨이퍼의 온도(℃)이리듐(Ir)에칭 속도(Å/분)마스크층의 TiN 또는Ti 에 대한 Ir의감도(selectivity)코일 인덕터의RF 주파수웨이퍼 받침대의RF 주파수 10 내지 6030 내지 10050 내지 25010 내지 600.1 내지 300100 내지 500050 내지 3000약 150 내지 500200 내지 60000.2 내지 50100 K 내지 300 MHz100 K 내지 300 MHz 10 내지 4030 내지 70100 내지 20010 내지 4010 내지 100650 내지 2000100 내지 1000200 내지 400500 내지 30001 내지 20400 K 내지 20 MHz400 K 내지 20 MHz 15 내지 3050 내지 70100 내지 15015 내지 3010 내지 40750 내지 1000150 내지 600250 내지 350500 내지 20006 내지 102 내지 13.5 MHz400 K 내지 13.5 MHz
전술한 특징들과 함께, 다음의 진행에 따라 본 기술분야의 숙련자들에게 분명해질 다수의 부수적인 특징들은 본 발명의 실시 및 첨부 도면에 단지 예로서 도시한 양호한 실시예들에 의해 달성된다.
이하에서, 도면을 상세히 살펴보면, 여기서는 본 발명의 유사한 부품은 유사한 도면부호로 표시되어 있으며, 도 1에는 전체적으로 도면부호 10으로 표시한 웨이퍼가 도시되어 있으며, 이는 도면부호 12로 표시한 반도체 기판을 가진다. 반도체 기판(12)은 도면에 도시하지 않지만, 이 기술분야에 잘알려진 회로 요소의 영역을 포함한다. 배리어 층(14)은 반도체 기판(12) 위에 배치되어 있으며, 전체적으로 도면부호 15로 도시한 플래티늄 층은 배리어 층(14) 위에 배치되어 있다. 플래티늄 층(15)은 양호하게 도 1에 도시한 바와 같은 플래티늄 전극층(16)이다. 플래티늄 전극층(16)이 양호한 플래티늄 층(15)이기 때문에, 본 발명의 이후의 설명은 본 발명에서 설명하는 "플래티늄 전극층(16)" 용어만을 사용할 것이다. 그러나, "플래티늄 전극층(16)"이 이후에 설명되어지는 곳이면, 또한 본 발명의 목적에 맞는 "플래티늄 층(15)"와 동일하게 취급됨을 이해해주기 바란다.
플래티늄 전극층(16)이 반도체 기판(12)내에서 어떤 원소(예, 폴리-Si 플러그)에 확산 또는 반응하기 때문에, 배리어 층(14)은 플래티늄 전극층(16)과 반도체기판(12)사이에 요구된다. 또한 배리어 층(14)은 반도체 기판(12)과 플래티늄 전극층(16)을 결합하기 위한 접착제로서 기능한다. 마스크(18)는 플래티늄 전극층(16)위에 배치되어 있으며, 전체적으로 도면부호 20으로 도시한 패턴화된 레지스트(예, 포토레지스트)는 도 1에 잘도시한 바와 같이 마스크층(18)상에 선택적으로 위치설정된다. 도 1에 잘 도시한 바와 같이, 패턴화된 레지스트(20)는 다수의 레지스트 부재(20a, 20b, 20c, 20d)를 포함한다. 도 2에 도시한 바와 같은 본 발명의 다른 양호한 실시예에 있어서, 보호층(22)은 플래티늄 전극층(16)과 마스크층(18)사이에 배치된다.
배리어 층(14)은 플래티늄 전극층(16)에 대해서 접착제로서 그리고 확산 배리어로서 2중으로 기능할 수 있으면 어느 배리어 층도 될 수 있다. 양호하게, 배리어 층(14)은 티타늄 및/또는 TiN과 같은 티타늄 합금을 포함하며, 약 50 옴스트롱 내지 약 600 옴스트롱, 보다 양호하게 약 200 내지 약 400 옴스트롱, 가장 양호하게 약 300 옴스트롱 범위의 두께를 가진다. 배리어 층(14)은 양호하게 RF 마그네트론 스퍼터링 방법에 의해서 반도체 기판(12)상에 배치된다.
플래티늄 전극층(16)이 높은 유전 상수 강자성 재료를 증착하는 연속 고온 공정에서 일어나는 경향이 있을 산화물에 불활성이기 때문이 전극 재료로 사용된다. 플래티늄 전극층(16)은 또한 플래티늄이 양호한 전기 전도체이기 때문에 전극 재료로서 사용된다. 플래티늄 전극층(16)의 두께는 플래티늄 전극층(16)을 포함하고 있는 커패시턴스 장치 또는 반도체의 마지막 용도(end use)에 의존한다. 통상적으로, 플래티늄 전극층(16)의 두께는 약 500 옴스트롱 내지 약 4000옴스트롱, 보다 양호하게 약 1000 내지 약 3000 옴스트롱, 가장 양호하게 약 2000 옴스트롱 범위이다. 플래티늄 전극은 양호하게 RF 마그네트론 스퍼터링 방법에 의해서 배리어 층(14)상에 배치된다.
마스크층(18)은 마스크층(18)의 모든 트레이스가 필수적으로 패턴화된 레지스트(20) 아래에 남아 있는 마스크층(18)의 부분( 아래에 도면부호 "18a", "18b", "18c", "18d")을 제외하고, 표면 플래티늄 전극층(16) 으로부터 제거되도록 이후에 기술된 절차에 따라서 에칭될 수 있으면 어느 적합한 절연 또는 금속 재료로 할 수 있다. 마스크층(18)은 또한 어느 적합한 두께도 될 수 있다. 양호하게, 마스크층(18)은 이산화실리콘(SiO2) 및/또는 질화실리콘(Si3N4) 또는 다른 적합한 유전 재료를 포함한다. 마스크층(18)의 양호한 두께는 약 1000 옴스트롱 내지 약 9000 옴스트롱, 보다 양호하게 약 3000 내지 약 7000 옴스트롱, 가장 양호하게 약 5000 옴스트롱 범위이다. 마스크층(18)은 양호하게 화학 기상 증착에 의해서 플래티늄 전극층(16)상에 배치된다.
패턴화된 레지스트(20)(즉, 레지스트 부재(20a, 20b, 20c, 20d)를 포함하는, 포트레지스트(20))는 본 발명의 에칭 공정 동안 어떠한 하층 재료(예, 마스크층(18))를 에칭으로부터 보호할 수 있으면 어느 적합한 재료 층도 될 수 있다. 패턴화된 레지스트(20)의 적합한 재료는 노볼락(novolac) 수지 및 포토액티브 분해 억제제(모두는 Suss 의 발견에 기초함)로 구성하는 레지스트 시스템을 포함한다. 레지스트(20)용의 다른 적합한 재료는 Hiroshi Ito에 의해 명칭 "Deep-UVResists : Evolution and status"로 Solid State Technology의 1996년 7월 판의 논문에 기재되어 있다. 패턴화된 레지스트(20)는 어느 적합한 두께를 가지며, 양호하게 패턴화된 레지스트(20)는 약 0.3㎛ 내지 약 1.4㎛, 보다 양호하게 약 0.5㎛ 내지 약 1.2㎛, 가장 양호하게 약 0.8㎛ 범위이다. 패턴화된 레지스트(20)는 양호하게 스핀 코팅 방법에 의해 마스크층(18)상에 양호하게 증착된다.
도 2에 도시한 본 발명의 실시예에서의 보호층(22)은 본 발명의 오버에칭 공정 동안 에칭된 플래티늄 전극층(전체적으로 아래에 "16e"로서 지적함)의 코너(아래에 "16g"로 지적함)를 보호하기 위한 것이다. 보호층(22)의 다른 목적은 마스크층(18)과 플래티늄 전극층(16)에 양호한 접착제를 제공하기 위한 것이다. 보호층(22)은 티타늄 및/또는 질화티타늄 등과 같은 어느 적합한 재료 또는 화학품을 포함할 수 있으며, 예를 들어 RF 마그네트론 스퍼터링 방법에 의해 플래티늄 전극층(16)의 표면상에 편리하게 증착될 수 있다. 보호층(22)의 두께는 어느 적합한 두께, 양호하게 약 50 옴스트롱 내지 약 1000 옴스트롱, 보다 양호하게 약 100 내지 약 600 옴스트롱, 가장 양호하게 약 300 옴스트롱 범위이다.
도 1 또는 도 2의 다층 구조로부터 반도체 또는 캐피시턴스 장치를 형성 또는 생성하기 위해서, 다층 구조물은 초기에 적합한 플라즈마 처리 장치내에 놓여져서, 도 2에 도시한 본 발명의 실시예가 사용되는 경우에 도 5 또는 도 6에 잘 도시한 바와 같이, 제각기 레지스트 부재(20a, 20b, 20c, 20d) 아래에 있는 이들 마스크층(18a, 18b, 18c, 18d)을 제외하고는, 플래티늄 전극층(16)의 표면으로부터 마스크층(18)을 침식(break through)하거나 애칭해버린다.
적합한 종래 기술 플라즈마 처리 장치는 도 3에 도시되어 있으며, 이는 Babie등의 미국 특허 제 5, 188,704 호에 기술되어 있으며 이는 본 명세서에서 참고로 사용된다. 도 3의 플라즈마 처리 장치는 반응기 챔버(32)를 형성하고 수용하기 위해서 전체적으로 도면부호 31로 표시한 벽을 포함하며 전체적으로 도면부호 30으로 표시한 플라즈마 반응기를 포함하며, 여기서 중성(n) 입자, 양(+)입자와 음(-)입자가 발견된다. 벽(31)은 원통형 벽(54)과 커버(56)를 포함한다. 플라즈마 처리 가스는 입구(34)를 통해서 반응기 챔버(32)로 유입된다. 플라즈마 에칭 가스는 입구(34-34)를 통해서 챔버(32)로 유입된다. 수냉 음극(36)은 13.56 MHz에서 RF 전원(38)에 연결된다. 양극(39)은 라인(40)에 의해 접지되는 벽(31)에 연결되어 있다. 헬륨 가스는 통로(50)와 음극(36)을 통해서 립 시일(52)에 의해 주변으로 지지되어 있는 웨이퍼(10) 아래의 공간까지 공급되므로 헬륨 가스는 웨이퍼(10)를 냉각한다. 웨이퍼(10)는 이 기술분야의 숙련된 자에게 잘 알려진 바와 같이, 웨이퍼의 상부면을 주변에서 유지하는 다수의 클램프(도시 생략)를 포함하는 웨이퍼 지지체(46)에 의해 지지된다. 한 쌍의 헬름홀쯔(helmholtz) 형상의 전자석 코일(42, 43)은 챔버(32) 내의 북극과 남극을 제공하고 측면 원통형 벽(54)과 벽(31)의 양 단부에 배치되어 있다. 전자석 코일(42, 43)은 웨이퍼(10)의 표면에 평행한 수평 자계 축선을 제공하도록 좌우에 북극과 남극을 가진 횡방향 자계를 제공한다. 횡방향 자계는 전자가 웨이퍼(10)를 향해 이동할 때 자계에 의해서 급격히 가속되는 전자의 수직 속도를 느리게 하도록 인가된다. 따라서, 플라즈마(33)내의 많은 전자는 횡방향 자계에 의해서 증가되고 플라즈마(33)는 이 기술분야의 숙련자에 잘 알려져 있다.
자계를 제공하는 전자석 코일(42, 43)은 균일한 필드 강도 방위를 제공하도록 독립적으로 제어된다. 필드는 전자석 코일(42, 43)의 가전압(加電壓)을 연속적으로 회전시킴으로써 웨어퍼(10) 둘레에 각도상으로 단차형성될 수 있다. 전자석 코일(42, 43)에 의해 제공된 횡방향 자계는 플라즈마(33)에 의해 처리되어지는 웨이퍼(10)의 표면에 평행하게 안내되고, 플라즈마 반응기(30)의 음극(36)은 플라즈마(33)내의 전자의 효율적인 이온화를 증가한다. 이것은 음극(36)의 외장(sheath)을 가로질려서 전위 하강(potential drop)을 감소시키고 웨이퍼의 표면상에 존재하는 이온 전류 플럭스를 증가할 수 있는 능력을 제공함으로써, 높은 이온 에너지를 필요치 않고 에칭의 보다 높은 속도로 결과물을 달성할 수 있게 허용한다.
본 발명을 실행하는데 이용된 자기 강화 반응성 이온 에칭(MERIE)을 달성하는데 사용된 양호한 자기원은 헬름홀쯔 형상내에 배치된 전자석 코일(42, 43)에 의해 제공된 가변 회전 자계이다. 전자석 코일(42, 43)은 3상 AC전류에 의해 구동된다. 플럭스(B)을 가진 자계는 웨이퍼(10)에 평행하고 도 4에 도시한 바와 같이 전기장에 수직이다. 도 4를 참조하면, 플럭스(B)를 생성하는 자계(H)의 벡터는 통상적인 회전 주파수 0.01 내지 1 Hz, 특히 0.5 Hz에서 전자석 코일(42, 43)을 통해서 흐르는 전류의 상(phases)을 변경함으로써 전기장의 중심 축선 둘레로 회전한다. 자기 플럭스(B)의 강도는 0 가우스 내지 약 150 가우스까지 변하고 전자석 코일(42, 43)에 공급된 전류의 량에 의해서 결정된다. 도 3은 마스크층(18)(마스크층(18a, 18b, 18c, 18d)제외)을 제거하는데 적합한 하나의 플라즈마 처리 장치를도시하지만, 전자 싸이클로트론 공명(ECR), 헬리콘 공명 또는 유도 결합 플라즈마(ICP), 트리오드(triode) 에쳐 등과 같은 다른 플라즈마 에쳐(plasma etcher)가 사용될 수 있음을 이해해주기 바란다.
플라즈마(33)는 도 5 또는 도 6 에 잘 도시한 바와 같이, 제각기 레지스트 부재(20a, 20b, 20c, 20d) 아래에 있는 이들 마스크층(18a, 18b, 18c, 18d)을 제외하고는, 마스크층(18)을 없애면(즉, 세척 및 애칭), 어느 적합한 에칭 가스도 사용될 수 있다. 예를 들어, 마스크층(18)이 산화실리콘을 포함하면, 적합한 에칭 가스는 불소-함유 가스(예, CHF3, SF3, C2F6, NF3등), 브롬-함유 가스(예 HBr 등), 염소-함유 가스(예, CHCl3등), 불활성 가스(예, 아르곤 등) 및 이들의 혼합물로 이루어지는 그룹으로부터 선택될 수 있다. 양호하게, 에칭제는 이 단계에서의 목적이 마스크층(18)(레지스트 부재(20a, 20b, 20c, 20d)에 의해 제각기 보호되어 있는 마스크층(18a, 18b, 18c, 18d)을 제외)을 제거하고 패턴화된 레지스트(20)를 제거하지 않는 것이기 때문에, 산소와 같은 산화제를 포함하지 않는다. 보다 양호하게 에칭제는 약 20 부피% 내지 약 40 부피%의 CHF3와 약 60 부피% 내지 약 80 부피%의 아르곤을 포함한다. 마스크층(18)(마스크층(18a, 18b, 18c, 18d)을 제외)을 제거함에 있어서 적합한 플라즈마 처리 장치(도 3의 플라즈마 처리 장치와 같은)에 대한 반응기의 조건은 다음과 같다.
압력 10-150 mTorr
RF 전력 500-1500 watts
회전 자장 25-70 Gauss
웨이퍼의 온도 25-100 ℃
마스크층(18) 에칭 속도 2000-10,000 Å/분
패턴화된 레지스트(20)에 대한 마스크층(18)의 감도(selectivity)는 마스크층(18)과 패턴화된 레지스트(20)의 사용된 재료에 좌우되지만 3 : 1 이상이 양호하다.
보다 일반적으로, 적합한 플라즈마 처리 장치(예, 도 3의 플라즈마 처리 장치)내의 마스크층(18)을 제거하기 위한 처리 변수는 표 3 에 기재한 리스트와 같은 범위이고, 가스의 유동량를 근거로 CHF3및 아르곤의 유동량를 아래 표 3에 기재되어 있다.
공정 넓은 범위 바람직한 범위
가스 유동, sccm CHF3Ar압력, mT13.56MHz RF 전력(Watts)웨이퍼의 온도(℃)자계 가우스 10 내지 50(20 내지 40부피%)50 내지 90(60 내지 80부피%)10 내지 250500 내지 250010 내지 12010 내지 120 20 내지 4060 내지 8010 내지 150500 내지 150025 내지 10025 내지 70
도 2에 도시한 본 발명의 실시예에 대해서, 보호층(22)은 마스크층(18)과 플래티늄 전극층(16) 사이의 플래티늄 전극층(16)상에 배치되어 있으며, 보호층(22)는 플래티늄 전극층(16)을 노출하기 위해서 마스크층(18)의 제거 후 제거되거나 에칭되어진다. 보호층(22)은 제각기 마스크층(18a, 18b, 18c, 18d) 바로 아래의 보호층(22a, 22b, 22c, 22d)(도 6 및 도 8참조)을 제외하고는 보호층(22)을 침식하고 에칭하는 적합한 에칭 가스를 사용하는 플라즈마(33)를 포함하는 어느 적합한 방법 및/또는 어느 적합한 플라즈마 처리 장치(예, 도 3의 플라즈마 처리 장치)에 의해 에칭되어 제거될 수 있다. 예를 들어, TiN이 보호층(22)으로서 사용되면, 적합한 에칭제는 Cl2, HBr, BCl3, 불활성 가스(예, 아르곤) 및 이들의 혼합물로 이루어지는 그룹으로부터 선택될 수 있다. 양호하게, 본 발명의 한 실시예에서, 보호층(22a, 22b, 22c, 22d)을 제외하고 보호층(22)을 침식하고 에칭하기 위한 에칭 가스는 약 20 내지 60 부피 % Cl2, 약 20 내지 60 부피 % 의 HBr 및/또는 BCl3, 약 10 내지 30 부피 % 의 불활성 가스 양호하게는 아르곤을 포함한다. 보호층(22a, 22b, 22c, 22d)을 제외하고, 보호층(22)를 침식 및 제거하는 플라즈마 처리 장치(예, 도 3의 플라즈마 처리 장치)에 대한 적합한 반응기 조건은 마스크층(18)((18a, 18b, 18c, 18d)을 제외)의 제거의 상술한 반응기 조건과 동일할 수 있다. 다른 에쳐가 ECR, ICP, 헬리콘 공명 등과 같이 보호층(20)을 제거하는데 사용될 수 있다. 아래에 설명한 바와 같이, 보호층(22a, 22b, 22c, 22d)은 본 발명의 에칭 공정 동안 에칭된 플래티늄 전극층(전체적으로 아래에 "16e"로서 지적함)의 코너(아래에 "16g"로 지적함)을 보호하기 위한 것이다. 확실히 보호층(22a, 22b, 22c, 22d)은 에칭 공정 동안 에칭된 플래티늄 전극층의 코너를 보호할 뿐만 아니라 현존 플래티늄 프로파일을 유지하는데 도움을 주고 /또는 플래티늄 프로파일을 개선한다.
본 발명의 다른 실시예에서, 보호층(22)(보호층(22a, 22b, 22c, 22d) 제외)은 본 발명의 플래티늄-에칭 공정내에서 사용된 에칭 가스와 고온에 의해서 에칭되고 제거될 수 있다. 특히 후술한 바와 같이, 플래티늄 전극층(16)이 고밀도 유도 결합 플라즈마를 포함하는 고밀도 플라즈마 챔버내에서 아래의 공정 조건하에서 양호하게 에칭되며:.
공정 변수
에칭 가스 유동 50 내지 500 sccm
할로겐 가스(예: Cl2) 20 내지 95 부피%
불활성 가스(예: Ar) 5 내지 80 부피%
압력, mTorr 0.1 내지 300 milliTorr
코일 인덕터의 RF 전력(watts) 100 내지 5000 watts
웨이퍼 받침대의 RF 전력(watts) 50 내지 3000 watts
플래티늄 전극층의 온도(℃) 약 150 내지 약 500℃
플래티늄 에칭 속도(Å/분) 200 내지 6000 Å/분
코일 인덕터의 RF 주파수 100K 내지 300MHz
웨이퍼 받침대의 RF 주파수 100K 내지 300MHz
보호층(22)은 동일한 상술 조건하에서 에칭되고 제거될 수 있다. 그러므로, 동일한 장치와 공정 조건은 보호층(22)의 선택된 부분을 에칭하고 제거하고 뿐만 아니라 플래티늄 전극층(16)을 에칭하는데 사용될 수 있다. 본 발명의 다른 양호한 실시예에 대해서 아래에 설명한 바와 같이, 보호층(22)과 플래티늄 전극층(16)은 아래의 공정 조건하에서 고밀도 유도 결합 플라즈마를 포함하는 고밀도 플라즈마 챔버내에서 제각기 제거되고 에칭될 수 있으며:
공정 변수
에칭 가스 유동 50 내지 500 sccm
할로겐 가스(예: Cl2) 10 내지 90 부피%
불활성 가스(예: Ar) 5 내지 80 부피%
HBr 및/또는 BCl34 내지 25 부피%
압력, mTorr 0.1 내지 300 milliTorr
코일 인덕터의 RF 전력(watts) 100 내지 5000 watts
웨이퍼 받침대의 RF 전력(watts) 50 내지 3000 watts
플래티늄 전극층의 온도(℃) 약 150 내지 약 500℃
플래티늄 에칭 속도(Å/분) 200 내지 6000 Å/분
코일 인덕터의 RF 주파수 100K 내지 300MHz
웨이퍼 받침대의 RF 주파수 100K 내지 300MHz
마스크층(18)의 선택된 부분이 플래티늄 전극층(16)의 표면이 노출되도록 그리고 마스크층(18)의 나머지 부분만이 제각기 레지스트 부재(20a, 20b, 20c, 20d)바로 아래에 놓여진 마스크층(18a, 18b, 18c, 18d)이 되도록 마스크층(18)의 선택된 부분이 플래티늄 전극층(16)의 표면으로부터 에칭되어 나간후, 레지스트 부재(20a, 20b, 20c, 20d)는 제거되어진다. 레지스트 부재(20a, 20b, 20c, 20d)는 어느 적당한 시간에, 양호하게 플래티늄 전극층(16)의 에칭전에 그리고 약 150℃ 보다 높은 온도로 반도체 기판(12)을 가열하기 전에 제거될 것이다. 이와 동일한 내용은 이하의 점에서 도 2, 도 6 및 도 8에 도시한 본 발명의 실시예에 대해서 들어맞으며, 즉, 플래티늄 전극층(16)의 표면이 노출되도록 그리고 보호층(22)의 나머지 부분만이 제각기 레지스트 부재(20a, 20b, 20c, 20d) 바로 아래에 놓여진 마스크층(18a, 18b, 18c, 18d)이 되도록 마스크층(18)의 선택된 부분이 플래티늄 전극층(16)의 표면으로부터 에칭되어 나간후, 레지스트 부재(20a, 20b, 20c, 20d)는 제거되어진다. 그러나, 본 발명의 실시예에 대해서, 레지스트 부재(20a, 20b, 20c, 20d)는 보호층(22)의 선택된 부분이 에칭되어 나가기전에 제거될 수 있다. 그 대신에, 레지스트 부재(20a, 20b, 20c, 20d)가 보호층(22)의 선택된 부분의 제거 후( 또는 동시에) 그리고 플래티늄 전극층(16)의 에칭을 목적으로 약 150℃ 보다 높은 온도로 반도체 기판(12)을 가열하기 전에 제거될 수 있다. 통상적으로, 레지스트 부재(20a, 20b, 20c, 20d)의 적어도 일부분은 보호층(22)의 선택된 부분이 보호층(22a, 22b, 22c, 22d)에 의해 포개놓이지 않은 플래티늄 전극층(16)을 노출하도록 에칭되어질 때 제거되어지곤 한다.
레지스트 부재(20a, 20b, 20c, 20d)는 어느 적당한 방법, 예를 들어 이 기술분야의 숙련된 자에 잘 알려진 산소 플라즈마 애싱(oxygen plasma ashing)을 사용함으로써 제거될 수 있다. 레지스트 부재(20a, 20b, 20c, 20d)는 어느 적합한 플라즈마 처리 장치, 예를 들어 도 3에 도시한 플라즈마 처리 장치로 그리고 산소를 포함하는 에칭 가스를 함유하는 플라즈마를 사용해서 마스크층(18a, 18b, 18c, 18d)으로부터 제각기 박리(剝離)될 수 있다. 레지스트 부재(20a, 20b, 20c, 20d)는 미국 캘리포니아 95054-3299, 산타 클라라, 3050 보워스 에비뉴에 소재하는 Applied Material Inc의 상표명 metal etch MxP Centura으로 판매되는 플라즈마 처리 장치의 ASP(advanced strip passivation) 챔버내에서 마스크층(18a, 18b, 18c, 18d)으로부터 제각기 제어되어진다. 마스크층(18a, 18b, 18c, 18d)으로부터 제각기 레지스트 부재(20a, 20b, 20c, 20d)를 박리함에 있어서, ASP 챔버는 다음의 조건을 가진 마이크로파 다운스트림 O2/N2플라즈마를 사용할 수 있다: 120초, 250℃, 1400W, 3000cc O2, 300cc N2및 2Torr.
플래티늄 전극층(16)이 도 7 및 도 8에 도시한 바와 같이 노출되어진 후, 플래티늄 프로파일로 서브마이크론 패턴을 개발하도록 에칭된다. 아래에 설명된 바와 같이, 플래티늄 전극층(16)이 에칭되기 전, 플래티늄 전극층(16)을 지지하는 반도체 기판(12)은 약 150℃, 양호하게 약 150℃ 이상 500℃까지, 보다 양호하게 약 200℃ 내지 약 400℃, 가장 양호하게 250℃ 내지 350℃로 가열된다. 반도체 기판(12)은 플래티늄 에칭 공정 동안 웨이퍼(10)을 지지하는 받침대에 의해 가열된다.
플래티늄 전극층(16)은 어느 적합한 플라즈마 처리 장치, 예, 미국 캘리포니아 95054-3299, 산타 클라라, 3050 보워스 에비뉴에 소재하는 Applied Material Inc 소유의 상표명 AME8100TM, 또는 상표명 Precision Etch 500TM, 또는 상표명 Precision Etch 8300TM로 판매되는 반응성 이온 에칭(RIE) 플라즈마 처리 장치내에 에칭될 수 있다. 플래티늄 전극층(16)을 에칭하기 위한 다른 적합한 플라즈마 처리 장치는 Applied Material Inc 소유의 상표명 Metal Etch DPS CenturaTM하에서 판매되는 플라즈마 처리 장치이다. 또한, 다른 플라즈마 에쳐, 예 ECR, ICP, 헬리콘 공명 등을 사용될 수 있음을 이해할 수 있을 것이다.
대부분의 상술한 적합한 플라즈마 처리 장치는 유전체 부재를 사용한다. 본 발명의 양호한 실시예에서, 이후에 설명하게 될 바와 같이, 어느 공정-부산물 증착체의 전기 도전성을 감소시키기 위해서, 유전 부재는 플라즈마 에칭 동안 플래티늄 부산물이 형성하는 곳에서 증착-수용면(deposit-receiving surface)으로서 기능하는 내측면을 가진다. 유전 부재의 내측 증착-수용면은 약 1000Å이상의 평균 높이 값; 보다 양호하게 약 1800Å 이상, 예를 들어 약 1800Å 내지 약 4000Å 범위의 평균 높이 값; 가장 양호하게 약 4000Å 이상, 예를 들어 약 4000Å 내지 약 8000Å 범위의 평균 높이 값을 가진 피트-대-벨리 조도(粗度) 높이를 가진 표면 피니쉬(surface finish)를 포함한다. 조도(roughness)는 상당히 미세하게 이격된 표면 불규칙성으로서 정의될 수 있다. 가공 및 마모 작업에 의해 생성된 표면상에, 마찰 그레인과 툴 에지의 절단 작동에 의해서 생성된 불규칙성과 기계 툴의 공급에 의해 생성된 불규칙성이 조도이다. 조도 편차는 공칭면(NS)(도 26참조)에 수직으로측정된다. 도 26에 도시한 바와 같이, 조도 높이(RH)는 피크(P) 대 벨리(V)로부터 측정된다. 도 26에 잘 도시한 바와 같이, 공칭면(NS)은 피크(P)와 벨리(V)가 수평으로 되는 경우의 표면이다. 본 발명에서, 조도 높이(RH)(때때로 종래 기술에서 RA로 칭함) 값은 표면의 조도를 측정하기 위한 적합한 기구로 얻어진 유전 부재의 증착-수용면상의 모든 RH값의 수학적 평균을 계산하여서 얻은 평균 높이 값이다. 증착-수용면상의 평균 RH를 측정하기 위한 적합한 기구는 모델 번호 제 PZ-O6-SC-SF하에서 미국 애리조나 툭선소재의 WYKO 코포레이션으로부터 상업적으로 얻을 수 있으며, 이 모델은 부드러운 표면을 측정하기 위한 페이스-시프팅 간섭계(PSI)와 거친 표면 및 스텝을 측정하기 위한 수직 스캔팅 간섭계(VSI)를 사용하는 비접촉 광학 표면 프로파일러이다. 증착-수용면상의 평균 RH를 계산정하기 위한 적합한 절차는 WYKO 코포레이션에 의해 발행된, 기술 매뉴얼의 명칭이 WYKO, Surface Profilers Technical Reference Manual에 상세히 설명되어 있으며, 이는 여기서 참고로 사용된다. 바람직한 평균 조도 높이값을 얻도록 증착-수용면을 마무리하기 위한 양호한 절차는 36-그리드 알루미나로 비드 블래스팅(bead blasting)하는 단계를 포함한다.
상술한 바와 같이 그리고 본 발명에 따라서, 바람직하게, 집적 회로(IC) 금속 상호접속 장치를 형상화시키는 플라즈마 에칭에 의해 플라즈마 프로세싱 챔버 내부에서 반도체 기판(12)과 같은 웨이퍼(10)가 처리된다. 플라즈마 에칭은 바람직한 플라즈마 공정 중 하나이며, 이러한 플라즈마 공정은, 1000Å 이상의 평균 높이값을 가지는 피크 대 밸리(peak-to-valley) 조도 높이를 구비하는 표면 피니쉬(surface finish)을 가지는 내부면(즉, 증착 수용면)을 포함하는 유전 부재(또는 윈도우)를 구비하는 한편, 본 발명의 실시예의 사상 및 범주은 화학 기상 증착 및 물리 기상 증착과 같은 다른 형태의 프로세싱 기판을 포함한다. 또한 상술된 바와 같이, 웨이퍼(10)를 플라즈마 프로세싱하는 동안, 프로세싱 전력(즉, RF 전력, 마그네트론 피워, 마이크로웨이브 전력 등)는 유전 부재를 통과하며, 이러한 유전 부재는 세라믹 돔(ceramic dome)과 같은 비전도성 재료의 유전 윈도우를 포함하며, 프로세싱 가스의 플라즈마에 연결된다. 플라즈마 공정이 플라즈마 에칭이라면, 기판에 의해 지지되는 동안, 금속(즉, 플래티늄, 구리, 알루미늄, 티타늄, 루테늄, 이리듐 등)의 금속 에칭이 실행된다. 또한, 여기에 전체가 참조로서 첨부된, 공동 계류중인 미국특허 제 08/920, 283 호(출원일 : 1997. 8. 26)에 개시된 바와 같이, 플라즈마 공정 동안, 유전 부재의 내부면상에 재료의 증착이 발생한다. 이러한 증착은 플라즈마와 전력 공급원 사이에 위치한다.
본 발명의 실시예를 위한 플라즈마 공정이 플라즈마 에칭인 경우, 증착은 기판 상에 금속층을 에칭함으로써 생성되며, 이러한 증착은 전도성이 있으며, 실례를 통해서만, 금속, 금속 산화물, 금속 질화물 등을 포함한다. 이러한 금속은 프로세스 챔버 내부에서 에칭되는 금속과 대응하며, 이러한 금속은 실례를 통해서만, 플래티늄, 구리, 알루미늄, 티타늄, 루테늄, 이리듐 등을 포함한다. 증착이 전기 전도성이 있으며 플라즈마와 전력 공급원 사이에 위치하는 경우, 전기 전도성 증착은약 0.001 인치 내지 0.5 인치와 같이, 일정한 두께(즉, 스킨 깊이)에 도달할 때 까지 프로세싱 전력 전송의 붕괴가 발생하여 계속되며, 이후 프로세싱 전력 전송은 매우 낮아지거나 소멸하게 된다. 따라서, 증착은 패러데이 차폐(Faraday shield)로서 행동하여, 프로세스 챔버 내부의 프로세싱 가스의 플라즈마 안으로 프로세싱 전력 전송의 효율을 감소시킨다. 유전 부재를 통과하여 프로세스 챔버 안으로의 프로세싱 전력 전송이 감소하기 시작하는 경우, 기판에 의해 지지되는 금속층의 프로세싱(즉, 에칭 속도)도 감소하기 시작한다. 유전 부재를 통하여 프로세스 챔버 안으로의 일반적으로 보다 안정된 프로세싱 전력 전송을 유지하여, 기판에 의해 지지된 금속층의 안정된 프로세싱(즉, 금속층상의 에칭 속도)을 위한 시간을 유지 및 연장하기 위해, 유전 부재의 내부 증착 수용 표면은, 앞서 구체적으로 기술하였지만, 약 1000Å 이상의 평균 높이값을 가지는 피크 대 밸리 조도 높이를 구비하는 표면 피니쉬를 포함한다. 유전 부재 또는 실링(ceiling)상에 이러한 표면 피니쉬를 사용함으로써, 플라즈마 공정으로부터 부산물(by-product)을 수용하기 위해 보다 큰 표면적이 제공되며, 이러한 표면적은 부산물의 주어진 체적의 두께 또는 스킨 깊이를 감소시키게 한다. 부산물 증착의 임의의 주어진 체적에 대해, 부산물 증착을 지지하는 표면적이 작을 수록, 스킨 깊이도 두꺼워 지거나 그 이상으로 두꺼워지며, 그 반대도 마찬가지이다. 플라즈마 공정으로부터의 부산물의 주어진 체적의 두께 또는 스킨 깊이가 증가함에 따라, 부산물 증착은 보다 전기 전도성을 가지게 된다.
플래티늄 전극층(16)을 에칭하기 위한 적합한 플라즈마 프로세싱 장치는 에칭 가스의 플라즈마를 사용하며, 이러한 에칭 가스의 플라즈마는 양호한 플래티늄 프로파일(예컨대, 약 85도 이상, 바람직하게는 약 87도 이상의 플래티늄 프로파일, 보다 바람직하게는 88.5도 이상)을 생성시킬 수 있다. 에칭 가스는 광범위하게 할로겐 가스(예컨대, 불소, 염소, 브롬, 옥소 및 아스타틴)와 같은 할로겐 함유 가스와, 헬륨, 네온, 아르곤, 크립톤, 크세논 및 라돈과 같은 불활성 가스를 포함한다. 바람직하게, 에칭 가스는 할로겐(바람직하게 염소)과, 헬륨, 네온 및 아르곤으로 이루어지는 그룹에서 선택된 불활성 가스를 포함하거나, 이들로 이루어지거나, 또는 본질적으로 이들로 이루어진다. 불활성 가스는 아르곤인 것이 바람직하다. 보다 구체적으로 에칭 가스는, 바람직하게, 전체부피의 약 20% 내지 약 95부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 5% 내지 약 80부피%의 불활성 가스(즉, 아르곤), 보다 바람직하게, 전체부피의 약 40% 내지 약 80부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 20% 내지 약 60부피%의 불활성 가스(즉, 아르곤), 가장 바람직하게, 전체부피의 약 55% 내지 약 65부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 35% 내지 약 45부피%의 불활성 가스(즉, 아르곤)을 포함한다.
본 발명의 다른 바람직한 실시예에서, 에칭 가스는 할로겐(즉, 염소)과, 불활성 가스(즉, 아르곤)와, 그리고 HBr, BCl3및 이들의 혼합물로 이루어지는 그룹에서 선택된 가스를 포함하며, 바람직하게는 이들로 이루어거나, 본질적으로 이들로 이루어진다. 보다 구체적으로, 에칭 가스는 전체부피의 약 10% 내지 약 90부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 5% 내지 약 80부피%의 불활성 가스(즉, 아르곤)와 전체부피의 약 4% 내지 25부피%의 HBr 및/또는 BCl3, 바람직하게, 전체부피의 약 40% 내지 약 70부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 25% 내지 약 55부피%의 불활성 가스(즉, 아르곤)와 전체부피의 약 5% 내지 20%의 체적의 HBr 및/또는 BCl3, 보다 바람직하게, 전체부피의 약 50% 내지 약 60부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 35% 내지 약 45부피%의 불활성 가스(즉, 아르곤)와 전체부피의 약 5% 내지 15부피%의 HBr 및/또는 BCl3를 포함하며, 바람직하게는 이들로 이루어거나, 이들로 본질적으로 이루어진다. 에칭 가스 유량은 약 50 내지 약 500 sccm의 범위에 있다. 플래티늄 전극층(16)이 에칭되는 동안, HBr 및/또는 BCl3이 플래티늄 잔여물을 제거한다. 플라즈마 함유 아르곤은 높은 활성화 이온 농도를 가지며 물리적 스퍼터링(physical sputtering)에 종종 사용된다. 이러한 이온으로 인한 스퍼터링 효과는 플라즈마와 샘플 사이에 존재하는 가속 포텐셜의 기능이다.
본 발명의 다른 바람직한 실시예에서, 에칭 가스는 광범위하게 질소, 할로겐(예컨대, 불소, 염소, 브롬, 옥소 및 아스타틴)과, 헬륨, 네온, 아르곤, 크립톤, 크세논 및 라돈과 같은 불활성 가스를 포함한다. 바람직하게, 에칭 가스는 질소와, 할로겐(바람직하게 염소)과, 그리고 헬륨, 네온 및 아르곤으로 이루어지는 그룹으로부터 선택된 불활성 가스를 포함하거나, 이들로 이루어지거나 본질적으로 이들로 이루어진다. 불활성 가스는 아르곤인 것이 바람직하다. 보다 구체적으로 에칭 가스는, 바람직하게, 전체부피의 약 0.1% 내지 약 60부피%의 질소와 전체부피의 약 40% 내지 약 90부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 0.1% 내지 40부피%의 불활성 가스(즉, 아르곤), 보다 바람직하게, 전체부피의 약 5% 내지 약 40부피%의 질소와 전체부피의 약 50% 내지 약 80부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 5% 내지 약 30부피%의 불활성 가스(즉, 아르곤), 가장 바람직하게, 전체부피의 약 10% 내지 약 30부피%의 질소와 전체부피의 약 60% 내지 약 70부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 10% 내지 약 20부피%의 불활성 가스(즉, 아르곤)를 포함하거나, 이들로 이루어거나, 이들로 본질적으로 이루어진다. 본 발명의 이러한 실시예에 대해, 에칭 가스의 플라즈마는 약 1011/cm3미만, 바람직하게 약 109/cm3미만의 밀도를 가지는 고밀도 플라즈마 또는 저밀도 플라즈마일 수도 있다.
본 발명의 또 다른 실시예에서, 에칭 가스는 질소와, 할로겐(즉, 염소)과, 불활성 가스(즉, 아르곤)와, 그리고 HBr, BCl3및 SiCl4및 이들의 혼합물로 이루어지는 그룹에서 선택된 가스를 포함하며, 바람직하게 이들로 이루어지거나 본질적으로 이들로 이루어진다. 보다 구체적으로 에칭 가스는, 전체부피의 약 0.1% 내지 약 60부피%의 질소와 전체부피의 약 40% 내지 약 90부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 0.1% 내지 40부피%의 불활성 가스(즉, 아르곤)와 전체부피의 1% 내지 30부피%의 HBr 및/또는 BCl3및/또는 SiCl4, 바람직하게, 전체부피의 약 5% 내지 약 40부피%의 질소와 전체부피의 약 50% 내지 약 80부피%의 할로겐 가스(즉,염소)와 전체부피의 약 5% 내지 약 30부피%의 불활성 가스(즉, 아르곤)와 전체부피의 5% 내지 20부피%의 HBr 및/또는 BCl3및/또는 SiCl4, 보다 바람직하게, 전체부피의 약 10% 내지 약 30부피%의 질소와 전체부피의 약 60% 내지 약 70부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 10% 내지 약 20부피%의 불활성 가스(즉, 아르곤)와 전체부피의 1% 내지 10부피%의 HBr 및/또는 BCl3및/또는 SiCl4를 포함하거나, 이들로 이루어거나, 이들로 본질적으로 이루어진다. 본 발명의 이러한 실시예에 대해, 에칭 가스의 플라즈마는 약 1011/cm3미만, 바람직하게 약 109/cm3미만의 밀도를 가지는 고밀도 플라즈마 또는 저밀도 플라즈마일 수도 있다.
대안으로, 에칭 가스는 질소 및 할로겐 가스(바람직하게 염소)를 포함하거나 이들로 이루어지거나 본질적으로 이들로 이루어진다. 보다 구체적으로 에칭 가스는, 바람직하게, 전체부피의 약 10% 내지 약 90부피%의 질소와 전체부피의 약 10% 내지 약 90부피%의 할로겐 가스(염소), 보다 바람직하게, 전체부피의 약 20% 내지 약 60부피%의 질소와 전체부피의 약 40% 내지 약 80부피%의 할로겐 가스(즉, 염소), 가장 바람직하게, 전체부피의 약 30% 내지 약 40부피%의 질소와 전체부피의 약 60% 내지 약 70부피%의 할로겐 가스(즉, 아르곤)를 포함한다. 본 발명의 이러한 실시예에 대해, 에칭 가스의 플라즈마는 약 1011/cm3미만, 바람직하게 약 109/cm3미만의 밀도를 가지는 고밀도 플라즈마 또는 저밀도 플라즈마일 수도 있다.
플래티늄 전극층(16)을 에칭할 때, 도 3의 플라즈마 프로세싱 장치와 같이적합한 플라즈마 프로세싱 장치용 반응기 요건은 다음과 같다.
압력 0.1 ~ 300 mTorr
RF 전력 100-5000 watts
RF 주파수 100K - 300MHz
회전식 자기장 20 ~ 100 가우스(Gauss)
웨이퍼의 온도 약 150 - 약 500℃
플래티늄 층(16) 에칭 속도 200-6000 Å/분
마스크층(18)에 대해 사용된 재료에 따라, 플래티늄 전극층(16) 대 마스크(mask: 18)의 감도(selectivity)는 바람직하게 1:1, 보다 바람직하게 2:1이상이다.
보다 전체적으로, 도 3의 플라즈마 프로세싱 장치와 같이 적합한 플라즈마 프로세싱 장치에서 플래티늄 전극층(16)을 에칭하기 위한 공정 변수는 다음의 표 4에 표기된 바와 같은 범위에 설정되며, 아래 표 4에 표기된 바와 같은 에칭 가스의 유량에 기초한다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccm 에칭 가스압력, mT13.56MHzRF 전력(Watts)웨이퍼의 온도(℃)자기장(Gauss) 35 - 90020 - 200050 - 3000150 - 5000 - 140 75 - 35030 - 300500 - 2000200 - 40020 - 100 100 - 20050 - 150700 - 1200250 - 35060 - 80
본 발명의 바람직한 일실시예에 대해 상기한 바와 같이, 플래티늄 전극층(16)을 에칭하기 위한 보다 바람직한 에칭 가스는 염소 및 아르곤의 혼합물, 또는 염소, 아르곤 및 HBr 및/또는 BCl3의 혼합물이다. 에칭 가스가 염소 및 아르곤의 혼합물(즉, 전체부피의 약 20% 내지 약 95부피%의 염소와 전체부피의 약 5% 내지 약 80부피%의 아르곤), 또는 염소, 아르곤 및 BCl3의 혼합물(즉, 전체부피의 약 10% 내지 약 90부피%의 염소와 전체부피의 약 5% 내지 약 80%의 아르곤과 전체부피의 약 4% 내지 약 25부피%의 BCl3)이라면, 그리고 반도체 기판(12)이 150℃이상의 온도, 바람직하게 약 150℃ 내지 약 500℃ 범위의 온도로 가열된다면, 플래티늄 전극층(16)을 에칭하기 위한 플라즈마 프로세싱 장치는 높은 플래티늄 에칭 속도(1000Å/분 이상의 에칭 속도)에서 에칭 가스의 고밀도 플라즈마의 플래티늄 전극층(16)을 에칭하여, 전체적으로 도면부호 16e로 도시된(도 9 및 도 10에 가장 잘 도시된 바와 같이) 에칭된 플래티늄 전극층을 발생시킨다. 이러한 에칭된 플래티늄 전극층(16e)은 코너(16g)와 측벽(16s)과 우수한 플래티늄 프로파일을 구비하는, 에칭된 플래티늄 전극층(16a, 16b, 16c, 16d)을 포함한다. 즉, 플래티늄 프로파일에서, 수평면에 대한 측벽(16s)(도 9 및 도 10에 가장 잘 도시된 바와 같이)의 각도(∝)는 85도 이상, 바람직하게 약 87도 이상, 보다 바람직하게 약 88.5도 이상과 같이, 약 80도 이상이다. 플래티늄 전극은 약 0.35㎛ 이하, 바람직하게 0.3㎛ 이하의 치수를 가지는 거리 또는 공간으로 분리된다. 플래티늄 전극 각각은 약 1.0㎛ 이하, 바람직하게 약 0.6㎛ 이하, 바람직하게 약 0.35㎛ 이하, 바람직하게약 0.3㎛ 이하의 값을 가지는 치수를 포함한다. 보다 바람직하게, 플래티늄 전극의 각각은 약 1.0㎛ 이하, 바람직하게 약 0.6㎛ 이하의 폭과, 0.6㎛ 이하의 높이를 가진다.
에칭된 플래티늄 전극층(16e)(즉, 에칭된 플래티늄 전극층(16a, 16b, 16c, 16d)이 플래티늄 영역의 엣지로부터 위로 연장하는 벽형상 구조물을 본질적으로 구비하지 않는다는 것이 또한 발견되었다. 이들 벽형상 구조물을 종종 "베일(veils)" 또는 "펜스(fences)" 또는 "래빗 이어(rabbit ears)"라고 한다. 따라서, 본 발명의 방법은 본질적으로 베일이 없는 에칭된 플래티늄 전극층(16a, 16b, 16c, 16d)을 생성시킨다. 생성된 에칭된 플래티늄 전극층(16a, 16b, 16c, 16d)이 본질적으로 베일이 없으며 펜스 또는 래빗 이어를 구비하지 않기 때문에, 이들 전극층(16a, 16b, 16c, 16d)은 유전체 BST 또는 PZT 또는 SBT 층을 수용하여 반도체 장치(즉, 커패시턴스 구조물)에서 전극으로서 기능하기에 이상적으로 적합하다.
본 발명의 고밀도 플라즈마는 약 109/cm3이상, 바람직하게 약 1011/cm3이상의 이온 밀도를 가지는 본 발명의 에칭 가스의 플라즈마로 형성될 수도 있다. 고밀도 플라즈마의 공급원은, 전자 싸이클로트론 공명(electron cyclotron resonance; ECR), 헬리콘 공명 또는 유도 결합 플라즈마(ICP)형 공급원과 같은 임의의 적합한 고밀도 공급원일 수도 있다. 이들 세가지 모두는 현재 제조 장비상에서 이용되고 있다. 이들의 주요 차이점은, ECR과 헬리콘 공급원이 외부 자기장을채용하여 플라즈마를 형성하여 포함하는 한편, ICP 공급원은 그렇지 않다는 것이다.
보다 바람직하게, 본 발명에 이용되는 고밀도 플라즈마는 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)가 소유한 상표명 "DPSTM"으로 시판하는 것과 같이, 디커플링 플라즈마 공급원 에칭 챔버에서 유도 결합 플라즈마에 의해 생성되거나 제공되며, 이러한 시판 제품은 웨이퍼(10)에 대한 이온 플럭스와 이온 가속도 에너지를 단락 또는 분리시킨다. 에칭 챔버의 구성은 확대된 프로세스 윈도우의 이온 밀도의 완전한 독립 제어를 제공한다. 이것은 유도 공급원을 통하여 플라즈마를 생성시킴으로써 실행된다. 에칭 챔버 내부의 음극이 RF 전기장에 의해 바이어스화되어 이온 가속도 에너지를 결정하는 한편, 제 2 RF 공급원(즉, 유도 공급원)은 이온 플러스를 결정한다. 음극 바이어스를 방해하고 효과적으로 이온 에너지와 이온 플럭스를 연결시키면서 대용량 시이쓰 전압(large sheath voltage)이 발생되므로, 이러한 제 2 RF 공급원은 정전성이 없다(즉, 음극과 같은 전기장을 이용하지 않는다).
유도 플라즈마 공급원은 전극보다 유전 윈도우를 통하여 RF 전력을 연결시킨다. 이러한 전력은 코일내의 RF 전류로부터 RF 자기장(전기장이 아님)을 통하여 연결된다. 이들 RF 자기장은 플라즈마 안으로 관통하여 플라즈마를 이온화시키고 유지시키는 RF 전기장(따라서, "유도 공급원"이라 한다)을 유도한다. 유도된 전기장은 정전 전극과 같은 대용량 외피 전압을 발생시키지 않으며, 따라서, 유도 공급원이 압도적으로 이온 플럭스에 영향을 미친다. 대부분의 RF 전력(전형적으로 공급원 전력 미만 크기의 정도)이 이온을 가속화시키는데 이용되기 때문에, 음극 바이어스 전력은 이온 플럭스를 결정할 때 작은 부분으로 작용한다. 유도 플라즈마 공급원과 정전형 웨이퍼 바이어스의 결합은 "DPSTM" 상표명의 에칭 챔버와 같은 에칭 챔버에서 웨이퍼(10)에 도달하는 이온 플럭스와 이온 에너지의 독립적 제어가 가능하게 한다.
에칭된 플래티늄 전극층(16a, 16b, 16c, 16d)을 생성시키도록 플래티늄 전극층(16)을 에칭시키기 위한 본 발명의 고밀도 플라즈마를 발생시키기 위한 "DPSTM" 상표의 에칭 챔버는 미국특허 제 5,753,044 호(1998. 5. 19 특허등록, 발명의 명칭, "하이브리드 컨덕터 및 다중 반경 돔 실링을 갖춘 RF 플라즈마 반응기(RF PLASMA REACTOR WITH HYBRID CONDUCTOR AND MULTI-RADIUS DOME CEILING)")에 개시된 유도 결합 플라즈마 반응기의 임의의 "DPSTM" 상표의 에칭 챔버일 수도 있으며, 상기 미국특허는 본 발명의 양수인에게 양도되었으며, 이후에 축어적으로 반복되듯이 참조로서 여기에 전체가 첨부되어 있다. 미국특허 제 5,753,044 호에서 유도 결합 플라즈마 반응기의 2개의 실시예에 대해 도 17 및 도 18을 참조하면, 도면 부호 92로 나타낸 반응기 챔버를 구비하는 도면 부호 90의 유도 결합 RF 플라즈마 반응기가 도시되어 있는데, 여기에는 중성(n) 입자, 양성(+) 입자 및 음성(-) 입자가 있다. 반응기 챔버(92)는 접지된 전도성 원통형 측벽(60)과 유전 실링(62)를 구비하는데, 이러한 접지된 전도성 측벽(60) 및 유전 실링(62)은 웨이퍼(10)의 플라즈마 프로세싱으로부터의 부산물의 증착을 수용하게 되는 내부 오목면(62a)을 구비한다. 유도 결합 RF 플라즈마 반응기(90)는, 챔버(92)의 중앙에서 (반도체)웨이퍼(10)를 지지하기 위한 웨이퍼 받침대(64)과, 웨이퍼(10) 또는 웨이퍼 받침대(64)의 정상 평면 근처에서 시작하여 챔버(92)의 정상을 향하여 상방으로 연장되어 챔버(92)의 상부면을 둘러싸는 원통형 인덕터 코일(68)과, 챔버(92)의 내부 안으로 에칭 가스를 공급하기 위한 에칭 가스 공급원(72) 및 가스 입구(74)와, 그리고 챔버(92)내의 압력을 제어하기 위한 펌프(76)를 더 포함한다. 코일 인덕터(68)는 종래의 능동 RF 매치 네트워크(active RF match network)(80)를 통하여 플라즈마 공급원 전력원 또는 RF 발전기(78)에 의해 에너지화되며, 코일 인덕터(68)의 정상 권선(top winding)이 "전기 공급(hot)"되며, 바닥 권선이 접지된다. 웨이퍼 받침대(64)는 내부 전도성 부분(82) 및 외부 접지 전도체(86)(내부 전도성 부분(82)으로부터 절연된)를 포함하는데, 내부 전도성 부분(82)은 바이어스 RF 전력원 또는 발전기(84)에 연결되어 있다. 따라서, RF 발전기(78)에 의해 코일 인덕터(68)에 인가된 플라즈마 공급원 전력과, 발전기(84)에 의해 웨이퍼 받침대(64)에 인가된 DC 바이어스 RF 전력은 개별적으로 RF 전력원에 의해 제어된다. 바이어스 및 공급원 전력원를 분리함으로써, 공지된 기술에 따라 이온 밀도 및 이온 에너지의 개별적 제어가 용이하다. 유도 결합 플라즈마로서 고밀도 플라즈마(94)를 발생시키기 위해, 코일 인덕터(68)가 챔버(92)에 인접하여, RF 공급원 전력원 또는 RF 발전기(78)에 연결되어 있다. 코일 인덕터(68)는 고밀도 플라즈마(94)의 높은 이온 밀도를 발화시켜 유지시키는 RF 전력을 제공한다. 코일인덕터(68)의 형상은 대개 반응기 챔버(92) 내부에서 고밀도 플라즈마(94)의 플라즈마 이온 밀도의 공간적 분포를 결정할 수 있다.
웨이퍼(10)에 걸쳐 고밀도 플라즈마(94)의 플라즈마 밀도 공간 분포의 균일성은, 다중 반경(multi-radius) 돔의 실링(62)을 형상화하여 이러한 실링(62)의 다중 반경 각각을 개별적으로 결정하여 조절함으로써(원추형 또는 반구형 실링에 비해) 향상된다. 도 17의 특정 실시예의 다중 반경 돔 형상은 실링(62)의 중심 부분 둘레에 실링(62)의 곡률을 다소 평탄화시키며, 실링(62)의 둘레 부분은 보다 가파른 곡률을 가진다.
도 18에 도시된 바와 같이, 코일 인덕터(68)는 당업자에게 공지된 미러(mirror) 코일 구성의 RF 전력 공급원(78,80)에 연결될 수도 있다. 도 18의 미러 코일 구성에서, RF 공급원(78,80)이 코일 인덕터(68)의 중앙 권선에 연결되는 한편, 코일 인덕터(68)의 정상 및 바닥 단부가 모두 접지되어 있다. 미러 코일 구성은 코일 인덕터(68)상에서 최대 포텐셜을 감소시키는 이점을 가진다.
플래티늄 전극층(16)을 에칭하기 위해 도 17 및 도 18에 도시된 고밀도 플라즈마(94)와 같은 고밀도 플라즈마를 사용함으로써, 그리고 아래 기술되는 공정 변수 하에서 에칭 작업을 실행하기 전에 약 150℃ 이상의 온도로 반도체 기판(12)을 가열시킴으로써, 반도체 장치는 약 85도 이상, 보다 바람직하게 약 87도 이상, 가장 바람직하게 88.5도 이상의 각도값을 가지는 플래티늄 프로파일을 구비하는 플래티늄 전극에 의해 제조된다. 플래티늄 전극은 본질적으로 베일이 없는데, 즉 "펜스" 또는 "래빗 이어"를 가지지 않는다. 플래티늄 전극은 약 0.35㎛ 이하, 바람직하게 약 0.3㎛ 이하의 크기를 가지는 거리 또는 공간에 의해 분리되는 것이 바람직하다. 플래티늄 전극의 각각은 약 1.0㎛ 이하, 바람직하게 약 0.6㎛ 이하, 보다 바람직하게 약 0.35㎛ 이하, 가장 바람직하게 0.3㎛ 이하의 값을 가지는 크기를 포함한다. 보다 바람직하게, 플래티늄 전극의 각각은 약 0.35㎛ 이하, 바람직하게 약 0.3㎛ 이하의 폭과, 약 1.0㎛ 이하, 바람직하게 약 0.6㎛ 이하의 길이와, 그리고 약 0.6㎛ 이하의 높이를 가진다.
플래티늄 전극층(16)을 에칭할 때, 도 17 및 도 18의 유도 결합 플라즈마 반응기(90)와 같은, 적합한 유도 결합 RF 플라즈마 반응기에 대한 바람직한 반응기 요건은 다음과 같다.
압력 0.1 내지 300 mTorr
코일 인덕터에 대한 RF 전력 100 내지 5000 watts
웨이퍼 받침대에 대한 RF 전력 50 내지 3000 watts
코일 인덕터의 RF 주파수 100K 내지 300 MHz
웨이퍼 받침대의 RF 주파수 100K 내지 300 MHz
웨이퍼의 온도 150 내지 500℃
플래티늄 에칭 속도 200 내지 6000 Å/분
보다 일반적으로, 도 17 및 도 18의 유도 결합 플라즈마 반응기(90)와 같은, 적합한 유도 결합 플라즈마 반응기에서 플래티늄 전극층(16)을 에칭하기 위한 공정 변수는 가스의 유량에 근거하여 기술된 바와 같은 범위에 해당되며, 아래 표 5에기술된 바와 같이, 할로겐 가스(들)(즉, Cl2)과 불활성 가스(들)(즉, 아르곤)를 포함한다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccm Cl2Ar압력, mT코일 인덕터의RF 전력(Watts)웨이퍼 받침대의RF 전력(Watts)웨이퍼의 온도(℃)플래티늄 에칭 속도코일 인덕터의RF 주파수웨이퍼 받침대의 RF 주파수 30 - 40020 - 3000.1 - 300100 - 500050 - 3000약 150 - 약 500200 - 6000100K - 300MHz100K - 300MHz 50 - 25030 - 20010 - 100650 - 2000100 - 1000200 - 400500 - 3000400K - 20MHz400K - 20MHz 60 - 15040 - 10010 - 40900 - 1500150 - 400250 - 3501000 - 20002 - 13.5MHz400K - 13.5MHz
또한 보다 일반적으로, 그리고 에칭 가스가 할로겐 가스(들)(즉, 염소), 불활성 가스(들)(즉, 아르곤), 및 HBr 및/또는 BCl3의 혼합물인 경우, 도 17 및 도 18의 유도 결합 플라즈마 반응기(90)와 같은, 적합한 유도 결합 플라즈마 반응기에서 플래티늄 전극층(16)을 에칭하기 위한 공정 변수는 가스의 유량에 근거하여 작성된 바와 같은 범위에 해당하며, 아래 표 6에 작성된 바와 같이, 할로겐 가스(들)(즉, Cl2)와 불활성 가스(들)(즉, Ar)와 HBr 및/또는 BCl3를 포함한다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccm Cl2ArHBr 및/또는 BCl3압력, mT코일 인덕터의RF 전력(Watts)웨이퍼 받침대의RF 전력(Watts)웨이퍼의 온도(℃)플래티늄 에칭 속도(Å/분)코일 인덕터의RF 주파수웨이퍼 받침대의 RF 주파수 30 - 40020 - 3005 - 700.1 - 300100 - 500050 - 3000약 150 - 약 500200 - 6000100K - 300MHz100K - 300MHz 50 - 25030 - 2005 - 4010 - 100650 - 2000100 - 1000200 - 400500 - 3000400K - 20MHz400K - 20MHz 60 - 15040 - 1005 - 2010 - 40750 - 1000150 - 400250 - 3501000 - 20002 - 13.5MHz400K - 13.5MHz
따라서, 상기한 공정 조건은 약 50 내지 약 500 sccm 범위의 유량값을 가지는 에칭 가스의 유량에 근거하는 것이 바람직하다. 당업자는 여기에 기술된 다른 공정 변수 뿐만 아니라 표 5 및 표 6의 공정 변수가 웨이퍼(10)의 크기에 따라 변경될 수도 있음을 이해할 것이다. 상술한 바와 같이, 에칭 가스는 할로겐(바람직하게 염소)과, 헬륨, 네온 및 아르곤으로 이루어지는 그룹으로부터 선택된 불활성 가스를 포함하거나 이들로 이루어지거나 본질적으로 이들로 이루어진다. 불활성 가스는 아르곤인 것이 바람직하다. 또한 상술한 바와 같이, 보다 구체적으로 에칭 가스는 전체부피의 약 20% 내지 약 95부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 5% 내지 약 80부피%의 불활성 가스(즉, 아르곤), 바람직하게, 용량의 약 40% 내지 약 80부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 20% 내지 약 60부피%의 불활성 가스(즉, 아르곤), 보다 바람직하게, 용량의 약 55% 내지 약 65부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 35% 내지 약 45부피%의 불활성 가스(즉, 아르곤)을 포함하거나 이들로 이루어지거나, 본질적으로 이들로 이루어진다. 본 발명의 바람직한 다른 실시예에서, 그리고 상술한 바와 같이, 에칭 가스는 할로겐(즉, 염소)과, 불활성 가스(즉, 아르곤)와, 그리고 HBr, BCl3및 이들의 혼합물로 이루어지는 그룹에서 선택되는 가스를 포함하며, 이들로 이루어지거나 본질적으로 이들로 이루어지는 것이 바람직하다. 에칭 가스는 보다 구체적으로, 전체부피의 약 10% 내지 약 90부피%의 할로겐 가스(염소)와 전체부피의 약 5% 내지 약 80부피%의 불활성 가스(즉, 아르곤)와 전체부피의 약 4% 내지 약 25부피%의 HBr 및/또는 BCl3, 바람직하게, 전체부피의 약 40% 내지 약 70부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 25% 내지 약 55부피%의 불활성 가스(즉, 아르곤)와 전체부피의 5% 내지 20부피%의 HBr 및/또는 BCl3, 보다 바람직하게, 전체부피의 약 50% 내지 약 60부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 35% 내지 약 45부피%의 불활성 가스(즉, 아르곤)와 전체부피의 5% 내지 15부피%의 HBr 및/또는 BCl3를 포함하거나, 이들로 이루어거나, 이들로 본질적으로 이루어진다. 따라서, 표 5 및 표 6에 기재된 상술한 공정 조건은 이러한 에칭 가스 성분과 전체부피의 이러한 백분율에 근거할 수도 있다.
보다 더 일반적으로, 적합한 유도 결합 플라즈마 반응기에서 플래티늄 전극층(16)을 저밀도(또는 고밀도)플라즈마로 에칭하기 위한 공정 변수는 가스의 유량에 근거하여 작성된 바와 같은 범위에 상응하며, 아래 표 7에 작성된 바와 같이, 질소(N2), 할로겐 가스(들)(즉, Cl2), 및 불활성 가스(들)(즉, 아르곤)를 포함한다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccm N2Cl2Ar압력, mT코일 인덕터의RF 전력(Watts)웨이퍼 받침대의RF 전력(Watts)웨이퍼의 온도(℃)플래티늄 에칭 속도(Å/분)코일 인덕터의RF 주파수웨이퍼 받침대의 RF 주파수 5 - 20030 - 4000 - 2000.1 - 300100 - 500050 - 3000약 150 - 약 500200 - 6000100K - 300MHz100K - 300MHz 10 - 15050 - 30010 - 10010 - 100500 - 3000100 - 1000200 - 400500 - 3000400K - 20MHz400K - 20MHz 10 - 50100 - 20010 - 5010 - 50750 - 1500150 - 400250 - 3501000 - 20002 - 13.5MHz400K - 13.5MHz
반응성 이온 에칭(RIE) 플라즈마 프로세싱 장치가 저밀도 플라즈마의 에칭 가스(들)에서 플래티늄 전극층(16)을 에칭하기 위해 사용된다면, 에칭하기 위한 공정 변수는 가스의 유량에 근거하여 작성된 범위에 상응하며, 아래 표 8에 작성된 바와 같이, 질소(N2), 할로겐 가스(들)(즉, Cl2), 및 불활성 가스(들)(즉, 아르곤)를 포함한다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccm N2Cl2Ar압력, mT코일 인덕터의RF 전력(Watts)웨이퍼의 온도(℃)플래티늄 에칭 속도(Å/분)웨이퍼 받침대의 RF 주파수 5 - 20030 - 4000 - 2000.1 - 2000100 - 5000약 150 - 약 500200 - 6000100K - 300MHz 30 - 20050 - 30010 - 10050 - 400300 - 2500200 - 400500 - 3000400K - 20MHz 60 - 120100 - 20010 - 50100 - 200500 - 1200250 - 3501000 - 2000400K - 13.5MHz
그 대신에, 저밀도 플라즈마의 에칭 가스(들)에서 플래티늄 전극층(16)을 에칭하기 위해 반응성 이온 에칭(RIE) 플라즈마 프로세싱 장치를 사용한다면, 에칭하기 위한 공정 변수는 가스들의 유량에 근거하여 작성된 바와 같은 범위에 상응하며, 아래 표 9에 작성된 바와 같이, 질소(N2), 할로겐 가스(들)(즉, Cl2)를 포함한다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccm N2Cl2압력, mT웨이퍼 받침대의RF 전력(Watts)웨이퍼의 온도(℃)플래티늄 에칭 속도(Å/분)웨이퍼 받침대의 RF 주파수 5 - 30030 - 6000.1 - 2000100 - 5000약 150 - 약 500200 - 6000100K - 300MHz 40 - 200100 - 40050 - 400300 - 2500200 - 400500 - 3000400K - 20MHz 70 - 90150 - 200100 - 200500 - 1200250 - 3501000 - 2000400K - 13.5MHz
또한 보다 일반적으로, 그리고 에칭 가스가 질소(N2), 할로겐 가스(들)(즉, Cl2), 및 불활성 가스(들)(즉, 아르곤) 및 HBr 및/또는 BCl3및/또는 SiCl4의 혼합물일 때, 적합한 유도 결합 플라즈마 반응기의 저밀도(또는 고밀도) 플라즈마 플래티늄 전극층(16)에서 에칭하기 위한 공정 변수는 가스들의 유량에 근거하여 작성된 바와 같은 범위에 해당되며, 아래 표 10에 작성된 바와같이, 질소(N2), 할로겐 가스(들)(즉, Cl2), 및 불활성 가스(들)(즉, 아르곤) 및 HBr 및/또는 BCl3및/또는 SiCl4를 포함한다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccm N2Cl2ArHBr 및/또는 BCl3및/또는 SiCl4압력, mT코일 인덕터의RF 전력(Watts)웨이퍼 받침대의RF 전력(Watts)웨이퍼의 온도(℃)플래티늄 에칭 속도(Å/분)코일 인덕터의RF 주파수웨이퍼 받침대의 RF 주파수 5 - 20030 - 4000 - 2001 - 700.1 - 300100 - 500050 - 3000약 150 - 약 500200 - 6000100K - 300MHz100K - 300MHz 10 - 15050 - 30010 - 1005 - 4010 - 100500 - 3000100 - 1000200 - 400500 - 3000400K - 20MHz400K - 20MHz 10 - 50100 - 20010 - 505 - 2010 - 50750 - 1500150 - 400250 - 3501000 - 20002 - 13.5MHz400K - 13.5MHz
저밀도의 플라즈마의 에칭 가스(들)의 플래티늄 전극층(16)을 에칭하기 위해 반응성 이온 에칭(RIE) 플라즈마 프로세싱 장치가 사용된다면, 에칭하기 위한 공정 변수는 가스들의 유량에 근거하여 작성된 범위에 해당되며, 아래 표 11에 작성된 바와 같이, 질소(N2), 할로겐 가스(들)(즉, Cl2), 및 불활성 가스(들)(즉, 아르곤) 및 HBr 및/또는 BCl3및/또는 SiCl4을 포함한다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccm N2Cl2ArHBr 및/또는 BCl3및/또는 SiCl4압력, mT웨이퍼 받침대의RF 전력(Watts)웨이퍼의 온도(℃)플래티늄 에칭 속도(Å/분)웨이퍼 받침대의 RF 주파수 5 - 30030 - 4000 - 2001 - 700.1 - 2000100 - 5000약 150 - 약 500200 - 6000100K - 300MHz 30 - 20050 - 30010 - 1005 - 4050 - 400300 - 2500200 - 400500 - 3000400K - 20MHz 60 - 120100 - 20010 - 505 - 20100 - 200500 - 1200250 - 3501000 - 2000400K - 13.5MHz
따라서, 본 발명의 바람직한 실시예에 대해, 상술한 공정 조건은 약 5 내지 500 sccm의 범위의 유량값을 가지는 에칭 가스의 유량에 근거하는 것이 바람직하다. 상술한 바와 같이, 에칭 가스는 질소와, 할로겐(바람직하게 염소)과, 그리고 헬륨, 네온 및 아르곤으로 이루어지는 그룹에서 선택된 불활성 가스를 포함하거나 이루어지거나 본질적으로 이루어진다. 불활성 가스는 아르곤 가스인 것이 바람직하다. 또한 상술한 바와 같이, 보다 구체적으로 에칭 가스는, 바람직하게, 전체부피의 약 0.1% 내지 약 60부피%의 질소와 전체부피의 약 40% 내지 약 90부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 0.1% 내지 40부피%의 불활성 가스(즉, 아르곤), 보다 바람직하게, 전체부피의 약 5% 내지 약 40부피%의 질소와 전체부피의 약 50% 내지 약 80부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 5% 내지 약30부피%의 불활성 가스(즉, 아르곤), 가장 바람직하게, 전체부피의 약 10% 내지 약 30부피%의 질소와 전체부피의 약 60% 내지 약 70부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 10% 내지 약 20부피%의 불활성 가스(즉, 아르곤)를 포함하거나, 이들로 이루어거나, 이들로 본질적으로 이루어진다. 대안으로, 에칭 가스는 질소 및 할로겐 가스(바람직하게 염소)를 포함하거나, 그 가스들로 이루어지거나, 본질적으로 이루어진다. 보다 구체적으로, 에칭 가스는, 바람직하게, 전체부피의 약 10% 내지 약 90부피%의 질소와 전체부피의 약 10% 내지 약 90부피%의 할로겐 가스(즉, 염소), 보다 바람직하게, 전체부피의 약 20% 내지 약 60부피%의 질소와 전체부피의 약 40% 내지 약 80부피%의 할로겐 가스(즉, 염소), 가장 바람직하게, 전체부피의 약 30% 내지 약 40부피%의 질소와 전체부피의 약 60% 내지 약 70부피%의 할로겐 가스(즉, 염소)를 포함하거나, 이들로 이루어거나, 본질적으로 이루어진다. 본 발명의 다른 바람직한 실시예에서, 그리고 상술된 바와 같이, 에칭 가스는, 질소와 할로겐(즉, 염소)과, 불활성 가스(즉, 아르곤)와, 그리고 HBr, BCl3, SiCl4및 이들의 혼합물로 이루어지는 그룹에서 선택된 가스를 포함하고, 바람직하게 이들로 이루어지거나 본질적으로 이들로 이루어진다. 또한 상술한 바와 같이, 에칭 가스는 보다 구체적으로, 전체부피의 약 0.1% 내지 약 60부피%의 질소와 전체부피의 약 40% 내지 약 90부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 0.1% 내지 40 부피%의 불활성 가스(즉, 아르곤)와 전체부피의 1% 내지 30부피%의 HBr 및/또는 BCl3및/또는 SiCl4, 바람직하게, 전체부피의 약 5% 내지 약 40부피%의 질소와 전부피의 약 50%내지 약 80 부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 5% 내지 약 30부피%의 불활성 가스(즉, 아르곤)와 전체부피의 5% 내지 20부피%의 HBr 및/또는 BCl3및/또는 SiCl4, 보다 바람직하게, 전체부피의 약 10% 내지 약 30부피%의 질소와 전체부피의 약 60% 내지 약 70부피%의 할로겐 가스(즉, 염소)와 전체부피의 약 10% 내지 약 20부피%의 불활성 가스(즉, 아르곤)와 전체부피의 1% 내지 10부피%의 HBr 및/또는 BCl3및/또는 SiCl4를 포함하거나, 이들로 이루어거나, 이들로 본질적으로 이루어진다. 따라서, 표 7 내지 표 11에 기재된 상술한 공정 조건은 이러한 에칭 가스 성분과 이러한 백분율 전체부피 값에 근거할 수도 있다.
높은 Cl2/Ar 비율과 높은 압력 체제에서 플래티늄 에칭 공정을 실행함으로써, 플래티늄 에칭 부산물은 전기 전도성이 없을 수도 있으며, 유전 윈도우를 통과하는 RF 전력 전송의 안정성이 보다 안정된다. Cl2/Ar 비율은 임의의 적합한 상승된 또는 높은 가스 체적비, 바람직하게 2 초과(>2), 보다 바람직하게 4 초과(>4)의 Cl2/Ar 비율일 수 있다. 높은 압력은 바람직하게 10 mTorr 초과(>10), 보다 바람직하게 24 mTorr 초과(>24)의 임의의 적합한 상승된 또는 높은 압력일 수도 있다. 보다 일반적으로, 에칭 가스가 할로겐 가스(들)(즉, 염소)와 불활성 가스(들)(즉, 아르곤)의 혼합물일 때, 플래티늄 부산물의 전기 전도도를 감소시키기 위해 적합한 유도 결합 플라즈마 반응기에서 플래티늄 전극층(16)을 에칭하기 위한 공정 변수는 가스들의 유량에 근거하여 작성된 바와 같은 범위에 해당하며, 아래 표 12에 작성된 바와 같이, 할로겐 가스(들)(즉, Cl2)와 불활성 가스(들)(즉, Ar)를 포함한다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccm 할로겐(예컨대, Cl2)불활성 가스(예컨대, Ar)Cl2/Ar 체적비압력, mT코일 인덕터의RF 전력(Watts)웨이퍼 받침대의RF 전력(Watts)웨이퍼의 온도(℃)플래티늄 에칭 속도(Å/분)코일 인덕터의RF 주파수웨이퍼 받침대의 RF 주파수 30 - 40020 - 3001 - 200.1 - 300100 - 500050 - 3000약 150 - 약 500200 - 6000100K - 300MHz100K - 300MHz 50 - 25020 - 1002 - 1010 - 100500 - 3000100 - 1000200 - 400500 - 3000400K - 20MHz400K - 20MHz 100 - 15020 - 304 - 610 - 50750 - 1000150 - 400250 - 3501000 - 20002 - 13.5MHz400K - 13.5MHz
위의 표 12에 기재된 상술한 공정 조건은 플래티늄 부산물의 전기 전도도를 감소시키기 위해 다음의 에칭 가스 성분에 근거할 수도 있다. 이러한 에칭 가스 성분은, 바람직하게 전체부피의 약 50% 내지 약 96부피%의 할로겐 가스(들)(즉, 염소)와 전체부피의 약 4% 내지 50부피%의 불활성 가스(들)(즉, 아르곤), 보다 바람직하게, 전체부피의 약 60% 내지 약 90부피%의 할로겐 가스(들)(즉, 염소)와 전체부피의 약 10% 내지 약 40부피%의 불활성 가스(들)(즉, 아르곤), 가장 바람직하게, 전체부피의 약 70% 내지 약 85부피%의 할로겐 가스(들)(즉, 염소)와 전체부피의 약15% 내지 약 30부피%의 불활성 가스(들)이다.
도 2, 도 6, 도 8 및 도 10에 도시된 본 발명의 실시예에 대해, 보호층(22a, 22b, 22c, 22d)은 에칭 공정 동안 에칭된 플래티늄 전극층(16a, 16b, 16c, 16d)의 코너를 보호한다. 전형적으로, 그리고 도 11 및 도 12에 가장 잘 도시된 바와 같이, 마스크층(18a, 18b, 18c, 18d)의 일부는 플래티늄 에칭 공정 동안 에칭되면서, 에칭된 플래티늄 전극층(16a, 16b, 16c, 16d)의 상부상에 또는 보호층(22a, 22b, 22c, 22d)의 상부상에 잔류 마스크 층(18r)이 잔존한다. 이러한 보호층(22a, 22b, 22c, 22d)은 각각, 플래티늄 에칭동안, 특히 플래티늄 에칭 공정이 본질적으로 마스크층(18a, 18b, 18c, 18d) 모두를 제거시키는 경우, 에칭된 플래티늄 전극층(16a, 16b, 16c, 16d)의 코너(16g)가 보호되는 것을 보장한다. 에칭된 플래티늄 전극층(16a, 16b, 16c, 16d)의 코너(16g)를 유지함으로써, 플래티늄 전극층(16)의 에칭동안 형성된 플래티늄 프로파일의 질을 보호하여 에칭된 플래티늄 전극층(16a, 16b, 16c, 16d)을 발생시킨다.
플래티늄 전극층(16a, 16b, 16c, 16d)을 생성시키도록 플래티늄 전극층(16)이 에칭된 후, 잔류 마스크층(18r)(플래티늄 에칭 공정 동안 완전히 제거되지 않는다면)은 전형적으로 베일 없는 에칭된 플래티늄 전극층(16a, 16b, 16c, 16d), 또는 보호층(22a, 22b, 22c, 22d)의 상부 상에 유지되는데, 이러한 보호층(22a, 22b, 22c, 22d) 각각은 도 11 및 도 12에 가장 잘 도시된 바와 같이 본질적으로 베일없는 에칭된 플래티늄 전극층(16a, 16b, 16c, 16d)에 의해 각각 지지되어 있다. 잔류 마스크층(18r)은 CHF3/Ar 플라즈마와 같은, 임의의 적합한 수단에 의해 및 또는 임의의 적합한 방법으로 제거되게 된다. 도 12에 도시된 본 발명의 실시예에 대해서도 마찬가지로, 보호층(22a, 22b, 22c, 22d)으로부터 잔류 마스크층(18r)이 제거된 후 보호층(22a, 22b, 22c, 22d)이 제거된다. 보호층(22a, 22b, 22c, 22d)은 임의의 적합한 수단 및/또는 임의의 적합한 방법으로 제거될 수 있다. 예컨대, 보호층(22a, 22b, 22c, 22d)은 TiN을 포함하는 경우, 아래 표 13에 작성된 바와 같은 다음의 장치 및 공정 조건 하에서 금속 에칭 DPS 센츄라TM(Metal Etch DPS CenturaTM)상표의 플라즈마 프로세싱 장치에서 Ar/Cl2에 의해 제거된다.
공정 넓은 범위 바람직한 범위 최적 범위
가스 유동, sccm Cl2Ar압력, mT코일 인덕터의RF 전력(Watts)웨이퍼 받침대의RF 전력(Watts)웨이퍼의 온도(℃)TiN 에칭 속도(Å/분)코일 인덕터의RF 주파수웨이퍼 인덕터의RF 주파수 20 - 15020 - 1000.5 - 40500 - 300050 - 50020 - 500500 - 5000100K - 300MHz100K - 300MHz 30 - 12030 - 804 - 30500 - 200050 - 30020 - 1501000 - 3500400K - 20MHz400K - 20MHz 40 - 10040 - 607 - 14800 - 120050 - 15080 - 1301500 - 25002 - 13.5MHz400K - 13.5MHz
도 12에 도시된 본 발명의 실시예에 대해 잔류 마스크층(18r), 또는 잔류 마스크층(18r) 및 보호층(22a, 22b, 22c, 22d)이 제거된 후, 도 13 및 도 14의 베일없는 에칭된 플래티늄 전극 적층 구조물이 남는다. 도 15 및 도 16 각각에 가장 잘 도시된 바와 같이, 잔류 마스크층(18r)(도 15 참조) 및 보호층(22a, 22b, 22c, 22d)(도 16 참조)이 제거되는 동안 또는 제거된 후, 배리어 층(14)이 동시에 에칭될 수 있음을 주지해야 한다.
도 1에 도시된 본 발명의 실시예에 대해 패턴화된 레지스트(patterned resist)(20)(즉, 레지스트 부재(20a, 20b, 20c, 20d)), 또는 도 2에 도시된 본 발명의 실시예에 대해 패턴화된 레지스트(20)(즉, 레지스트 부재(20a, 20b, 20c, 20d)) 및/또는 마스크층(18a, 18b, 18c, 18d)은 임의의 적합한 시간, 바람직하게 플래티늄 전극층(16)의 에칭전에 제거될 수 있다. 유사하게, 도 2에 도시된 본 발명의 실시예에 대해 보호층(22a, 22b, 22c, 22d) 및/또는 마스크층(18a, 18b, 18c, 18d)은 플래티늄 에칭 공정 전 또는 플래티늄 에칭 공정 후와 같은, 임의의 적합한 시간에 제거될 수 있다.
임의의 제한에 의해서가 아닌 예증에 의해서만, 현재 공지된 최상의 모드를 설명하도록 주어지는 실례를 설명하는 첨부물에 의해 본 발명이 예증될 것이다. 이러한 실시예에 제출된 농도, 혼합 비율, 온도, 압력, 비율, 혼합물 등과 같은 모든 변수는 본 발명의 범위를 부당하게 한정하기위한 것은 않는다.
예 Ⅰ
테스트 반도체 웨이퍼는 후술되는 필름 스택(film stack)으로 형성된다.
0.8㎛ 패턴화된 PR[포토레지스트(photoresist)]/5000Å 옥사이드(Oxide)/100Å Ti/1000Å Pt/300Å TiN
패턴화된 PR 테스트 반도체 웨이퍼의 최소 배선폭(feature size)은 0.3㎛ 블록(block) 및 0.25㎛ 간격(spacing)이다. 옥사이드 마스크(oxide mask)(즉, 마스크층)는 3050 바우어스 애브뉴, 산타 클라라, 캘리포니아 95054-3299의 어플라이드 머티어리얼스 인코포레이티드(Applied Materials Inc.)가 소유한 상표 Oxide Etch MxP 센튜라TM(Oxide Etch MxP CenturaTM)으로 판매되는 플라즈마 프로세싱 기구의 옥사이드 에칭 챔버(oxide etch chamber)에서 개방된다. 옥사이드 마스크를 개방하기 위한 에칭 가스(etchant gas)는 약 68 부피% Ar 및 약 32 부피% CHF3를 포함한다. 반응기 및 공정 조건은 다음과 같다.
반응기 조건
압력 60 mTorr
RF 전력 850 watts
회전 자기장 40 Gauss
테스트 웨이퍼의 온도 100 ℃
옥사이드 마스크 에칭 속도 3000 Å/분
Ar 및 CHF 3 의 유동량을 기초로한 공정 조건
CHF350 sccm
Ar 100 sccm
압력, mTorr 60 mTorr
RF 전력 밀도 850 watts
테스트 웨이퍼의 온도(℃) 100 ℃
옥사이드 마스크 에칭 속도(Å/분) 3000 Å/분
자기장(Gauss) 40 Gauss
포토레지스트는 마이크로파 하류 O2/N2플라즈마를 이용하여 후술되는 처리조건(recipe)하에서 Metal Etch MxP 센튜라TM브랜드 플라즈마 프로세싱 기구의 ASP 챔버의 옥사이드 마스크로부터 벗겨진다. 120초, 250 ℃, 1400 W, 3000 sccm O2, 300 sccm N2, 및 2 Torr.
Ti 보호층은 후술되는 반응기 및 공정 조건하에서 에칭 가스로서 Ar, Cl2및 BCl3로 Metal Etch DPS 센튜라TM브랜드 플라즈마 프로세싱 기구의 DPSTM브랜드 챔버에서 에칭된다.
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 110 ℃
Ti 에칭 속도 2000 Å/분
Ar 및 Cl 2 및 BCl 3 의 유동량을 기초로한 공정 조건
Ar 40 sccm
Cl230 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 110 ℃
Ti 에칭 속도 2000 Å/분
테스트 반도체 웨이퍼의 플래티늄층은 후술되는 반응기 및 공정 조건하에서 에칭 가스로서 Ar 및 Cl2로 그리고 Metal Etch DPS 센튜라TM브랜드 플라즈마 프로세싱 기구의 DPSTM브랜드 챔버에서 에칭된다.
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 150 watts
테스트 웨이퍼의 온도 260 ℃
플래티늄 에칭 속도 1500 Å/분
Ar 및 Cl 2 의 유량을 기초로한 공정 조건
Ar 40 sccm
Cl260 sccm
압력, mTorr 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 150 watts
테스트 웨이퍼의 온도(℃) 260 ℃
Pt 에칭 속도(Å/분) 1500 Å/분
Pt/옥사이드 마스크의 감도 1:1
테스트 반도체 웨이퍼의 에칭된 플래티늄층의 결과가 도 19에 도시되며, 여기에 약 87도(degrees)의 플래티늄 프로파일이 도시된다.
그때 옥사이드 마스크는 6:1 HF 용액에서 제거되어 도 20에 도시된 베일(veil)이 없는 테스트 반도체 웨이퍼를 생성한다. 잔여 Ti 보호층은 후술되는 반응기 및 공정 조건하에서 에칭 가스로서 Ar, BCl3및 Cl2로 그리고 Metal Etch DPS 센튜라TM브랜드 플라즈마 프로세싱 기구의 DPSTM브랜드 챔버에서 에칭되는 것과 같이 임의의 적절한 수단에 의하여 및/또는 임의의 적절한 방식으로 제거될 수 있다.
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 110 ℃
Ti 에칭 속도 2000 Å/분
Ar 및 Cl 2 의 유량을 기초로한 공정 조건
Ar 40 sccm
Cl230 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼로의 온도 110 ℃
Ti 에칭 속도 2000 Å/분
예 Ⅱ
테스트 반도체 웨이퍼는 후술되는 필름 스택으로 공식화된다.
0.8㎛ 패턴화 PR(포토레지스트)/5000Å 옥사이드/600Å TiN/2000Å Pt/300Å TiN
패턴화된 PR 테스트 반도체 웨이퍼의 최소 배선폭은 0.25㎛ 블록 및 0.2㎛ 간격이다. 옥사이드 마스크(즉, 마스크층)는 3050 바우어스 애브뉴, 산타 클라라,캘리포니아 95054-3299의 어플라이드 머티어리얼스 인코포레이티드가 소유한 상표 Oxide Etch MxP 센튜라TM로 판매되는 플라즈마 프로세싱 기구의 옥사이드 에칭 챔버에서 개방된다. 옥사이드 마스크를 개방하기 위한 에칭 가스는 약 68 부피% Ar 및 약 32 부피% CHF3를 포함한다. 반응기 및 공정 조건은 다음과 같다.
반응기 조건
압력 60 mTorr
RF 전력 850 watts
회전 자기장 40 Gauss
테스트 웨이퍼의 온도 100 ℃
옥사이드 마스크 에칭 속도 3000 Å/분
Ar 및 CHF 3 의 유동량을 기초로한 공정 조건
CHF350 sccm
Ar 100 sccm
압력, mTorr 60 mTorr
RF 전력 밀도 850 watts
테스트 웨이퍼의 온도(℃) 100 ℃
옥사이드 마스크 에칭 속도(Å/분) 3000 Å/분
자기장(Gauss) 40 Gauss
포토레지스트는 마이크로파 하류 O2/N2플라즈마를 이용하여 후술되는 처리법하에서 Metal Etch MxP 센튜라TM브랜드 플라즈마 프로세싱 기구의 ASP 챔버의 옥사이드 마스크로부터 벗겨진다. 120초, 250 ℃, 1400 W, 3000 sccm O2, 300 sccm N2, 및 2 Torr.
TiN 보호층은 후술되는 반응기 및 공정 조건하에서 에칭 가스로서 Ar, Cl2및 BCl3로 금속 에치 DPS 센튜라TM브랜드 플라즈마 프로세싱 기구의 DPSTM브랜드 챔버에서 에칭된다.
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 110 ℃
TiN 에칭 속도 2000 Å/분
Ar 및 Cl 2 및 BCl 3 의 유동량을 기초로한 공정 조건
Ar 40 sccm
Cl230 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 110 ℃
TiN 에칭 속도 2000 Å/분
테스트 반도체 웨이퍼의 플래티늄층은 후술되는 반응기 및 공정 조건하에서 에칭 가스로서 Ar 및 Cl2및 BCl3로 그리고 Metal Etch DPS 센튜라TM브랜드 플라즈마 프로세싱 기구의 DPSTM브랜드 챔버에서 에칭된다.
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 150 watts
테스트 웨이퍼의 온도 260 ℃
플래티늄 에칭 속도 1500 Å/분
Ar 및 Cl 2 및 BCl 3 유동량을 기초로한 공정 조건
Ar 40 sccm
Cl260 sccm
BCl310 sccm
압력, mTorr 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 150 watts
테스트 웨이퍼의 온도(℃) 260 ℃
Pt 에칭 속도(Å/분) 1500 Å/분
Pt/옥사이드 마스크의 감도 1:1
테스트 반도체 웨이퍼의 에칭된 플래티늄층의 결과가 도 23에 도시되며, 여기에 약 87도의 플래티늄 프로파일이 도시된다.
그때 옥사이드 마스크는 6:1 HF 용액에서 제거되어 도 20에 도시된 베일이 없는 테스트 반도체 웨이퍼를 생성한다. 잔여 Ti 보호층은 후술되는 반응기 및 공정 조건하에서 에칭 가스로서 Ar, BCl3및 Cl2로 그리고 금속 에치 DPS 센튜라TM브랜드 플라즈마 프로세싱 기구의 DPSTM브랜드 챔버에서 에칭되는 것과 같이 임의의 적절한 수단에 의하여 및/또는 임의의 적절한 방식으로 제거될 수 있다.
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 110 ℃
Ti 에칭 속도 2000 Å/분
Ar 및 Cl 2 및 BCl 3 의 유동량을 기초로한 공정 조건
Ar 40 sccm
Cl230 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼로의 온도 110 ℃
Ti 에칭 속도 2000 Å/분
예 Ⅲ
테스트 반도체 웨이퍼는 후술되는 필름 스택으로 공식화된다.
0.8㎛ PR(포토레지스트)/7000Å 옥사이드/200Å Ti/3000Å Pt/300Å TiN/SiN
공식화된 테스트 반도체 웨이퍼의 최소 배선폭은 0.27㎛ 블록 및 0.13㎛ 간격이다. 옥사이드 하드 마스크(oxide hard mask)(즉, 절연층)는 3050 바우어스 애브뉴, 산타 클라라, 캘리포니아 95054-3299의 어플라이드 머티어리얼스 인코포레이티드가 소유한 상표 Oxide Etch MxP 센튜라TM로 판매되는 플라즈마 프로세싱 기구의 옥사이드 에칭 챔버에서 개방된다. 옥사이드 하드 마스크를 개방하기 위한 에칭 가스는 약 68 부피% Ar 및 약 32 부피% CHF3를 포함한다. 반응기 및 공정 조건은다음과 같다.
반응기 조건
압력 60 mTorr
RF 전력 850 watts
회전 자기장 40 Gauss
테스트 웨이퍼의 온도 100 ℃
옥사이드 하드 마스크 에칭 속도 3000 Å/분
Ar 및 CHF 3 의 유동량을 기초로한 공정 조건
CHF350 sccm
Ar 100 sccm
압력, mTorr 60 mTorr
RF 전력 밀도 850 watts
테스트 웨이퍼의 온도(℃) 100 ℃
옥사이드 마스크 에칭 속도(Å/분) 3000 Å/분
자기장(Gauss) 40 Gauss
포토레지스트는 마이크로파 하류 O2/N2플라즈마를 이용하여 후술되는 처리법하에서 Metal Etch MxP 센튜라TM브랜드 플라즈마 프로세싱 기구의 ASP 챔버의 옥사이드 하드 마스크로부터 벗겨진다. 120초, 250 ℃, 1400 W, 3000 sccm O2, 300sccm N2, 및 2 Torr.
Ti 보호층은 후술되는 반응기 및 공정 조건하에서 에칭 가스로서 Ar, Cl2및 BCl3로 Metal Etch DPS 센튜라TM브랜드 플라즈마 프로세싱 기구의 DPSTM브랜드 챔버에서 에칭된다.
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 325 ℃
Ti 에칭 속도 2000 Å/분
Ar 및 Cl 2 및 BCl 3 의 유동량을 기초로한 공정 조건
Ar 40 sccm
Cl230 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 325 ℃
Ti 에칭 속도 2000 Å/분
테스트 반도체 웨이퍼의 플래티늄층은 후술되는 반응기 및 공정 조건하에서 에칭 가스로서 N2, Ar 및 Cl2로 그리고 Metal Etch DPS 센튜라TM브랜드 플라즈마 프로세싱 기구의 DPSTM브랜드 챔버에서 에칭된다.
반응기 조건
압력 36 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 300 watts
테스트 웨이퍼의 온도 325 ℃
플래티늄 에칭 속도 800 Å/분
N 2, Ar 및 Cl 2 의 유동량을 기초로한 공정 조건
N230 sccm
Ar 24 sccm
Cl2120 sccm
압력, mTorr 36 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 300 watts
테스트 웨이퍼의 온도(℃) 325 ℃
Pt 에칭 속도(Å/분) 800 Å/분
Pt/옥사이드 하드 마스크의 감도 1.5:1
테스트 반도체 웨이퍼의 에칭된 플래티늄층의 결과는 도 27의 사진에서 볼수 있으며, 여기에서 약 88도의 플래티늄 프로파일이 도시된다. 도 28은 도면부호에 의하여 표시된 각각의 부분이 도 27의 사진을 나타내는 도면이다.
그때 옥사이드 하드 마스크는 6:1 HF 용액에서 제거되어 도 20에 도시된 베일이 없는 테스트 반도체 웨이퍼를 생성한다. 잔여 Ti 보호층은 후술되는 반응기 및 공정 조건하에서 에칭 가스로서 Ar, BCl3및 Cl2로 그리고 금속 에치 DPS 센튜라TM브랜드 플라즈마 프로세싱 기구의 DPSTM브랜드 챔버에서 에칭되는 것과 같이 임의의 적절한 수단에 의하여 및/또는 임의의 적절한 방식으로 제거될 수 있다.
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 110 ℃
Ti 에칭 속도 2000 Å/분
Ar 및 Cl 2 및 BCl 3 의 유동량을 기초로한 공정 조건
Ar 40 sccm
Cl230 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼로의 온도 110 ℃
Ti 에칭 속도 2000 Å/분
예 Ⅳ
테스트 반도체 웨이퍼는 후술되는 필름 스택으로 공식화된다.
0.8㎛ PR(포토레지스트)/5000Å 옥사이드/100Å TiN/1500Å Pt/300Å TiN/SiN
공식화된 테스트 반도체 웨이퍼의 최소 배선폭은 0.3㎛ 블록 및 0.2㎛ 간격이다. 옥사이드 하드 마스크(즉, 절연층)는 3050 바우어스 애브뉴, 산타 클라라, 캘리포니아 95054-3299의 어플라이드 머티어리얼스 인코포레이티드가 소유한 상표 Oxide Etch MxP 센튜라TM로 판매되는 플라즈마 프로세싱 기구의 옥사이드 에칭 챔버에서 개방된다. 옥사이드 하드 마스크를 개방하기 위한 에칭 가스는 약 68 부피% Ar 및 약 32 부피% CHF3를 포함한다. 반응기 및 공정 조건은 다음과 같다.
반응기 조건
압력 60 mTorr
RF 전력 850 watts
회전 자기장 40 Gauss
테스트 웨이퍼의 온도 100 ℃
옥사이드 하드 마스크 에칭 속도 3000 Å/분
Ar 및 CHF 3 의 유동량을 기초로한 공정 조건
CHF350 sccm
Ar 100 sccm
압력, mTorr 60 mTorr
RF 전력 밀도 850 watts
테스트 웨이퍼의 온도(℃) 100 ℃
옥사이드 마스크 에칭 속도(Å/분) 3000 Å/분
자기장(Gauss) 40 Gauss
포토레지스트는 마이크로파 하류 O2/N2플라즈마를 이용하여 후술되는 처리법하에서 Metal Etch MxP 센튜라TM브랜드 플라즈마 프로세싱 기구의 ASP 챔버의 옥사이드 하드 마스크로부터 벗겨진다. 120초, 250 ℃, 1400 W, 3000 sccm O2, 300 sccm N2, 및 2 Torr.
TiN 보호층은 후술되는 반응기 및 공정 조건하에서 에칭 가스로서 Ar, Cl2및 BCl3로 Metal Etch DPS 센튜라TM브랜드 플라즈마 프로세싱 기구의 DPSTM브랜드 챔버에서 에칭된다.
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 325 ℃
TiN 에칭 속도 2000 Å/분
Ar 및 Cl 2 및 BCl 3 의 유량을 기초로한 공정 조건
Ar 40 sccm
Cl230 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 325 watts
테스트 웨이퍼의 온도 325 ℃
TiN 에칭 속도 2000 Å/분
테스트 반도체 웨이퍼의 플래티늄층은 후술되는 반응기 및 공정 조건하에서 에칭 가스로서 N2및 Cl2로 그리고 평행 판 RIE 저밀도 플라즈마 프로세싱 기구에서 에칭된다.
반응기 조건
압력 100 mTorr
웨이퍼 받침대로의 RF 전력 1000 watts
테스트 웨이퍼의 온도 325 ℃
플래티늄 에칭 속도 1000 Å/분
N 2, 및 Cl 2 의 유동량을 기초로한 공정 조건
N280 sccm
Cl2200 sccm
압력, mTorr 100 mTorr
웨이퍼 받침대로의 RF 전력 1000 watts
테스트 웨이퍼의 온도(℃) 325 ℃
Pt 에칭 속도(Å/분) 1000 Å/분
Pt/옥사이드 하드 마스크의 감도 0.5:1
테스트 반도체 웨이퍼의 에칭된 플래티늄층의 결과는 도 31에서 볼수 있으며, 여기에서 약 85도의 플래티늄 프로파일이 도시된다. 도 32는 도면부호에 의하여 표시된 각각의 부분이 도 31의 사진을 나타내는 도면이다.
그때 옥사이드 하드 마스크는 6:1 HF 용액에서 제거되어 도 20에 도시된 베일이 없는 테스트 반도체 웨이퍼를 생성한다. 잔여 Ti 보호층은 후술되는 반응기 및 공정 조건하에서 에칭 가스로서 Ar, BCl3및 Cl2로 그리고 Metal Etch DPS 센튜라TM브랜드 플라즈마 프로세싱 기구의 DPSTM브랜드 챔버에서 에칭되는 것과 같이 임의의 적절한 수단에 의하여 및/또는 임의의 적절한 방식으로 제거될 수 있다.
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 110 ℃
TiN 에칭 속도 2000 Å/분
Ar 및 Cl 2 및 BCl 3 의 유동량을 기초로한 공정 조건
Ar 40 sccm
Cl230 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼로의 온도 110 ℃
TiN 에칭 속도 2000 Å/분
예 Ⅴ
테스트 반도체 웨이퍼는 후술되는 필름 스택으로 형성된다.
0.8㎛ PR(포토레지스트)/5000Å 옥사이드/100Å Ti/2000Å Pt/300Å TiN
공식화된 테스트 반도체 웨이퍼의 최소 배선폭은 0.3㎛ 블록 및 0.25㎛ 간격이다. 옥사이드 하드 마스크(즉, 절연층)는 3050 바우어스 애브뉴, 산타 클라라, 캘리포니아 95054-3299의 어플라이드 머티어리얼스 인코포레이티드가 소유한 상표 옥사이드 에치 MxP 센튜라TM로 판매되는 플라즈마 프로세싱 기구의 옥사이드 에칭 챔버에서 개방된다. 옥사이드 하드 마스크를 개방하기 위한 에칭 가스는 약 68 부피% Ar 및 약 32 부피% CHF3를 포함한다. 반응기 및 공정 조건은 다음과 같다.
반응기 조건
압력 60 mTorr
RF 전력 850 watts
회전 자기장 40 Gauss
테스트 웨이퍼의 온도 100 ℃
옥사이드 하드 마스크 에칭 속도 3000 Å/분
Ar 및 CHF 3 의 유동량을 기초로한 공정 조건
CHF350 sccm
Ar 100 sccm
압력, mTorr 60 mTorr
RF 전력 밀도 850 watts
테스트 웨이퍼의 온도(℃) 100 ℃
옥사이드 마스크 에칭 속도(Å/분) 3000 Å/분
자기장(Gauss) 40 Gauss
포토레지스트는 마이크로파 하류 O2/N2플라즈마를 이용하여 후술되는 처리법하에서 Metal Etch MxP 센튜라TM브랜드 플라즈마 프로세싱 기구의 ASP 챔버의 옥사이드 하드 마스크로부터 벗겨진다. 120초, 250 ℃, 1400 W, 3000 sccm O2, 300 sccm N2, 및 2 Torr.
Ti 보호층은 Ar, Cl2및 BCl3로 Metal Etch DPS 센튜라TM브랜드 플라즈마 프로세싱 기구의 DPSTM브랜드 챔버에서 에칭된다. Ti 보호층은 후술되는 반응기 및 공정 조건하에서 에칭된다.
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 110 ℃
Ti 에칭 속도 2000 Å/분
Ar 및 Cl 2 및 BCl 3 의 유동량을 기초로한 공정 조건
Ar 40 sccm
Cl230 sccm
BCl330 sccm
압력 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 100 watts
테스트 웨이퍼의 온도 110 ℃
Ti 에칭 속도 2000 Å/분
그때 테스트 반도체 웨이퍼의 플래티늄층은 Metal Etch DPSTM브랜드 플라즈마 프로세싱 기구의 DPSTM브랜드 챔버에서 에칭된다. DPSTM브랜드 챔버는 도 31에 도시된 바와 같이 에칭 챔버와 전체적으로 반구체형상의 표준 돔[즉, 내부 오목면(62a)을 가지는 유전체 실링(dielectric ceiling)(62)]을 포함하며 에칭 가스의 플라즈마로 결합되도록 RF 전력이 통과하도록 유전체 알루미늄 옥사이드로 제조된다. 반구체형상의 표준 돔[앞으로, "표준 돔(Standard Dome)"]은 도 17 및 도 18에 도시된 바와 같이 리드(lid)로서 에칭 챔버를 덮으며, mTorr 진공 압력으로 펌핑 다운되도록 챔버를 실링한다. 유전체 실링(62)(즉 "표준 돔")의 내부 오목면(62a)은 약 500Å의 평균 높이 값을 가진 피크-대-밸리 조도(peak-to-valley roughness)를 가진다. 유도 코일은 반구체형상으로 경사진 돔의 외부를 둘러싸며 RF 전력원으로 연결된다. 유도 코일로 전달된 RF 전력 에너지는 표준 돔을 통하여DPSTM브랜드 챔버로 전달되며 반도체 테스트 웨이퍼를 프로세싱하기 위한 프로세싱 가스로부터 고 밀도 플라즈마를 생성한다. 테스트 반도체 웨이퍼의 플래티늄층은 에칭가스로서 BCl3, Ar 및 Cl2로 DPSTM브랜드 챔버에서 에칭된다.
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 1200 watts
웨이퍼 받침대로의 RF 전력 150 watts
테스트 웨이퍼의 온도 350 ℃
Ti 에칭 속도 1000 Å/분
Ar 및 Cl 2 및 BCl 3 의 유동량을 기초로한 공정 조건
Ar 30 sccm
Cl270 sccm
BCl310 sccm
압력 mTorr 12 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 150 watts
테스트 웨이퍼의 온도(℃) 350 ℃
Ti 에칭 속도 1000 Å/분
Pt/옥사이드 하드 마스크의 감도 1:1
반도체 테스트 웨이퍼의 플래티늄층의 금속 에칭동안, 도 32에 가장 잘 도시된 바와 같이, 재료의 증착부(deposit; 7)가 표준 돔 또는 실링(ceiling)(62)의 내부 오목면(62a)상에 발생된다. 증착부(7)는 유일한 예에 의하여 플래티늄의 옥사이드(oxides) 및 실리케이트(silicates) 및 클로라이드(chlorides)를 포함하며, 플라즈마와 전력원 사이에 위치한다. 증착부(7)는 전도성이며 25 웨이퍼를 에칭한 후 DC 옴(ohm) 미터로 측정된 10 내지 20 M 옴(ohms)의 전기 저항을 가진다.
예 Ⅵ
예 Ⅴ는 후술되는 반응기 및 공정 조건하에서 에칭 가스로서 BCl3, Ar, 및 Cl2로 그리고 Metal Etch DPS 센튜라TM브랜드 플라즈마 프로세싱 기구의 동일한 DPSTM브랜드 챔버에서 에칭되는 테스트 반도체의 플래티늄층으로 반복된다.
반응기 조건
압력 24 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 175 watts
테스트 웨이퍼의 온도 350 ℃
플래티늄 에칭 속도 1000 Å/분
Ar, Cl 2 및 BCl 3 의 유동량을 기초로한 공정 조건
Ar 20 sccm
Cl2100 sccm
BCl310 sccm
압력 mTorr 24 mTorr
코일 인덕터로의 RF 전력 900 watts
웨이퍼 받침대로의 RF 전력 175 watts
테스트 웨이퍼의 온도(℃) 350 ℃
Pt 에칭 속도(Å/분) 1000 Å/분
Pt/옥사이드 하드 마스크의 감도 1:1
전술된 반응기 및 공정 조건하에서 플래티늄층의 플래티늄 에칭 동안, 도 32에 가장 잘 도시된 바와 같이, 플래티늄을 포함한 재료의 증착부(deposit; 7a)가 표준 돔 또는 실링(ceiling)(62)의 내부 오목면(62a)상에 발생된다. 증착부(7a)는 유일한 예에 의하여 플래티늄의 옥사이드 및 나이트라이드(nitrides) 및 실리케이트 및 클로라이드, 등을 포함한다. 증착부(7a)는 50 웨이퍼를 에칭한 후 DC 옴 미터로 측정된 바와 같이 전도성이 아니다. 따라서, 높은 Cl2유동 및 고압의 이용은 에칭이 낮은 Cl2함량(즉, 낮은 Cl2/Ar 용적비) 및 고압에서 수행되는 예 Ⅴ의 증착부(7)보다 덜 전도적인 증착부(7a)에서 발생된다. 고압에서 더 많은 Cl2함량(즉, 높은 Cl2/Ar 용적비)은 저압에서의 물리적인 스퍼터링보다 더 많은 화학적 에칭을증진한다.
예 Ⅶ
예 Ⅴ는 후술되는 반응기 및 공정 조건하에서 에칭 가스로서 BCl3, Ar, 및 Cl2로 그리고 Metal Etch DPSTM센튜라TM브랜드 플라즈마 프로세싱 기구의 DPSTM브랜드 챔버에서 에칭되는 테스트 반도체 웨이퍼의 플래티늄층으로 반복된다.
반응기 조건
압력 12 mTorr
코일 인덕터로의 RF 전력 1200 watts
웨이퍼 받침대로의 RF 전력 150 watts
테스트 웨이퍼의 온도 350 ℃
플래티늄 에칭 속도 1000 Å/분
Ar 및 Cl 2 의 유동량을 기초로한 공정 조건
Ar 30 sccm
Cl270 sccm
BCl310 sccm
압력 mTorr 12 mTorr
코일 인덕터로의 RF 전력 1200 watts
웨이퍼 받침대로의 RF 전력 150 watts
테스트 웨이퍼의 온도(℃) 350 ℃
Pt 에칭 속도(Å/분) 1000 Å/분
Pt/옥사이드 하드 마스크의 감도 1:1
예 Ⅶ에 대해 변형된 돔은 표준 돔[즉, 유전체 실링(62)]을 이용하지만 내부 오목면(62a)이 약 5000Å의 평균 높이값을 가진 피크-대-밸리 조도 높이를 포함하는 표면 피니쉬를 가진다. 상기 조건하에서 플래티늄층의 플래티늄 에칭동안, 예 Ⅴ로부터의 동일한 증착부(7)는 도 34의 분해도에 도시된 바와 같이 내부 오목면(62a)에 발생된다. 그러나, 이러한 예 Ⅶ에 대한 증착부(7)는 100 웨이퍼 에칭후 DC 옴 미터로 측정된 바와 같이 전도성이 아니다. 따라서, 5000Å의 평균 높이값을 가진 피크-대-밸리 조도 높이를 포함하는 표면 피니쉬를 가지는 예 Ⅴ에서의 표준 돔에 대한 내부 오목면(62a)에 반대되는 바와 같이, 변형된 돔에 대한 내부 오목면(62a)이 약 5000Å의 평균 높이값을 가진 피크-대-밸리 조도 높이를 포함하는 표면 피니쉬를 가지기 때문에 이러한 예 Ⅶ에 대한 증착부(7)의 전기 전도도(및 두께)는 예 Ⅴ로부터의 증착부(7)보다 적다. 유전체 실링상의 거친 표면 설게를 이용함으로써 증착부(7)의 동일한 용적에 대한 더 큰 표면 영역이 제공되는 전체 내부 표면 영역이 증가되어, 에칭 플래티늄에 대한 윈도우 또는 유전체 실링을 통한 외부 RF 전력 전달의 안정성을 연장시키는 증착 두께의 감소를 발생시킨다.
결론
따라서, 본 발명의 실시에 의해, 플래티늄 전극층(16)을 에칭하는 방법이 제공된다. 에칭된 플래티늄 전극층(16)은 수평면에 대해 측벽(16s)의 각도(α)가 약 80도 이상으로 되는 플래티늄 프로파일을 가지는 다수의 에칭된 플래티늄 전극층(16a, 16b, 16c 및 16d)을 포함한다. 플래티늄 전극층(16a, 16b, 16c 및 16d)은 약 0.35㎛ 이하, 바람직하게는 약 0.3㎛이하의 크기를 가지는 거리 또는 공간 만큼 이격된다. 각각의 플래티늄 전극층(16a, 16b, 16c 및 16d)은 약 1.0㎛ 이하, 바람직하게는 0.6㎛ 이하, 바람직하게는 0.35㎛ 이하, 바람직하게는 0.3㎛ 이하 값을 가지는 크기를 포함한다. 더욱 바람직하게는, 각각의 플래티늄 전극층(16a, 16b, 16c 및 16d)은 약 0.35㎛ 이하, 바람직하게는 0.3㎛ 이하의 폭, 약 0.6㎛ 이하의 길이 및 약 0.6㎛ 이하의 높이를 가진다. 제조된 에칭된 플래티늄 전극층(16a, 16b, 16c 및 16d)은 반드시 "래빗 이어(rabit ear)" 또는 "펜스(fences)"가 없는 "무-베일(veil-less)"이기 때문에, 상기 전극층은 반도체 장치를 생산하는데 유전체(예를 들면, BST층)를 수용하기에 이상적으로 적합하다. 예 Ⅰ의 에칭 가스는 약 40 부피% Ar 및 약 60 부피% Cl2로 구성되고 약 87도의 플래티늄 프로파일을 구비한 에칭된 플래티늄층을 생산한다. 예 Ⅱ에서, 에칭 가스는 54.5(약 55) 부피% Cl2, 36.4 (약 36) 부피% Ar, 및 9.1(약 9) 부피% BCl3로 구성되며, 결론적으로 에칭된 플래티늄층이 약 87도의 플래티늄 프로파일을 가진다.
따라서, 본 발명이 특정 실시예를 참고로 하여 본 명세서에서 상세하게 설명하였지만, 변형의 정도, 다양한 변화 및 치환은 후술되는 공개를 의도로하며, 임의의 예에서 본 발명의 소정의 특징은 제시되는 본 발명의 범위 및 사상으로부터 이탈됨이 없이 다른 특징의 대응되는 이용없이 적용될 수 있다. 본 발명이 전극층으로 되는 플래티늄으로 설명하였지만, 본 발명의 사상 및 범위는 동일한 변수를 적용하는 동일한 공개된 조건하에서 플래티늄 대신 귀금속[이리듐(iridium), 로듐(rhodium), 팔라듐(palladium) 및 루테늄(ruthenium)]의 이용을 포함할 수 있다. 따라서, 많은 변형은 본 발명의 필수적인 범위 및 사상으로부터 이탈됨이 없이 본 발명으로 특별한 상황 또는 재료를 적용하도록 한다. 본 발명은 본 발명을 수행하기 위하여 심사숙고된 최적 실시예로서 공개된 특별한 실시예에 제한되지 않지만, 본 발명은 첨부된 청구범위의 범위내의 모든 실시예 및 등가물을 포함한다.

Claims (32)

  1. 기판 위에 배치되어 있는 플래티늄 전극층을 에칭하는 방법으로서:
    a) 플래티늄 전극층을 지지하는 기판을 제공하는 단계와,
    b) 상기 단계 (a)의 기판을 약 150℃ 이상의 온도까지 가열하는 단계;
    c) 하나 이상의 에칭된 플래티늄 전극층을 지지하는 상기 기판을 생성하도록, 질소와 염소를 포함하는 에칭 가스의 플라즈마로 상기 플래티늄 전극층을 에칭하는 단계를 포함하는 방법.
  2. 제 1 항에 있어서, 상기 에칭 가스는 추가로 아르곤, HBr, BCl3SiCl4및 이들의 혼합물로 구성된 그룹으로부터 선택된 가스를 포함하는 방법.
  3. 제 1 항에 있어서, 상기 에칭 가스의 상기 플라즈마는 저밀도 플라즈마 및 고밀도 플라즈마로 구성된 그룹으로부터 선택되는 방법.
  4. 제 1 항에 있어서, 상기 에칭된 플래티늄 전극층은 약 80°와 같거나 그 보다 보다 큰 플래티늄 프로파일을 포함하는 방법.
  5. 제 1 항에 있어서, 상기 에칭 가스는 아르곤, HBr, BCl3SiCl4및 이들의 혼합물로 구성된 그룹으로부터 선택된 가스와, 질소 및 염소를 본질적으로 포함하는 방법.
  6. 제 3 항에 있어서, 상기 고밀도 플라즈마는 고밀도 유도 결합 플라즈마를 포함하는 방법.
  7. 제 6 항에 있어서, 상기 단계 (a)의 상기 플래티늄 전극층을 포함하는 기판을 코일 인턱터와 웨이퍼 받침대를 포함하는 고밀도 플라즈마 챔버내에 배치하는 단계와; 아래의 공정 조건으로 상기 고밀도 프라즈마에서 상기 에칭 단계(c)를 실시하는 단계를; 추가로 포함하는 방법:
    공정 변수
    에칭 가스 유동 50 내지 500 sccm
    할로겐 가스 40 내지 90 부피%
    질소 가스 0.1 내지 60 부피%
    압력, mTorr 0.1 내지 300 milliTorr
    코일 인덕터의 RF 전력(watts) 100 내지 5000 watts
    웨이퍼 받침대의 RF 전력(watts) 50 내지 3000 watts
    플래티늄 에칭속도(Å/분) 200 내지 6000 Å/분
    코일 인덕터의 RF 주파수 100K 내지 300MHz
    웨이퍼 받침대의 RF 주파수 100K 내지 300MHz
  8. 제 3 항에 있어서, 상기 고밀도 플라즈마는 저밀도 용량 결합 플라즈마를 포함하는 방법.
  9. 제 8 항에 있어서, 상기 단계 (a)의 상기 플래티늄 전극층을 포함하는 기판을 코일 인턱터와 웨이퍼 받침대를 포함하는 저밀도 플라즈마 챔버내에 배치하는 단계와; 아래의 공정 조건으로 상기 저밀도 플라즈마 챔버내에서 상기 에칭 단계 (c)를 실행하는 단계를; 추가로 포함하는 방법:
    공정 변수
    에칭 가스 유동 50 내지 500 sccm
    할로겐 가스 40 내지 90 부피%
    질소 가스) 0.1 내지 60 부피%
    압력, mTorr 0.1 내지 2000 milliTorr
    코일 인덕터의 RF 전력(watts) 100 내지 5000 watts
    웨이퍼 받침대의 RF 전력(watts) 50 내지 3000 watts
    플래티늄 에칭속도(Å/분) 200 내지 6000 Å/분
    웨이퍼 받침대의 RF 주파수 100K 내지 300MHz
  10. 플래티늄 전극을 포함하는 캐패시던스 구조물을 제조하기 위한 방법으로서:
    a) 플래티늄 전극층과 상기 플래티늄 전극층의 선택된 부분상에 배치된 하나이상의 마스크층을 지지하는 기판을 제공하는 단계와,
    b) 상기 단계(a)의 기판을 약 150℃ 이상의 온도까지 가열하는 단계;
    c) 하나 이상의 에칭된 플래티늄 전극을 가진 캐패시던스 구조물을 생성하도록, 질소와 할로겐 가스를 포함하는 에칭 가스의 플라즈마를 사용하는 것을 포함하여 상기 플래티늄 전극층을 에칭하는 단계를 포함하는 방법.
  11. 제 10 항의 방법에 따라서 제조된 캐패시던스 구조물.
  12. 제 10 항에 있어서, 상기 에칭 가스는 추가로 불활성 가스, HBr, BCl3SiCl4및 이들의 혼합물로 구성된 그룹으로부터 선택된 가스를 포함하는 방법.
  13. 제 12 항에 있어서, 상기 불활성 가스는 헬륨, 네온, 아르곤 및 이들의 혼합물로 구성된 그룹으로부터 선택되는 방법.
  14. 반도체 소자를 제조하는 방법으로서,
    a) 상부에 회로 요소들이 형성된 기판 위에 패턴화된 레지스트층, 마스크층 및 플래티늄 전극층을 형성하는 단계;
    b) 상기 패턴화된 레지스트층, 잔류 마스크층, 및 상기 플래티늄 전극층을 지지하는 기판을 생성하도록, 상기 플래티늄 전극층으로부터 상기 마스크층을 침식및 제거하기 위해서 에칭 가스의 플라즈마를 이용하는 것을 포함하는 상기 마스크층의 일부를 에칭하는 단계;
    c) 상기 잔류 마스크층 및 상기 플래티늄 전극층을 지지하는 상기 기판을 생성하도록, 상기 단계(b)의 상기 패턴화된 레지스트층을 제거하는 단계;
    d) 상기 단계(c)의 기판을 약 150℃ 이상의 온도까지 가열하는 단계;
    e) 적어도 하나의 플래티늄 전극을 갖는 반도체 소자를 생성하도록, 질소와 염소를 포함하는 에칭 가스의 플라즈마를 이용하는 것을 포함하여 상기 단계(d)의 기판상의 상기 플래티늄 전극층을 에칭하는 단계를 포함하는 방법.
  15. 제 14 항에 있어서, 상기 에칭 단계(e)후 상기 잔류 마스크층을 제거하는 단계를 추가로 포함하는 방법.
  16. 제 14 항에 있어서, 상기 에칭 가스는 아르곤을 추가로 포함하는 방법.
  17. 제 14 항에 있어서, 상기 형성 단계(a)는 상기 마스크층과 상기 플래티늄 전극층 사이의 상기 플래티늄 전극층상에 보호층을 배치하는 단계를 추가로 포함하는 방법.
  18. 제 16 항에 있어서, 상기 에칭 가스는 HBr, BCl3, SiCl4및 이들의 혼합물로구성된 그룹으로부터 선택된 가스와, 질소, 염소와, 아르곤을 본질적으로 포함하는 방법.
  19. 제 14 항에 있어서, 상기 에칭 가스는 약 0.1 내지 약 60 부피%의 질소와 약 40 내지 약 90 부피%의 염소를 포함하는 방법.
  20. 제 18 항에 있어서, 상기 에칭 가스는 주로 약 0.1 내지 약 60 부피%의 질소, 약 40 내지 약 90 부피%의 염소와 약 0.1 내지 40 부피%의 아르곤과; HBr, BCl3, SiCl4및 이들의 혼합물로 구성된 그룹으로부터 선택된 약 1 내지 약 30 부피%의 가스를 포함하는 방법.
  21. 기판상에 배치된 플래티늄 전극층을 에칭하는 방법으로서,
    a) 플래티늄 전극층, 상기 플래티늄 전극층위의 보호층, 상기 보호층 위의 마스크층, 및 상기 마스크층 위의 패턴화된 레지스트층을 지지하는 기판을 제공하는 단계;
    b) 상기 보호층의 부분을 노출시키고, 상기 플래티늄 전극층, 상기 플래티늄 전극층 위의 상기 보호층, 상기 보호층 위의 잔류 마스크층, 상기 잔류 마스크층 위의 상기 패턴화된 레지스트층을 지지하는 기판을 생성하도록 상기 플래티늄 전극층으로부터 상기 마스크층의 일부분을 침식해서 제거하기 위해서 에칭 가스의 플라즈마를 이용하는 것을 포함하는 상기 마스크층의 일부를 에칭하는 단계;
    c) 상기 플래티늄 전극층, 상기 플래티늄 전극층 위의 상기 보호층, 및 상기 보호층 위의 상기 잔류 마스크층을 지지하는 기판을 생성하도록, 상기 단계(b)의 잔류 마스크층으로부터 상기 패턴화된 레지스트층을 제거하는 단계;
    d) 상기 단계(c)의 기판을 약 150℃ 이상의 온도까지 가열하는 단계;
    e) 상기 플래티늄 전극층의 부분을 노출시키고, 상기 플래티늄 전극층, 상기 플래티늄 전극층 위의 잔류 보호층, 상기 잔류 보호층 위의 상기 잔류 마스크층을 지지하는 기판을 생성하도록, 상기 보호층의 상기 노출 부분을 에칭하는 단계; 및
    f) 에칭된 플래티늄 전극층, 상기 에칭된 플래티늄 전극층 위의 잔류 보호층, 상기 잔류 보호층 위의 상기 잔류 마스크층을 지지하는 기판을 생성하도록, 질소와 할로겐 가스를 포함하는 에칭 가스의 플라즈마를 이용하는 것을 포함하는 상기 단계(e)의 플래티늄 전극층의 상기 노출 부분을 에칭하는 단계를 포함하는 방법.
  22. 기판상에 배치된 플래티늄 전극층을 에칭하는 방법으로서,
    a) 플래티늄 전극층, 상기 플래티늄 전극층위의 보호층, 상기 보호층 위의 마스크층, 및 상기 마스크층 위의 패턴화된 레지스트층을 지지하는 기판을 제공하는 단계;
    b) 상기 보호층의 부분을 노출시키고, 상기 플래티늄 전극층, 상기 플래티늄 전극층 위의 상기 보호층, 상기 보호층 위의 잔류 마스크층, 상기 잔류 마스크층위의 상기 패턴화된 레지스트층을 지지하는 기판을 생성하도록 상기 플래티늄 전극층으로부터 상기 마스크층의 일부분을 침식해서 제거하기 위해서 에칭 가스의 플라즈마를 이용하는 것을 포함하는 상기 마스크층의 일부를 에칭하는 단계;
    c) 상기 플래티늄 전극층의 부분을 노출시키고, 상기 플래티늄 전극층, 상기 플래티늄 전극층 위의 잔류 보호층, 상기 잔류 보호층 위의 상기 잔류 마스크층과 상기 잔류 마스크층위의 상기 패턴화된 레지스트층을 지지하는 기판을 생성하도록, 상기 보호층의 상기 노출 부분을 에칭하는 단계;
    d) 상기 플래티늄 전극층, 상기 플래티늄 전극층 위의 상기 잔류 보호층, 및 상기 잔류 보호층 위의 상기 잔류 마스크층을 지지하는 기판을 생성하도록, 상기 단계(c)의 잔류 절연층으로부터 상기 패턴화된 레지스트층을 제거하는 단계;
    e) 상기 단계(d)의 기판을 약 150℃ 이상의 온도까지 가열하는 단계; 및
    f) 에칭된 플래티늄 전극층, 상기 에칭된 플래티늄 전극층 위의 잔류 보호층, 상기 잔류 보호층 위의 상기 잔류 마스크층을 지지하는 기판을 생성하도록, 질소와 염소 가스를 포함하는 에칭 가스의 플라즈마를 이용하는 것을 포함하는 상기 단계(d)의 플래티늄 전극층의 상기 노출 부분을 에칭하는 단계를 포함하는 방법.
  23. 제 22 항에 있어서, 상기 단계(f)의 에칭 가스는 추가로 불활성 가스를 포함하는 방법.
  24. 제 22 항에 있어서, 상기 단계(f)의 에칭 가스는 추가로 HBr, BCl3, SiCl4및 이들의 혼합물로 구성된 그룹으로부터 선택된 가스를 포함하는 방법.
  25. 약 0.1 내지 약 30 부피%의 질소, 약 60 내지 약 70 부피%의 염소와 약 10 내지 20 부피%의 아르곤으로 본질적으로 구성되는 플래티늄 에칭용 에칭 가스.
  26. 약 1000Å 이상의 평균 높이값을 가진 피크-대 벨리 조도 높이를 가진 표면 피니쉬를 가진 유전체 구조물을 포함하는 유전체 부재.
  27. 플라즈마로 기판을 처리하기 위한 챔버 조립체로서,
    챔버 벽, 상기 챔버 벽에 의해 지지되고, 약 1000Å 이상의 평균 높이값을 가진 피크-대 벨리 조도 높이를 가진 증착-수용면을 포함하는 유전체 윈도우와, 기판이 처리되는 처리 영역을 포함하는 처리 챔버;
    상기 처리 영역내에 배치된 받침대 조립체;
    처리 전력원;
    상기 처리 벽의 처리 영역으로 처리 가스를 유입하기 위한, 상기 챔버 벽에 결합된 처리 가스 유입 조립체;와
    처리 챔버 벽의 상기 처리 영역내의 처리 가스로부터 플라즈마를 지속시키는데 도움을 주도록 상기 처리 영역으로 전력을 전달하기 위해서 상기 처리 전력원에연결된 처리 전력 전달 부재를 포함하는 챔버 조립체.
  28. 기판상의 층을 처리하는 방법으로서,
    a) 기판을 제공하는 단계;
    b) 상기 기판을 약 1000Å 이상의 평균 높이값을 가진 피크-대 벨리 조도 높이를 가진 증착-수용면을 포함하는 유전체 윈도우를 포함하는 반응기 챔버내에 배치하는 단계;
    c) 상기 단계(b)의 반응기 챔버로 처리 가스를 유입하는 단계;와
    d) 상기 처리 가스의 플라즈마로 상기 기판을 처리하도록 상기 단계(b)의 반응기 챔버로 처리 전력을 유입하는 단계를 포함하는 방법.
  29. 기판상에 배치된 플래티늄 전극층을 에칭하는 방법으로서,
    a) 플래티늄 전극층을 지지하는 기판을 제공하는 단계;
    b) 상기 기판을 약 1000Å 이상의 평균 높이값을 가진 피크-대 벨리 조도 높이를 가진 증착-수용면을 포함하는 유전체 윈도우를 포함하는 반응기 챔버내에 배치하는 단계;
    c) 상기 반응기 챔버 내에서 상기 단계(b)의 기판을 약 150℃ 이상의 온도까지 가열하는 단계;와
    d) 하나 이상의 에칭된 플래티늄 전극층을 지지하는 상기 기판을 생성하도록 질소와 염소를 포함하는 에칭 가스의 플라즈마로 상기 플래티늄 전극층을 에칭하는단계를 포함하는 방법.
  30. 제 29 항에 있어서, 상기 에칭 가스는 추가로 아르곤, HBr, BCl3SiCl4및 이들의 혼합물로 구성된 그룹으로부터 선택된 가스를 포함하는 방법.
  31. 제 21 항에 있어서, 상기 단계(f)의 에칭 가스는 추가로 불활성 가스를 포함하는 방법.
  32. 제 21 항에 있어서, 상기 단계(f)의 에칭 가스는 추가로 HBr, BCl3, SiCl4및 이들의 혼합물로 구성된 그룹으로부터 선택된 가스를 포함하는 방법.
KR1020017010477A 1999-02-17 2000-02-16 이방성 플래티늄 프로파일을 위한 에칭 방법 KR20010112270A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/251,826 1999-02-17
US09/251,826 US6323132B1 (en) 1998-01-13 1999-02-17 Etching methods for anisotropic platinum profile
PCT/US2000/004015 WO2000049202A2 (en) 1999-02-17 2000-02-16 Improved etching methods for anisotropic platinum profile

Publications (1)

Publication Number Publication Date
KR20010112270A true KR20010112270A (ko) 2001-12-20

Family

ID=22953576

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017010477A KR20010112270A (ko) 1999-02-17 2000-02-16 이방성 플래티늄 프로파일을 위한 에칭 방법

Country Status (4)

Country Link
US (2) US6323132B1 (ko)
JP (1) JP2002537645A (ko)
KR (1) KR20010112270A (ko)
WO (1) WO2000049202A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100879325B1 (ko) * 2002-03-11 2009-01-19 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마처리방법 및 처리장치
KR20120107883A (ko) * 2011-03-22 2012-10-04 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기억 매체

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1098162A (ja) * 1996-09-20 1998-04-14 Hitachi Ltd 半導体集積回路装置の製造方法
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
US6362822B1 (en) * 1999-03-12 2002-03-26 Terminal Reality, Inc. Lighting and shadowing methods and arrangements for use in computer graphic simulations
JP2001035808A (ja) * 1999-07-22 2001-02-09 Semiconductor Energy Lab Co Ltd 配線およびその作製方法、この配線を備えた半導体装置、ドライエッチング方法
US20050118637A9 (en) * 2000-01-07 2005-06-02 Levinson Douglas A. Method and system for planning, performing, and assessing high-throughput screening of multicomponent chemical compositions and solid forms of compounds
US20050089923A9 (en) * 2000-01-07 2005-04-28 Levinson Douglas A. Method and system for planning, performing, and assessing high-throughput screening of multicomponent chemical compositions and solid forms of compounds
CZ20022332A3 (cs) * 2000-01-07 2003-01-15 Transform Pharmaceuticals, Inc. Sestava vzorků
US20070020662A1 (en) * 2000-01-07 2007-01-25 Transform Pharmaceuticals, Inc. Computerized control of high-throughput experimental processing and digital analysis of comparative samples for a compound of interest
US7108970B2 (en) * 2000-01-07 2006-09-19 Transform Pharmaceuticals, Inc. Rapid identification of conditions, compounds, or compositions that inhibit, prevent, induce, modify, or reverse transitions of physical state
US20070021929A1 (en) * 2000-01-07 2007-01-25 Transform Pharmaceuticals, Inc. Computing methods for control of high-throughput experimental processing, digital analysis, and re-arraying comparative samples in computer-designed arrays
US6977723B2 (en) * 2000-01-07 2005-12-20 Transform Pharmaceuticals, Inc. Apparatus and method for high-throughput preparation and spectroscopic classification and characterization of compositions
GB0008563D0 (en) * 2000-04-07 2000-05-24 Cambridge Discovery Chemistry Investigating different physical and/or chemical forms of materials
JP2002009056A (ja) * 2000-06-22 2002-01-11 Mitsubishi Electric Corp 微細パターン形成方法およびその方法により製造した装置
JP2002015405A (ja) * 2000-06-28 2002-01-18 Tdk Corp 薄膜磁気ヘッドおよびその製造方法
JP4023076B2 (ja) * 2000-07-27 2007-12-19 富士通株式会社 表裏導通基板及びその製造方法
JP2002103207A (ja) * 2000-09-27 2002-04-09 Hitachi Ltd 乾式化学機械研磨方法
US6692648B2 (en) * 2000-12-22 2004-02-17 Applied Materials Inc. Method of plasma heating and etching a substrate
US6709609B2 (en) * 2000-12-22 2004-03-23 Applied Materials Inc. Plasma heating of a substrate with subsequent high temperature etching
JP3853163B2 (ja) * 2001-02-20 2006-12-06 松下電器産業株式会社 強誘電体メモリ装置及びその製造方法
US20060129329A1 (en) * 2001-04-09 2006-06-15 Kobylecki Ryszard J Investigating different physical and/or chemical forms of materials
IL160702A0 (en) * 2001-09-07 2004-08-31 Transform Pharmaceuticals Inc Apparatus and method for high-throughput preparation and characterization of composition
US6693004B1 (en) * 2002-02-27 2004-02-17 Advanced Micro Devices, Inc. Interfacial barrier layer in semiconductor devices with high-K gate dielectric material
US20040053506A1 (en) * 2002-07-19 2004-03-18 Yao-Sheng Lee High temperature anisotropic etching of multi-layer structures
JP4036707B2 (ja) * 2002-08-12 2008-01-23 三洋電機株式会社 誘電体素子および誘電体素子の製造方法
US7071112B2 (en) * 2002-10-21 2006-07-04 Applied Materials, Inc. BARC shaping for improved fabrication of dual damascene integrated circuit features
US20100210027A9 (en) * 2002-11-04 2010-08-19 Hongming Chen Method for determining effect of preformulation forms on their dissolution rates
KR100469739B1 (ko) * 2003-01-06 2005-02-02 삼성전자주식회사 플라즈마 식각을 이용한 반도체 제조 방법
KR100478498B1 (ko) * 2003-01-30 2005-03-28 동부아남반도체 주식회사 반도체 소자의 금속 배선 형성 방법
US6943039B2 (en) * 2003-02-11 2005-09-13 Applied Materials Inc. Method of etching ferroelectric layers
KR20050070837A (ko) * 2003-12-31 2005-07-07 동부아남반도체 주식회사 금속 옥사이드 반도체 소자의 플라즈마 손상방지를 위한식각방법
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
US7267996B2 (en) * 2004-08-20 2007-09-11 Sharp Laboratories Of America, Inc. Iridium etching for FeRAM applications
US7521705B2 (en) 2005-08-15 2009-04-21 Micron Technology, Inc. Reproducible resistance variable insulating memory devices having a shaped bottom electrode
US20070202704A1 (en) * 2006-02-28 2007-08-30 Hynix Semiconductor Inc. Method for etching platinum and method for fabricating capacitor using the same
US7659210B2 (en) * 2007-05-14 2010-02-09 Micron Technology, Inc. Nano-crystal etch process
JP4524354B2 (ja) * 2008-02-28 2010-08-18 国立大学法人東北大学 マイクロ波プラズマ処理装置、それに用いる誘電体窓部材および誘電体窓部材の製造方法
JP2010283213A (ja) * 2009-06-05 2010-12-16 Tokyo Electron Ltd 基板処理方法
US10109503B2 (en) * 2011-07-22 2018-10-23 Advanpack Solutions Pte Ltd. Method of manufacturing semiconductor package device
EP2570153B1 (en) 2011-09-14 2017-09-13 NeuroNexus Technologies, Inc. Methods for forming an electrode device with reduced impedance
US9301391B2 (en) 2011-11-29 2016-03-29 Advanpack Solutions Pte Ltd. Substrate structure, semiconductor package device, and manufacturing method of substrate structure
US9006105B2 (en) 2013-07-30 2015-04-14 United Microelectronics Corp. Method of patterning platinum layer
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5916334A (ja) 1982-07-19 1984-01-27 Matsushita Electronics Corp ドライエツチング方法
JPH0676664B2 (ja) 1986-12-09 1994-09-28 キヤノン株式会社 マイクロ波プラズマcvd法による機能性堆積膜の形成装置
JP2947818B2 (ja) 1988-07-27 1999-09-13 株式会社日立製作所 微細孔への金属穴埋め方法
US4889588A (en) 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
US4902377A (en) 1989-05-23 1990-02-20 Motorola, Inc. Sloped contact etch process
US5188704A (en) 1989-10-20 1993-02-23 International Business Machines Corporation Selective silicon nitride plasma etching
DE3935189A1 (de) 1989-10-23 1991-05-08 Leybold Ag Verfahren und vorrichtung zur behandlung von werkstuecken durch reaktives ionenaetzen
JPH0590224A (ja) 1991-01-22 1993-04-09 Toshiba Corp 半導体装置の製造方法
JPH05274220A (ja) 1992-03-27 1993-10-22 Nec Corp メモリバンクビジー制御方式
US5232747A (en) 1992-07-27 1993-08-03 Radiant Technologies Platinum-aluminum connection system
US5258093A (en) 1992-12-21 1993-11-02 Motorola, Inc. Procss for fabricating a ferroelectric capacitor in a semiconductor device
JPH07130702A (ja) 1993-11-08 1995-05-19 Fujitsu Ltd 白金又はパラジウムよりなる金属膜のパターニング方法
KR0171060B1 (ko) 1993-12-28 1999-03-30 스기야마 카즈히코 반도체장치의 제조방법
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
JP3460347B2 (ja) 1994-03-30 2003-10-27 松下電器産業株式会社 半導体装置の製造方法
JP3660391B2 (ja) * 1994-05-27 2005-06-15 株式会社東芝 半導体装置の製造方法
JP3122579B2 (ja) 1994-07-27 2001-01-09 シャープ株式会社 Pt膜のエッチング方法
US5554564A (en) 1994-08-01 1996-09-10 Texas Instruments Incorporated Pre-oxidizing high-dielectric-constant material electrodes
US5622893A (en) 1994-08-01 1997-04-22 Texas Instruments Incorporated Method of forming conductive noble-metal-insulator-alloy barrier layer for high-dielectric-constant material electrodes
JP3259000B2 (ja) * 1994-09-08 2002-02-18 三菱電機株式会社 高融点金属含有膜のエッチング方法及び薄膜キャパシタの製造方法
US5753044A (en) 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JP2953974B2 (ja) 1995-02-03 1999-09-27 松下電子工業株式会社 半導体装置の製造方法
KR100271111B1 (ko) 1995-02-28 2000-12-01 로데릭 더블류 루이스 재피착을사용하여구조를형성하는방법
KR100322695B1 (ko) * 1995-03-20 2002-05-13 윤종용 강유전성캐패시터의제조방법
JP3299864B2 (ja) 1995-06-28 2002-07-08 俊二 三船 竹 刀
KR100199094B1 (ko) * 1995-10-18 1999-06-15 구본준 반도체 소자의 커패시터 제조방법
KR100413649B1 (ko) 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
JPH09251983A (ja) 1996-03-15 1997-09-22 Rohm Co Ltd ドライエッチング方法
US6090717A (en) * 1996-03-26 2000-07-18 Lam Research Corporation High density plasma etching of metallization layer using chlorine and nitrogen
US5907791A (en) * 1996-04-25 1999-05-25 Lucent Technologies Inc. Method of making semiconductor devices by patterning a wafer having a non-planar surface
JP3388089B2 (ja) 1996-04-25 2003-03-17 シャープ株式会社 不揮発性半導体メモリ素子の製造方法
US6165816A (en) * 1996-06-13 2000-12-26 Nikko Company Fabrication of electronic components having a hollow package structure with a ceramic lid
EP0925605A4 (en) 1996-07-03 2003-11-05 Tegal Corp METHOD AND APPARATUS FOR ATTACKING SEMICONDUCTOR WAFERS
DE19631622A1 (de) 1996-08-05 1998-02-12 Siemens Ag Verfahren zum plasmaunterstützten anisotropen Ätzen von Metallen, Metalloxiden und deren Gemische
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
TW365691B (en) 1997-02-05 1999-08-01 Samsung Electronics Co Ltd Method for etching Pt film of semiconductor device
EP0865079A3 (en) 1997-03-13 1999-10-20 Applied Materials, Inc. A method for removing redeposited veils from etched platinum surfaces
JPH10294528A (ja) 1997-04-21 1998-11-04 Seiko Epson Corp 面発光型半導体レーザの製造方法
DE19728473A1 (de) 1997-07-03 1999-01-07 Siemens Ag Strukturierungsverfahren
JPH11111695A (ja) * 1997-10-07 1999-04-23 Fujitsu Ltd 白金薄膜パターンの形成方法及び半導体装置の製造方法
EP1001459B1 (en) 1998-09-09 2011-11-09 Texas Instruments Incorporated Integrated circuit comprising a capacitor and method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100879325B1 (ko) * 2002-03-11 2009-01-19 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마처리방법 및 처리장치
KR20120107883A (ko) * 2011-03-22 2012-10-04 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기억 매체

Also Published As

Publication number Publication date
US20020037647A1 (en) 2002-03-28
WO2000049202A3 (en) 2000-12-28
WO2000049202A2 (en) 2000-08-24
JP2002537645A (ja) 2002-11-05
US6323132B1 (en) 2001-11-27
US6749770B2 (en) 2004-06-15

Similar Documents

Publication Publication Date Title
KR20010112270A (ko) 이방성 플래티늄 프로파일을 위한 에칭 방법
US6482745B1 (en) Etching methods for anisotropic platinum profile
US6919168B2 (en) Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
US6277762B1 (en) Method for removing redeposited veils from etched platinum
KR19980080224A (ko) 에칭되는 백금으로부터 재증착된 베일을 제거하기 위한 방법
US6265318B1 (en) Iridium etchant methods for anisotropic profile
US6368517B1 (en) Method for preventing corrosion of a dielectric material
US6143649A (en) Method for making semiconductor devices having gradual slope contacts
US6492280B1 (en) Method and apparatus for etching a semiconductor wafer with features having vertical sidewalls
US5346585A (en) Use of a faceted etch process to eliminate stringers
JP4451934B2 (ja) 導電層をエッチングする方法及び集積回路
US6127277A (en) Method and apparatus for etching a semiconductor wafer with features having vertical sidewalls
US20020076936A1 (en) Method of fabricating semiconductor integrated circuit device and the semiconductor integrated circuit device
KR100756742B1 (ko) 고밀도 ram 커패시터의 전극을 패턴화하기 위한 개선된마스킹 방법 및 에칭 공정
KR100537231B1 (ko) 반도체웨이퍼식각방법및장치
KR20010045959A (ko) 고유전체 캐패시터의 전하저장전극 형성방법

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid