KR20010102628A - 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는중성입자 처리 장치 - Google Patents

하이퍼서멀 중성입자 발생 장치 및 이를 채용하는중성입자 처리 장치 Download PDF

Info

Publication number
KR20010102628A
KR20010102628A KR1020000023538A KR20000023538A KR20010102628A KR 20010102628 A KR20010102628 A KR 20010102628A KR 1020000023538 A KR1020000023538 A KR 1020000023538A KR 20000023538 A KR20000023538 A KR 20000023538A KR 20010102628 A KR20010102628 A KR 20010102628A
Authority
KR
South Korea
Prior art keywords
metal plate
plasma
neutral particle
last
neutral
Prior art date
Application number
KR1020000023538A
Other languages
English (en)
Other versions
KR100367662B1 (ko
Inventor
이봉주
Original Assignee
이학주
주식회사 셈테크놀러지
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 이학주, 주식회사 셈테크놀러지 filed Critical 이학주
Priority to KR10-2000-0023538A priority Critical patent/KR100367662B1/ko
Priority to JP2001581334A priority patent/JP3655874B2/ja
Priority to PCT/KR2001/000709 priority patent/WO2001084611A1/en
Priority to US10/258,775 priority patent/US6935269B2/en
Publication of KR20010102628A publication Critical patent/KR20010102628A/ko
Application granted granted Critical
Publication of KR100367662B1 publication Critical patent/KR100367662B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Particle Accelerators (AREA)

Abstract

반도체 및 액정 패널과 같은 피처리체를 가공하는데 사용할 수 있고 그 유효 단면이 넓은 하이퍼서멀 중성입자 빔을 발생할 수 있는 장치를 제공한다.
하이퍼서멀 중성입자 발생 장치에 있어서, 중성입자는 플라즈마 이온이 금속면에 충돌할 때 생성된다. 하이퍼서멀 중성입자 발생 장치는 플라즈마 생성 수단 및 중성입자 변환 수단을 포함한다. 플라즈마 생성 수단은 소정의 가스를 받아들이고, 외부로부터의 고주파 전력에 의해 가스로부터 다수의 플라즈마 이온들을 포함하는 플라즈마를 생성한다. 중성입자 변환 수단은 상기 플라즈마 생성 수단에 인접하여 배치되어 있으며, 각각이 서로 평행하게 설치되고 각각에 다수의 관통 홀들이 형성되어 있는 복수의 금속판들을 포함한다. 복수의 금속판들 각각은 적어도 그 표면이 상기 가스보다 분자량이 큰 중금속으로 되어 있어서 플라즈마 이온이 충돌할 때 다수의 플라즈마 이온들 중 적어도 일부가 중성입자로 변환되게 할 수 있다.

Description

하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치{Hyperthermal Neutral Particle Beam Source and Neutral Particle Beam Processing Apparatus Employing the Same}
본 발명은 반도체 제조 장비에 관한 것으로서, 보다 상세하게는 반도체나 액정 패널의 제조 공정에 있어서 입자 빔에 의해 반도체 웨이퍼 등을 처리하는 장치에 관한 것이다.
건식 식각이나, 물리적 또는 화학적 기상 증착, 감광제 세정 및 기타 표면 처리 등의 단위 공정에 있어서, 플라즈마 챔버를 사용해서 발생되는 플라즈마가 널리 이용되고 있다. 이와 같은 플라즈마 챔버 상부의 내측 또는 외측에는 플라즈마발생을 위한 안테나가 설치되어 있으며, 챔버 하부에는 웨이퍼 또는 액정 패널을 탑재하기 위한 서셉터가 설치되어 있다. 안테나를 통해 챔버 내측의 상부 공간에 고주파전력을 인가하면, 챔버 내에 도입되어 있는 처리가스가 해리되어 글로우 방전에 의한 플라즈마가 여기된다. 이때, 서셉터에 고주파 바이어스 전압을 인가하면, 플라즈마에 포함된 이온이 웨이퍼의 피처리면으로 효과적으로 도입되어 원하는 처리를 실시할 수 있게 된다.
반도체 소자가 고집적화되고 반도체 웨이퍼 또는 액정 디스플레이가 대구경화 내지 대면적화됨에 따라, 피처리체를 처리하기 위한 장치에 대한 요구 조건도 갈수록 엄격해지고 있는데, 이러한 상황은 플라즈마 처리장치에 있어서도 마찬가지라고 할 수 있다. 이와 관련하여, 플라즈마 처리 장치의 성능을 향상시키기 위해 많은 제안이 행해져 왔다. 이러한 노력 내지 제안은 처리실 내의 플라즈마 밀도를 높여서 고속 처리를 가능하게 하는 것과, 플라즈마 분포를 균일하게 하여 대면적의 피처리체를 처리할 수 있도록 하는 것에 초점이 맞추어져 있다. 특히, 플라즈마 밀도를 높이는 것과 관련해서는 유도결합형 플라즈마 처리 장치가 널리 사용되고 있으며, 플라즈마 분포를 균일하게 하는 것과 관련해서는 안테나의 배치 형태나 처리가스 도입위치의 변경 등이 시도되고 있다.
그렇지만 이러한 성능 개선에도 불구하고, 플라즈마가 대전된 입자라는 점에서 플라즈마에 의한 처리방식은 웨이퍼를 초정밀하게 처리하는데 한계를 가지고 있다. 예컨대 식각을 함에 있어서, 대전된 입자를 사용하게 되면 식각 과정에서 피처리체가 대전될 수 있는데, 이러한 경우 식각 프로파일이 변경되거나 전압 구배가발생하여 피처리체 내에 형성되는 소자가 손상될 수 있다. 아울러, 가속된 이온에 의한 식각 반응은 기판재료 표면에 전위(Dislocation)이나 변형된 표면층 형성 등의 손상층을 형성할 수 있다. 이와 같은 문제점을 해결하기 위해서는, 플라즈마 이온의 에너지를 낮게 하거나, 식각 후에 피처리체의 손상을 치유하기 위해 별도의 열처리를 행해야만 한다.
이와 같은 플라즈마 처리의 단점을 해결하기 위하여, 1987년 5월 5일 유니버시티 패턴츠 인크에 부여된 미합중국특허 제4,662,977호(발명의 명칭: 중성입자에 의한 표면 처리)은 플라즈마 대신 중성입자를 사용하는 시스템이 제안하고 있다. 상기 시스템은 플라즈마 건에 의해 플라즈마를 발생하고 이를 경사진 금속판에 의해 반사시켜서 중성 입자를 생성하게 된다. 그렇지만, 이 시스템은 웨이퍼에 입사되는 중성입자 빔의 단면이 좁게 되어 대략 8인치 이상의 대형 피처리체를 처리하기에는 부적합하다는 문제점이 있다. 만약 넓은 피처리체에 대해 적용하고자 하는 경우에는 식각의 균일성을 확보하기가 어렵게 된다. 아울러, 이 시스템은 식각을 위한 활성종의 원자 또는 분자를 직접 사용하여 플라즈마를 생성하도록 되어 있으며, 챔버 내에 식각 성능 향상을 위한 첨가재료를 추가적으로 도입하거나 활성종을 별도로 도입하기가 곤란할 수 있다.
본 발명은 상술한 문제점을 해결하기 위하여 안출된 것으로서, 반도체 및 액정 패널과 같은 피처리체를 가공하는데 사용할 수 있고 그 유효 단면이 넓은 하이퍼서멀 중성입자 빔을 발생할 수 있는 장치를 제공하는 것을 그 기술적 과제로 한다.
아울러, 본 발명은 유효 단면이 넓은 중성입자 빔을 발생하여 반도체 및 액정 패널과 같은 피처리체의 표면 처리를 하기 위한 하이퍼서멀 중성입자 처리 장치를 제공하는 것을 다른 기술적 과제로 한다.
도 1은 본 발명의 일 실시예에 따른 식각 장치의 일 예를 보여주는 도면.
도 2는 도 1에 도시된 반사판 조립체의 일 실시예의 확대 단면도.
도 3은 도 1에 도시된 반사판 조립체의 일 실시예의 분해 사시도.
도 4는 반사판 조립체에서의 중성입자 생성 과정을 설명하기 위한 도면.
도 5는 반사판 조립체의 다른 실시예의 분해 사시도.
상기 기술적 과제를 달성하기 위한 본 발명의 중성입자 발생 장치에 있어서, 하이퍼서멀 중성입자는 플라즈마 이온이 금속면에 충돌할 때 생성된다. 중성입자 발생 장치는 플라즈마 생성 수단 및 중성입자 변환 수단을 포함한다. 플라즈마 생성 수단은 소정의 가스를 받아들이고, 외부로부터의 고주파 전력에 의해 가스로부터 다수의 플라즈마 이온들을 포함하는 플라즈마를 생성한다. 중성입자 변환 수단은 상기 플라즈마 생성 수단에 인접하여 배치되어 있으며, 각각이 서로 평행하게 설치되고 각각에 다수의 관통부들이 형성되어 있는 복수의 금속판들을 포함한다. 복수의 금속판들 각각은 적어도 그 표면이 상기 가스보다 분자량이 큰 중금속으로 되어 있어서 플라즈마 이온이 충돌할 때 다수의 플라즈마 이온들 중 적어도 일부가 중성입자로 변환되게 할 수 있다.
플라즈마 발생 수단은 중성입자 변환 수단에 의해 정해지는 어느 한 면을 포함하는 복수의 내부면들에 의해 한정되고, 상기 내부면들 중 적어도 어느 하나에는 플라즈마 이온을 가속하기 위한 바이어스가 인가된다. 이에 따라, 플라즈마 이온은 가속되어 내부면에 충돌하고, 중성입자로 변환된다. 플라즈마 발생 수단은 그 내부 단면이 대략 원형으로 되어 있으며, 직경 대 높이의 비가 5이상이 되는 것이바람직하다.
중성입자 변환 수단을 가로질러서 제1 편향 수단이 배치되는데, 제1 편향 수단은 상기 중성입자 변환 수단에 유입되는 상기 플라즈마 이온의 진행 방향을 변화시켜 상기 복수의 금속판들과 추가적으로 충돌할 수 있게 유도한다. 중성입자 변환 수단에 있어서, 각 금속판의 관통부들은 그 크기가 다르게 형성되는 것이 바람직한데, 특히 플라즈마 발생 수단으로 멀리 배치되어 있는 금속판일수록 관통부의 크기가 작게 되어 있는 것이 바람직하다.
상기 다른 기술적 과제를 달성하기 위한 본 발명의 중성입자 처리 장치는 중성입자를 발생하고 발생된 중성입자에 의해 피처리물을 처리하기 위한 것이다. 처리 장치는 탑재 수단, 제1 가스공급 수단, 플라즈마 생성 수단 및 중성입자 변환 수단을 포함한다.
탑재 수단은 피처리물을 탑재하게 되며, 제1 가스공급 수단은 피처리물을 처리하기 위한 제1 가스를 공급한다. 플라즈마 생성 수단은 제2 가스공급 수단으로부터 제1 가스를 받아들이고 외부로부터의 고주파 전력에 의해 제1 가스로부터 다수의 플라즈마 이온들을 포함하는 플라즈마를 생성한다. 중성입자 변환 수단은 상기 플라즈마 생성 수단에 인접하여 배치되어 있으며, 각각이 서로 평행하게 설치되고 각각에 다수의 관통부들이 형성되어 있는 복수의 금속판들을 포함한다. 복수의 금속판들 각각은 적어도 그 표면이 제1 가스보다 분자량이 큰 중금속으로 되어 있어서 플라즈마 이온이 충돌할 때 다수의 플라즈마 이온들 중 적어도 일부가 중성입자로 변환되게 할 수 있다.
플라즈마 발생 수단은 중성입자 변환 수단에 의해 정해지는 어느 한 면을 포함하는 복수의 내부면들에 의해 한정되고, 상기 내부면들 중 적어도 어느 하나에는 플라즈마 이온을 가속하기 위한 바이어스가 인가된다. 이에 따라, 플라즈마 이온은 가속되어 내부면에 충돌하고, 중성입자로 변환된다. 플라즈마 발생 수단은 그 내부 단면이 대략 원형으로 되어 있으며, 직경 대 높이의 비가 5이상이 되는 것이 바람직하다.
중성입자 변환 수단을 가로질러서 제1 편향 수단이 배치되는데, 제1 편향 수단은 상기 중성입자 변환 수단에 유입되는 상기 플라즈마 이온의 진행 방향을 변화시켜 상기 복수의 금속판들과 추가적으로 충돌할 수 있게 유도한다. 중성입자 변환 수단의 후방에는 플라즈마 이온들 중 중성입자로 변환되지 않은 이온들이 피처리물로 향하지 않게 그 진행 방향을 변경할 수 있도록 제2 편향 수단이 배치되는 것이 바람직하다.
중성입자 변환 수단에 있어서, 각 금속판의 관통부는 그 크기가 다르게 형성되는 것이 바람직한데, 특히 플라즈마 발생 수단으로 멀리 배치되어 있는 금속판일수록 관통부의 크기가 작게 되어 있는 것이 바람직하다. 중성입자의 지향성을 증대시키기 위하여 복수의 금속판들 중 하단에 있는 두 개의 금속판들 각각에 형성되는 관통부들은 각각에 대응하여 마련되는 튜브에 의해 서로 연결될 수도 있다. 또한, 복수의 금속판들 중 하단에는 서로 동일한 패턴으로 관통부들이 형성되는 둘 이상의 금속판을 서로 맞닿게 포갤 수도 있다.
이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 보다 구체적으로 설명한다.
도 1은 본 발명의 일 실시예에 따른 식각 장치의 일 예를 보여준다. 도 1에 나타낸 식각 장치는 도전성 재료 예컨대 표면이 양극산화처리된 알루미늄으로 이루어져 있고, 대략 원통형상을 가지는 챔버(100)를 포함한다. 본 실시예에 있어서, 챔버(100)는 그 내측 상부에 설치된 안테나 지지 패널(110)에 의해 안테나 수납실(120)과 처리실(140)로 구분된다. 본 실시예에 있어서, 안테나 수납실(120)과 처리실(140)은 서로 다른 챔버로 이루어지지만, 외부의 진공 흡입 부분(128, 212, 146, 226)에서 서로 연결되어 있다. 상기 안테나 지지 패널(110)은 석영 등의 절연재로 구성된다. 한편, 처리실(140)은 반사판 조립체(150)에 의해 플라즈마 생성 공간(142)과 처리 공간(148)으로 구분된다.
안테나 수납실(120)에 있어서, 안테나 지지 패널(110) 상부면에는 루프형 또는 나선형의 고주파 안테나(122)가 설치된다. 고주파 안테나(122)는 챔버의 상부면을 관통하여 설치되어 있는 급전봉(124)을 통하여 외부의 고주파 전원(200)에 접속되어 있다. 고주파 전원(200)은 수백㎑ 내지 수백㎒의 고주파 전력을 발생하여 임피던스 정합부(202)를 통하여 안테나(122)에 급전한다. 여기서, 임피던스 정합부(202)는 전원(202)과 안테나(122)의 임피던스를 정합시켜서, 최대의 에너지가 안테나(122)에 전달될 수 있게 해준다.
한편, 안테나 수납실(120)의 일측에는 제1 배기구(128)가 마련되어 있는데, 이 제1 배기구(128)에는 바늘밸브 또는 누출밸브로 이루어진 제1 진공흡인밸브(212)가 연결되어 있다. 식각 장치가 동작할 때, 제1진공흡인밸브(212)는 안테나 수납실(120) 내부의 공기를 흡인하여, 안테나 수납실(120)이 일정한 감압 분위기, 예컨대 200∼300 밀리토르(mTorr)의 압력으로 유지되도록 해준다. 이처럼 안테나 수납실(120)이 일정한 감압 상태로 유지됨에 따라 안테나 수납실(120)은 플라즈마 생성 가능성이 낮아지게 되며, 플라즈마로 인해 안테나가 열화되는 것을 방지할 수가 있게 된다. 또한, 안테나 수납실(120)에는 제3 가스공급구(126)가 마련되어 있는데, 이 제3 가스공급구(126)에는 제3 유입밸브(210)가 연결되어 있다. 안테나 수납실(120)은 제3 유입밸브(210)를 통한 공기 공급과 제1 진공흡인밸브(212)를 통한 공기 배출을 통해 내부 압력이 조절된다.
한편, 본 발명의 다른 실시예에 있어서는, 안테나 수납실(120)이 공기 대신에 산소, 아르곤 또는 할로겐족 원소를 포함하는 물질로 채워질 수도 있다. 이러한 경우, 제3 유입밸브(210)에는 이러한 가스를 공급하기 위한 가스공급원이 연결된다.
반사판 조립체(150)는 안테나(122)로부터 유도되는 전력에 의해 처리가스로부터 생성되는 플라즈마 이온을 중성입자로 변환하여 처리 공간(148)에 공급한다. 후술하는 바와 같이, 반사판 조립체(150)는 다수의 홀이 형성되어 있는 복수의 반사판으로 구성된다. 이와 같은 반사판 조립체(150)는 안테나 수납실(120) 및 플라즈마 생성 공간(142)을 한정하는 챔버 상부 하우징와 처리 공간(148)을 측방향 및 하방향에서 한정하는 챔버 하부 하우징에 각각 마련되는 플랜지들(102, 104) 사이에 삽입한 후, 볼트 및 너트를 체결함으로써 조립된다.
플라즈마 생성 공간(142)의 내부 직경과 높이의 비는 5 이상이 되는 것이 바람직하다. 플라즈마 생성 공간(142)의 측벽에는 제1 가스공급구(144)가 마련되어 있는데, 제1 가스공급구(144)에는 유량제어기(MFC: Mass Flow Controller, 222) 및 제1 유입밸브(224)를 통해서 플라즈마 생성을 위한 원천가스를 공급하는 제1 가스공급원(220)이 접속되어 있다. 또한, 플라즈마 생성 공간(142)에는 제3 배기구(146)가 마련되어 있는데, 이 제3 배기구(146)에는 바늘밸브 또는 누출밸브로 이루어진 제3 진공흡인밸브(226)가 연결되어 있다. 제3 진공흡인밸브(226)는 제1 진공흡인밸브(212)와 함께 안테나 수납실(120)과 플라즈마 발생실(142) 간의 압력차를 조절하는데 사용된다.
반사판 조립체(150) 외측에는, 반사판 조립체(150) 내부로 유입되는 플라즈마 이온에 자력을 인가하여 플라즈마 이온의 진행 방향을 변경하기 위한 제1 자석 유닛(170, 172)이 설치된다. 제1 자석 유닛(170, 172)은 N극과 S극이 번갈아서 배치된 다수의 자석들을 포함한다.
처리 공간(148)의 하부에는 대략 원통 또는 원반 형상으로 되어 있으며 웨이퍼를 탑재할 수 있는 탑재대(180)가 설치되어 있다. 탑재대(180)는 승강기구(미도시됨)에 접속되어 있는 승강축(182)에 의해 챔버(100)의 저면 상에 지지된다. 또한, 탑재대(180)는 승강기구의 작동에 의해 승강축(182)을 매개해서 상하방향으로 승강할 수 있게 되어 있어서, 새로이 처리할 웨이퍼를 반입하고 처리가 완료된 웨이퍼를 반출할 수 있다. 한편, 탑재대(180)의 하방에는 탑재대(180)를 회전시키기 위한 모터(미도시됨)가 설치되어 있다. 이에 따라, 중성입자들이 웨이퍼 상에 도입되는 지점이 국부화되어 중성입자들의 도입량이 적은 부분(Blind spot)이 존재하게 되는 현상을 방지하고 중성입자가 웨이퍼 전체의 모든 지점에 고르게 도입될 수 있게 된다.
아울러 처리 공간(148)의 저면 또는 측면에는 제2 배기구(190)가 마련되어 있는데, 이 제2 배기구(190)에는 제2 진공흡인밸브(230)가 연결되어 있다. 식각 장치의 동작 개시 초기에, 제2 진공흡인밸브(230)는 처리실(140) 내부의 공기를 흡인하여, 처리실(140)이 진공 분위기, 예컨대 10-5밀리토르(mTorr) 정도의 압력으로 유지되도록 해준다. 아울러, 식각이 진행되는 도중에 제2 진공흡인밸브(230)는 처리실(140) 내부의 공기를 흡인하여 처리실(140) 내부에서 발생되는 폐가스를 외부로 배출하게 된다.
한편, 처리실(140)의 측면에는 에칭을 극대화시킬 수 있도록 하기 위해 가스도입실(195)이 별도로 마련된다. 가스도입실(195)은 처리실(140)에 연결되어 있으며, 활성종 가스 또는 첨가재료가 잘 퍼지면서(diffusively) 처리실(140)에 공급될 수 있게 되어 있다. 가스도입 공간(195)의 외측벽에는 제2 가스공급구(197)가 마련되어 있는데, 제2 가스공급구(197)에는 제2 유입밸브(242)를 통해서 활성종 가스 또는 첨가재료를 공급하는 제2 가스공급원(240)이 접속되어 있다. 제1 가스공급원(220)에서 공급되는 처리가스가 아르곤과 같은 비활성가스인 경우 식각을 위한 활성종은 제2 가스공급원(240)으로부터 공급된다. 그렇지만, 제1 가스공급원(220)에서 공급되는 처리가스에 활성종이 포함되어 있는 경우에는, 제2 가스공급원(240)은 첨가재료만을 공급하거나 활성종과 첨가재료가 포함된 혼합가스를 공급하게 된다.
도 2 및 도 3은 도 1에 도시된 반사판 조립체(150)를 구체적으로 보여준다. 바람직한 실시예에 있어서, 반사판 조립체(150)는 3 개의 반사판(310, 320, 330)으로 구성된다. 각각의 반사판(310, 320, 330)은 일종의 패러데이 쉴드로써 다수의 관통 홀들(312, 322, 332)이 형성되어 있다. 또한, 각 반사판(310, 320, 330)의 외주에는 오링(O-ring) 형태의 냉매순환로(314, 324, 334)가 설치되어 있는데. 이 냉매순환로(314, 324, 334)는 도시하지 않은 온도조정기에 접속되어 있다. 온도조정기와 냉매순환로 사이에서 냉매, 예컨대 물이나 에틸렌글리콜 등을 순환시킴으로써, 중성입자 생성 과정에서 발생하는 반사판(310, 320, 330)의 열을 냉각시켜 반사판(310, 320, 330)의 온도를 충분히 낮게 유지하는 것이 가능하다.
각 반사판(310, 320, 330)에 있어서, 관통 홀들은 같은 직경을 가질 수 있다. 그렇지만, 제1 반사판(310)에 형성되는 홀들(312)에 비해 제2 반사판(320)에 형성되는 홀들(322)은 작은 크기를 가지는 것이 바람직하며, 마찬가지로 제3 반사판(330)에 형성되는 홀들(332)은 제2 반사판(320)에 형성되는 홀들(322)에 비해 작은 크기를 가지는 것이 바람직하다. 그러나, 각 반사판(310, 320, 330)에서 홀들이 차지하는 비율 즉, 투명성(Transparency)은 대략 40∼50%로 동일할 수 있다. 한편, 적어도 제1 반사판(310)에는 바이어스 단자(316)가 형성되어 있어서, 플라즈마 이온을 흡인하여 유도할 수 있게 되어 있다. 이와 같은 반사판들(310, 320, 330)은 탄탈룸(Ta)이나, 몰리브덴(Mo), 텅스텐(W) 또는 스테인레스강과 같이 분자량이 큰 중금속으로 제작되거나, 적어도 이러한 금속 재질로 코팅이 된다. 여기서, 각 반사판(310, 320, 330)이 서로 다른 재질로 되어 있을 수도 있다.
도 1에 도시된 식각 장치는 다음과 같이 동작한다.
먼저, 제1 진공흡인밸브(212)를 구동하여 안테나 수납실(120)이 일정한 감압 분위기, 예컨대 200∼300 밀리토르(mTorr)의 압력으로 유지되도록 해준다. 이때, 안테나 수납실(120)과 플라즈마 발생실(142)의 압력차가 커짐으로 인해 안테나 지지 패널(110)이 파손되는 것을 방지할 수 있도록 하기 위해, 제3 진공흡인밸브(226)를 함께 구동하여 플라즈마 발생실(142)을 감압시키는 것이 바람직하다. 그다음, 제2 진공흡인밸브(230)를 구동하여 처리실(140)을 진공 분위기, 예컨대 10-5밀리토르(mTorr)의 압력으로 변화시킨다. 이 상태에서, 유량제어기(MFC: 222) 및 제1 유입밸브(224)를 조절하여 플라즈마 생성 공간(142)에 플라즈마 생성을 위한 원천가스를 공급한다. 이때, 원천가스로는 아르곤이 사용될 수 있다.
이와 같이 플라즈마 생성 공간(142)에 처리가스가 주입되는 상태에서 안테나(122)에 고주파 전력을 공급하면, 안테나(122)로부터 방사되는 전파에 의해 글로우 방전이 시작되어 처리가스로부터 플라즈마가 생성된다. 플라즈마 중에 유도전류가 발생함에 따라, 안테나(122)로부터의 전파 공급과 제1 가스공급원(220)으로부터의 처리가스공급이 계속되는 한 플라즈마 발생은 지속적으로 유지될 수 있다.
이때, 반사판 조립체(150)의 제1 반사판(310)에 -10 내지 -20볼트 정도의 바이어스가 인가된다. 바이어스에 의해 플라즈마 이온들은 반사판 조립체(150) 쪽으로 가속되어 반사판 조립체(150)와 충돌할 수 있게 된다. 반사판 조립체(150)가분자량이 큰 금속으로 되어 있기 때문에, 플라즈마 이온들 중 일부는 반사판 조립체(150)에 충돌할 때 완전탄성충돌을 하는 대신에 일정한 에너지를 잃고 반사판 조립체(150)로부터 전자를 흡수하여 중성입자로 변환된다. 일반적으로, 각 플라즈마 이온이 반사판 조립체(150)의 어느 한 반사판에 충돌할 때 중성입자로 변환될 확률은 약 50%에 이르며, 이때 중성입자의 에너지는 플라즈마 이온의 에너지에 비해 약 50% 정도 감소되는 것으로 알려져 있다(1991년 프린스턴대에서 발행된 John William Cuthbertson의 논문 "Reflection of Plasma Ions from Metals"를 참고할 것).
도 4에 도시된 바와 같이, 플라즈마 이온들 중에는 제1 반사판(310)에서의 첫 번째 충돌에서 중성입자로 변환되는 것도 있지만(입자 1), 제1 내지 제3 반사판(310, 320, 330) 사이에서 중성입자로 변환되는 것도 있다(입자 2 내지 입자 5). 즉, 제1 반사판(310)을 통과하는 이온들이 제2 및 제3 반사판(320, 330)을 통과하는 동안에 중성화될 수 있는 기회를 가지도록 하게 되어, 중성 입자 플럭스의 크기를 증가시키게 된다. 변환된 중성입자는 처리 공간(148)에 도입된다.
입자 1 및 입자 6과 같이 중성입자가 다시 플라즈마 생성 공간(142)으로 재유입될 수도 있는데, 이러한 입자는 플라즈마 생성 공간(142) 내벽면에서 탄성충돌을 반복한 후 최종적으로는 처리 공간(148)에 공급된다. 플라즈마 이온이 일단 중성입자로 변환되면, 각 충돌시마다 약 10%의 에너지만을 잃게 된다. 본 발명에 의한 중성입자 발생 장치에 있어서 웨이퍼에 공급되는 중성입자 빔은 평균 10전자볼트(eV)의 고에너지를 가지는 입자 빔(Hyperthermal neutral beam)이다.
한편, 이와 같은 중성입자로의 변환 과정에 있어서, 반사판 조립체(150) 외측에 설치된 제1 자석 유닛(170, 172)은 아직 중성입자로 변환되지 않은 플라즈마 이온에 대해 자력을 인가하여 반사판에 수직으로 입사하는 플라즈마 이온의 진행 방향을 변경해줌으로써, 플라즈마 이온이 다시 한번 반사판과 충돌하여 중성입자화할 수 있는 기회를 제공한다. 한편, 제2 자석 유닛(174, 176)은 중성입자로 변환되지 않고서 플라즈마 이온 상태로 처리 공간(148)에 유입되는 입자들을 측방향으로 유도하여, 이와 같은 플라즈마 이온이 웨이퍼로 향하는 것을 방지하게 된다.
한편, 제2 가스공급원(240)으로부터 공급되는 활성종 가스 및/또는 첨가가스는 웨이퍼 상의 피식각 물질과 화학반응을 일으켜 자발적으로 증발하거나 피식각 물질에 흡착된다. 중성입자는 웨이퍼 상에 흡착되어 있거나 잔류하는 부산물에 충돌하여 이 부산물이 제거될 수 있게 해준다. 이때, 중성입자는 대전된 입자가 아니기 때문에 웨이퍼에 미치는 손상이 거의 없게 된다. 이와 같이 식각이 진행되는 동안에, 증발된 폐가스는 제2 배기구(190) 및 제2 진공흡인밸브(230)를 통해 외부로 배출된다.
이상의 설명은 본 발명의 바람직한 실시예를 예시하는 것으로서, 본 발명은 이에 한정되지 않고 다양하게 변형될 수 있다.
예컨대, 이상의 설명에서는 제1 반사판(310)에 대해서 플라즈마 이온의 가속을 위한 바이어스를 인가하였지만, 제1 또는 제3 반사판(320, 330)에 또는 이들 모두에 바이어스를 인가할 수도 있다. 아울러, 반사판 대신에 안테나 지지 패널(110) 하부면에서 반대극성의 바이어스를 인가할 수도 있다. 다른 한편으로,챔버의 측벽에 양 또는 음의 바이어스를 인가할 수도 있는데, 이러한 경우 플라즈마 이온은 측방향으로 가속되어 중성입자로 변환된다. 일단 플라즈마 이온이 중성입자로 변환되면, 중성입자는 바이어스 영향을 받지 않고 플라즈마 발생실 내부면과 충돌을 반복한 후 반사판 조립체(150)를 통과하여 웨이퍼에 도입된다.
바람직한 실시예에 있어서는, 반사판 조립체(150)에 유입된 플라즈마 이온이 반사판들과 충돌하여 중성입자로 변환되는 기회를 추가적으로 부여하기 위해 반사판 조립체(150)를 가로질러 제1 자석 유닛(170, 172)가 설치된다. 그렇지만, 이러한 실시예가 변형된 예에 있어서는, 자석 대신에 반사판 조립체(150)를 가로질러 바이어스 회로가 설치될 수 있다. 나아가, 플라즈마 이온의 진행 경로를 변경하기 위한 여타의 편향 장치가 사용될 수 있다. 한편, 반사판 조립체(150)를 통과한 플라즈마 이온의 진행 경로를 변경하기 위한 제2 자석 유닛(174, 176)의 경우에도, 바이어스 회로나 여타의 편향 장치로 대체될 수 있다.
한편, 이상의 설명에서는 플라즈마 발생 공간(142)의 하부면을 한정하는 반사판들(310, 320, 330)만을 탄탈룸(Ta)이나, 몰리브덴(Mo), 텅스텐(W) 또는 스테인레스강과 같이 분자량이 큰 중금속으로 제작하는 것으로 기술하였다. 그렇지만, 플라즈마 발생 공간(142)의 다른 다른 면들도 이러한 중금속을 코팅하거나 중금속 판을 덧댈 수도 있다.
이상의 설명에서는 플라즈마 생성을 위한 처리가스로서 아르곤만이 제1 가스공급원(220)으로부터 플라즈마 생성 공간(142)에 유입되고 활성종은 제2 가스공급원(240)으로부터 공급되는 것으로 기술하였다. 그렇지만, 본 발명의 다른 실시예에 있어서는, 제1 가스공급원(220)에서 공급되는 처리가스에 플루어린(CF4)과 같은 활성종이 포함되어 있고, 제2 가스공급원(240)으로부터는 첨가재료 또는 활성종 및 첨가가스의 혼합가스가 공급될 수 있다.
아울러, 이상의 설명에서는 식각 장치를 중심으로 설명하였지만, 본 발명에 의한 중성입자 발생 장치는 여타의 반도체 처리 장치에도 응용될 수도 있다. 여기서, 반도체 처리란, 반도체 웨이퍼나 액정 기판 등의 피처리체상에 반도체층, 절연층, 도전층 등을 소정의 패턴으로 형성함으로써, 해당 피처리체 상에 반도체 장치 또는 상기 반도체 장치에 접속되는 배선, 전극 등을 포함하는 구조체를 제조하기 위해 실시되는 여러가지 처리를 의미한다. 이러한 반도체 처리 과정의 예를 들면 식각이외에 애싱, 산화막 형성, 클리닝 등을 들 수 있다.
이와 같이, 중성입자 발생장치가 실제로 응용되는 구체적인 공정에 따라서도 제1 가스공급원(220) 및 제2 가스공급원(240)을 통해 공급되는 가스의 종류는 서로 달라질 수 있다. 예컨대, 활성종 가스를 제1 가스공급원(220)으로부터 공급하는 경우를 생각하면, 식각 특히 절연체로 이용되는 SiO2의 식각 공정에 있어서는 활성종으로서 위에서 기술한 불소 함유 가스가 사용될 수 있지만, 애싱이나 클리닝 또는 산화막 형성 등에 있어서는 활성종 가스로서 산소(O2)가 공급될 수 있다. 어떠한 경우에 있어서든, 제2 가스공급원(240)으로부터 공급되는 가스는 공정에 따라 또는 소망하는 바에 따라 정해질 수 있다.
한편, 이상의 설명에서는 고주파 안테나(122)로서, 유전체 격벽 상에 설치되는 루프형 또는 나선형의 안테나가 사용되었지만, 본 발명의 다른 실시예에 있어서는 챔버를 둘러싸도록 감겨지는 나선형 안테나나 그밖에 여타 형태의 안테나가 채용될 수도 있다. 또한, 고주파 안테나(122)로부터의 플라즈마 생성 공간(142)으로의 급전 방식에 있어서도, 위에서 기술한 유도결합 방식 이외에 용량결합 방식이나 두가지가 혼합된 방식이 채용될 수 있다. 본 발명의 또 다른 실시예에 있어서는, 도파관을 통해 플라즈마 생성 공간(142)에 급전할 수도 있다. 아울러, 안테나가 챔버 내에서 피처리물인 반도체 웨이퍼에 대향하는 위치에 설치될 수도 있음은 물론이다. 물론, 상술한 바람직한 실시예도, 안테나가 챔버 내부에 설치된다는 점에서 내장형 안테나를 채용하는 시스템으로 볼 수도 있다.
다른 한편으로, 반사판 조립체(150)를 구성하는 반사판(310, 320, 330)의 경우, 위에서는 3개가 되는 예를 중심으로 설명하였지만, 반사판의 개수는 2개 또는 4개 이상이 될 수도 있다. 반사판의 개수가 많을수록 중성입자의 평균 에너지는 감소할 수 있다. 따라서, 반사판의 개수는 이러한 중성입자의 입사각 내지 이방성 식각 요구와 입자 에너지간의 상관 관계를 고려하여 정하는 것이 바람직하다. 아울러, 이상의 설명 및 도면에 있어서는 반사판에 형성되는 관통 홀이 원형인 것을 예로 들었지만, 이러한 관통 홀이 장방형 또는 모따기된 부채꼴 단면으로 된 슬릿 형태가 될 수도 있다.
반사판에 형성되는 각 홀의 지름 대 깊이 비율(지름/깊이)을 작을수록, 하이퍼서멀 중성입자가 웨이퍼에 거의 수직인 방향으로 입사될 수 있다. 그렇지만, 지름 대 깊이 비율이 작을수록 반사판의 가공이 어려워질 수 있는데, 이를 고려하여반사판 조립체(150)를 다른 형태로 배치함으로써 지름 대 깊이 비율을 필요한 수준으로 확보할 수도 있다. 예컨대, 반사판 조립체(150) 중 하단에 있는 제3 반사판(330)에 대해서는, 서로 맞닿아 있으면서 홀 위치가 일치되도록 두 개 이상의 동일 반사판을 포개어 사용할 수도 있다. 또한, 반사판 조립체(150) 중 하단에 있는 제3 반사판(330)에 대해서는, 두 개의 동일한 반사판을 사용하되 서로 대응되는 각 홀을 튜브에 의해 연결할 수도 있다.
도 5는 이와 같은 반사판 조립체(150)를 보여준다. 도 5의 반사판 조립체(150a)에 있어서는, 제3 반사판으로 두 개의 반사판(330, 330a)이 사용된다. 그리고 두 반사판들(330, 330a)의 각각의 대응하는 홀들은 튜브(340)에 의해 서로 연결되어 있다. 이러한 튜브(340)는 스테인레스 강으로 되어있을 수 있다. 이와 같은 반사판 조립체(150)에 있어서, 연직 방향이 아닌 경사진 방향으로 제3 반사판의 홀에 입사되는 중성입자들은 튜브(340) 내면에서 반사되어 그 진행 방향이 변경되어서, 거의 수직에 가까운 방향으로 진행하여 하방의 탑재대(180)에 탑재되는 웨이퍼에 도입될 수 있게 된다.
상술한 바와 같이, 본 발명이 속하는 기술분야의 당업자는 본 발명이 그 기술적 사상이나 필수적 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적인 것이 아닌 것으로서 이해해야만 한다. 본 발명의 범위는 상기 상세한 설명보다는 후술하는 특허청구범위에 의하여 나타내어지며, 특허청구범위의 의미 및 범위 그리고 그 등가개념으로부터 도출되는 모든 변경 또는변형된 형태가 본 발명의 범위에 포함되는 것으로 해석되어야 한다.
상술한 바와 같이, 본 발명은 플라즈마 이온을 각각이 패러데이 쉴드 형태로 된 복수의 반사판으로 되어 있는 반사판 조립체에 충돌시켜서 중성입자를 생성한다. 이러한 중성입자 생성 장치에 따르면, 단순하고 값싼 구조만으로 저압 하에서 고밀도의 중성입자를 넓은 면적에 걸쳐 발생할 수 있게 된다.
플라즈마 이온보다는 중성입자에 의해 피처리체를 처리하기 때문에, 피처리체에 손상이 야기될 가능성 내지 손상 정도가 크게 감소된다. 또한, 반사판 조립체 내에서 플라즈마 입자의 방향을 하방으로 정해주게 되고 반사판에 다수의 홀이 형성되어 있기 때문에, 피처리체에 도입되는 입자들이 피처리체 상에서 균일하게 분포되어 식각 등 처리의 균일성이 충분히 확보될 수 있다. 나아가, 고속으로 대형의 웨이퍼를 처리할 수 있게 되기 때문에 생산성이 향상될 수 있다.
아울러, 중성입자가 생성되기 이전에 플라즈마 생성 공간 내에서 플라즈마 입자를 가속시키기 때문에, 일반적인 플라즈마 처리 장치에서와는 달리 웨이퍼 탑재대 내지 서셉터에 입자를 가속하기 위한 음전위의 고주파 바이어스를 인가할 필요가 없게 된다.
한편, 반사판 조립체는 볼트 및 너트에 의해 챔버에 결합되기 때문에, 플라즈마 이온 및 중성입자와의 충돌에 의해 열화되어 성능이 저하되면, 이를 편리하고 용이하게 교체할 수 있다는 장점이 있다.

Claims (18)

  1. 소정의 가스를 받아들이고, 외부로부터의 고주파 전력에 의해 상기 소정의 가스로부터 다수의 플라즈마 이온들을 포함하는 플라즈마를 생성하는 플라즈마 생성 수단; 및
    상기 플라즈마 생성 수단에 인접하여 배치되어 있으며, 각각이 서로 평행하게 설치되고 각각에 다수의 관통부들이 형성되어 있으며 적어도 그 표면이 상기 소정의 가스보다 분자량이 큰 금속으로 되어 있어서 상기 플라즈마 이온이 충돌할 때 상기 다수의 플라즈마 이온들 중 적어도 일부가 중성입자로 변환되게 할 수 있는 복수의 금속판들을 구비하는 중성입자 변환 수단;
    을 포함하는 중성입자 발생 장치.
  2. 제1항에 있어서,
    상기 플라즈마 발생 수단은 상기 중성입자 변환 수단에 의해 정해지는 어느 한 면을 포함하는 복수의 내부면들에 의해 한정되고,
    상기 내부면들 중 적어도 어느 하나에는 상기 플라즈마 이온을 가속하기 위한 바이어스가 인가되는 중성입자 발생 장치.
  3. 제2항에 있어서, 상기 플라즈마 발생 수단은 그 내부 단면이 대략 원형으로 되어 있으며, 직경 대 높이의 비가 5이상인 중성입자 발생 장치.
  4. 제1항 또는 제2항에 있어서,
    상기 중성입자 변환 수단을 가로질러 배치되어 있고, 상기 중성입자 변환 수단에 유입되는 상기 플라즈마 이온의 진행 방향을 변화시켜 상기 복수의 금속판들과 추가적으로 충돌할 수 있게 유도하기 위한 제1 편향 수단;
    을 더 포함하는 중성입자 발생 장치.
  5. 제1항 또는 제2항에 있어서,
    상기 복수의 금속판들은 상기 플라즈마 발생 수단에 가장 인접해 있는 제1 금속판과, 상기 제1 금속판에 평행하게 배치되어 있는 제2 금속판을 포함하며,
    상기 제1 금속판에 형성되어 있는 관통부들이 상기 제2 금속판에 형성되어 있는 관통부들에 비해 크기가 큰 중성입자 발생 장치.
  6. 제1항 또는 제2항에 있어서,
    상기 복수의 금속판들은 상기 플라즈마 발생 수단에 가장 멀리 배치되어 있는 마지막 금속판과, 상기 마지막 금속판에 인접하여 평행하게 배치되어 있는 마지막 이전 금속판을 포함하며,
    상기 마지박 금속판에 형성되어 있는 관통부들 각각은 상기 마지막 이전 금속판에 형성되어 있는 관통부들 각각과 튜브에 의해 연결되어 있는 중성입자 발생 장치.
  7. 제1항 또는 제2항에 있어서,
    상기 복수의 금속판들은 상기 플라즈마 발생 수단에 가장 멀리 배치되어 있는 마지막 금속판과, 상기 마지막 금속판에 인접하여 평행하게 배치되어 있는 마지막 이전 금속판을 포함하며,
    상기 마지막 금속판과 마지막 이전 금속판에는 서로 동일한 패턴으로 상기 관통부들이 형성되어 있고, 상기 마지막 금속판과 상기 마지막 이전 금속판은 각각의 관통부들의 위치가 일치하도록 서로 맞닿아 포개어져 배치되는 중성입자 발생 장치.
  8. 제1항 또는 제2항에 있어서,
    상기 중성입자 변환 수단의 후방에 배치되어 있고, 상기 다수의 플라즈마 이온들 중 상기 중성입자로 변환되지 않은 이온들의 진행 방향을 상기 복수의 금속판들과 평행한 쪽으로 유도하기 위한 제2 편향 수단;
    을 더 포함하는 중성입자 발생 장치.
  9. 중성입자를 발생하고 발생된 중성입자에 의해 피처리물을 처리하기 위한 중성입자 처리 장치로서,
    상기 피처리물을 탑재하기 위한 탑재 수단;
    플라즈마 원천가스를 공급하는 제1 가스공급 수단;
    상기 플라즈마 원천가스를 받아들이고 외부로부터의 고주파 전력에 의해 상기 플라즈마 원천가스부터 다수의 플라즈마 이온들을 포함하는 플라즈마를 생성하는 플라즈마 생성 수단; 및
    상기 플라즈마 생성 수단에 인접하여 배치되어 있으며, 각각이 서로 평행하게 설치되고 각각에 다수의 관통부들이 형성되어 있으며 적어도 그 표면이 상기 제1 가스보다 분자량이 큰 금속으로 되어 있어서 상기 플라즈마 이온이 충돌할 때 상기 다수의 플라즈마 이온들 중 적어도 일부가 상기 중성입자로 변환되게 할 수 있는 복수의 금속판들을 구비하는 중성입자 변환 수단;
    을 포함하는 중성입자 처리 장치.
  10. 제9항에 있어서,
    상기 플라즈마 발생 수단은 상기 중성입자 변환 수단에 의해 정해지는 어느 한 면을 포함하는 복수의 내부면들에 의해 한정되고,
    상기 내부면들 중 적어도 어느 하나에는 상기 플라즈마 이온을 가속하기 위한 바이어스가 인가되는 중성입자 발생 장치.
  11. 제10항에 있어서, 상기 플라즈마 발생 수단은 그 내부 단면이 대략 원형으로 되어 있으며, 직경 대 높이의 비가 5이상인 중성입자 발생 장치.
  12. 제9항 또는 제10항에 있어서,
    상기 중성입자 변환 수단을 가로질러 배치되어 있고, 상기 중성입자 변환 수단에 유입되는 상기 플라즈마 이온의 진행 방향을 변화시켜 상기 복수의 금속판들과 추가적으로 충돌할 수 있게 유도하기 위한 제1 편향 수단;
    을 더 포함하는 중성입자 처리 장치.
  13. 제9항 또는 제10항에 있어서,
    상기 복수의 금속판들은 상기 플라즈마 발생 수단에 가장 인접해 있는 제1 금속판과, 상기 제1 금속판에 평행하게 배치되어 있는 제2 금속판을 포함하며,
    상기 제1 금속판에 형성되어 있는 관통부들이 상기 제2 금속판에 형성되어 있는 관통부들에 비해 크기가 큰 중성입자 처리 장치.
  14. 제9항 또는 제10항에 있어서,
    상기 복수의 금속판들은 상기 플라즈마 발생 수단에 가장 멀리 배치되어 있는 마지막 금속판과, 상기 마지막 금속판에 인접하여 평행하게 배치되어 있는 마지막 이전 금속판을 포함하며,
    상기 마지막 금속판에 형성되어 있는 관통부들 각각은 상기 마지막 이전 금속판에 형성되어 있는 관통부들 각각과 튜브에 의해 연결되어 있는 중성입자 발생 장치.
  15. 제9항 또는 제10항에 있어서,
    상기 복수의 금속판들은 상기 플라즈마 발생 수단에 가장 멀리 배치되어 있는 마지막 금속판과, 상기 마지막 금속판에 인접하여 평행하게 배치되어 있는 마지막 이전 금속판을 포함하며,
    상기 마지막 금속판과 마지막 이전 금속판에는 서로 동일한 패턴으로 상기 관통부들이 형성되어 있고, 상기 마지막 금속판과 마지막 이전 금속판은 각각의 관통부들의 위치가 일치하도록 서로 맞닿아 포개어져 배치되는 중성입자 발생 장치.
  16. 제9항 또는 제10항에 있어서,
    상기 탑재대의 측 방향에 설치되며, 상기 피처리물을 변화시키기 위한 제2 가스를 공급하는 제2 가스공급 수단;
    을 더 포함하는 중성입자 처리 장치.
  17. 제9항 또는 제10항에 있어서,
    상기 중성입자 변환 수단의 후방에 배치되어 있고, 상기 다수의 플라즈마 이온들 중 상기 중성입자로 변환되지 않은 이온들이 상기 피처리물로 향하지 않도록 그 진행 방향을 변경하기 위한 제2 편향 수단;
    을 더 포함하는 중성입자 처리 장치.
  18. 제9항에 있어서, 상기 탑재 수단은 상기 탑재 수단을 회전시키기 위한 회전 수단을 포함하는 중성입자 처리 장치.
KR10-2000-0023538A 2000-05-02 2000-05-02 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치 KR100367662B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR10-2000-0023538A KR100367662B1 (ko) 2000-05-02 2000-05-02 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
JP2001581334A JP3655874B2 (ja) 2000-05-02 2001-04-28 中性粒子ビーム処理装置
PCT/KR2001/000709 WO2001084611A1 (en) 2000-05-02 2001-04-28 Apparatus for treating the surface with neutral particle beams
US10/258,775 US6935269B2 (en) 2000-05-02 2001-04-28 Apparatus for treating the surface with neutral particle beams

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2000-0023538A KR100367662B1 (ko) 2000-05-02 2000-05-02 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치

Publications (2)

Publication Number Publication Date
KR20010102628A true KR20010102628A (ko) 2001-11-16
KR100367662B1 KR100367662B1 (ko) 2003-01-10

Family

ID=19667910

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-0023538A KR100367662B1 (ko) 2000-05-02 2000-05-02 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치

Country Status (4)

Country Link
US (1) US6935269B2 (ko)
JP (1) JP3655874B2 (ko)
KR (1) KR100367662B1 (ko)
WO (1) WO2001084611A1 (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100452920B1 (ko) * 2002-07-19 2004-10-14 한국디엔에스 주식회사 유도결합형 플라즈마 에칭 장치
KR100676396B1 (ko) * 2005-06-09 2007-02-01 주식회사 케이씨텍 중성화빔을 이용한 표면처리장치
KR100716258B1 (ko) * 2006-06-29 2007-05-08 한국기초과학지원연구원 고체원소 중성입자빔 생성장치 및 방법
KR100754369B1 (ko) * 2006-06-29 2007-09-03 한국기초과학지원연구원 중성입자빔을 이용한 직접 에칭에 의해 기판 상에 소정의패턴을 형성하는 방법
KR100754370B1 (ko) * 2006-06-29 2007-09-03 한국기초과학지원연구원 향상된 중성입자 플럭스를 갖는 중성입자빔 생성장치
US7282702B2 (en) 2005-01-27 2007-10-16 Samsung Electronics Co., Ltd. Ion neutralizer
KR100824304B1 (ko) * 2006-12-21 2008-04-22 세메스 주식회사 플라즈마 처리 장치
US7446325B2 (en) 2005-03-15 2008-11-04 Samsung Electronics Co., Ltd. Reflector for generating a neutral beam and substrate processing apparatus including the same

Families Citing this family (482)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4039834B2 (ja) * 2001-09-28 2008-01-30 株式会社荏原製作所 エッチング方法及びエッチング装置
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
KR100476903B1 (ko) * 2002-10-15 2005-03-17 주식회사 셈테크놀러지 중성입자 변환 효율이 향상된 중성입자 처리 장치
KR100553757B1 (ko) 2003-11-19 2006-02-20 삼성에스디아이 주식회사 유도결합형 플라즈마 처리장치
KR100555849B1 (ko) * 2003-11-27 2006-03-03 주식회사 셈테크놀러지 중성입자빔 처리장치
JP4540369B2 (ja) * 2004-03-09 2010-09-08 株式会社シンクロン 薄膜形成装置
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR100714898B1 (ko) * 2005-01-21 2007-05-04 삼성전자주식회사 중성빔을 이용한 기판 처리장치 및 처리방법
KR100698614B1 (ko) * 2005-07-29 2007-03-22 삼성전자주식회사 플라즈마 가속장치 및 그것을 구비하는 플라즈마 처리시스템
KR101140502B1 (ko) * 2006-06-13 2012-04-30 주식회사 케이씨텍 중성화빔을 이용한 표면처리장치
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080113108A1 (en) * 2006-11-09 2008-05-15 Stowell Michael W System and method for control of electromagnetic radiation in pecvd discharge processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP4992885B2 (ja) * 2008-11-21 2012-08-08 日新イオン機器株式会社 プラズマ発生装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8153958B2 (en) * 2009-07-10 2012-04-10 Sphere Renewable Energy Corp. Method and apparatus for producing hyperthermal beams
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5156041B2 (ja) * 2010-03-19 2013-03-06 株式会社シンクロン 薄膜形成方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR101104638B1 (ko) * 2010-07-16 2012-01-12 주식회사 테스 플라즈마 처리장치
US9398680B2 (en) * 2010-12-03 2016-07-19 Lam Research Corporation Immersible plasma coil assembly and method for operating the same
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US20130287963A1 (en) * 2012-04-26 2013-10-31 Varian Semiconductor Equipment Associates, Inc. Plasma Potential Modulated ION Implantation Apparatus
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6051788B2 (ja) * 2012-11-05 2016-12-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ発生装置
JP5939147B2 (ja) 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
WO2014170935A1 (ja) 2013-04-19 2014-10-23 キヤノンアネルバ株式会社 イオンビーム処理装置、電極アセンブリ及び電極アセンブリの洗浄方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20160013020A1 (en) * 2014-07-14 2016-01-14 Lam Research Corporation Systems and methods for producing energetic neutrals
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN108844567B (zh) * 2018-04-19 2021-01-05 大连民族大学 一种全钨面向等离子体样品台
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR101999683B1 (ko) * 2019-02-26 2019-07-15 (주)마이크로인스 플라즈마 스러스터
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11881378B2 (en) 2022-05-13 2024-01-23 Applied Materials, Inc. Angle control for neutral reactive species generated in a plasma

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2531134B2 (ja) 1986-02-12 1996-09-04 株式会社日立製作所 プラズマ処理装置
US4662977A (en) 1986-05-05 1987-05-05 University Patents, Inc. Neutral particle surface alteration
JPH0227718A (ja) * 1988-07-15 1990-01-30 Mitsubishi Electric Corp プラズマ処理方法およびそれに用いるプラズマ処理装置
US5282899A (en) 1992-06-10 1994-02-01 Ruxam, Inc. Apparatus for the production of a dissociated atomic particle flow
TW293983B (ko) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5468955A (en) 1994-12-20 1995-11-21 International Business Machines Corporation Neutral beam apparatus for in-situ production of reactants and kinetic energy transfer
JP3553688B2 (ja) * 1995-05-10 2004-08-11 アネルバ株式会社 プラズマ処理装置及びプラズマ処理方法
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6326597B1 (en) * 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100452920B1 (ko) * 2002-07-19 2004-10-14 한국디엔에스 주식회사 유도결합형 플라즈마 에칭 장치
US7282702B2 (en) 2005-01-27 2007-10-16 Samsung Electronics Co., Ltd. Ion neutralizer
KR100851902B1 (ko) * 2005-01-27 2008-08-13 삼성전자주식회사 이온 중성화 장치
US7446325B2 (en) 2005-03-15 2008-11-04 Samsung Electronics Co., Ltd. Reflector for generating a neutral beam and substrate processing apparatus including the same
KR100676396B1 (ko) * 2005-06-09 2007-02-01 주식회사 케이씨텍 중성화빔을 이용한 표면처리장치
KR100716258B1 (ko) * 2006-06-29 2007-05-08 한국기초과학지원연구원 고체원소 중성입자빔 생성장치 및 방법
KR100754369B1 (ko) * 2006-06-29 2007-09-03 한국기초과학지원연구원 중성입자빔을 이용한 직접 에칭에 의해 기판 상에 소정의패턴을 형성하는 방법
KR100754370B1 (ko) * 2006-06-29 2007-09-03 한국기초과학지원연구원 향상된 중성입자 플럭스를 갖는 중성입자빔 생성장치
KR100824304B1 (ko) * 2006-12-21 2008-04-22 세메스 주식회사 플라즈마 처리 장치

Also Published As

Publication number Publication date
US20030168011A1 (en) 2003-09-11
JP3655874B2 (ja) 2005-06-02
US6935269B2 (en) 2005-08-30
JP2003533022A (ja) 2003-11-05
KR100367662B1 (ko) 2003-01-10
WO2001084611A1 (en) 2001-11-08

Similar Documents

Publication Publication Date Title
KR100367662B1 (ko) 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
EP0805475B1 (en) Plasma processing apparatus
JP4607073B2 (ja) マイクロ波共鳴プラズマ発生装置、該装置を備えるプラズマ処理システム
JP3136054B2 (ja) プラズマ処理装置
JP3279038B2 (ja) プラズマ装置およびこれを用いたプラズマ処理方法
US6155200A (en) ECR plasma generator and an ECR system using the generator
US20040261720A1 (en) High-density plasma processing apparatus
KR970005035B1 (ko) 플라즈마발생방법 및 그 장치
WO2002078041A2 (en) Neutral particle beam processing apparatus
JP3561080B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR20010098899A (ko) 플라즈마처리장치 및 플라즈마처리방법
TW202121933A (zh) 處理基板的方法與設備
JP2928577B2 (ja) プラズマ処理方法およびその装置
JPH1074600A (ja) プラズマ処理装置
KR100786537B1 (ko) 반도체 기판 공정 챔버에 사용되는 다중 플라즈마 발생소스
WO2002078407A2 (en) Neutral particle beam processing apparatus
KR100476903B1 (ko) 중성입자 변환 효율이 향상된 중성입자 처리 장치
JP2000299199A (ja) プラズマ発生装置およびプラズマ処理装置
JPS63155728A (ja) プラズマ処理装置
JP2003243365A (ja) プラズマエッチング方法
KR100325404B1 (ko) 플라스마 처리 장치
KR20030067299A (ko) 유도 결합형 플라즈마 발생 장치 및 방법
JP2000243707A (ja) プラズマ処理方法及び装置
JP2937907B2 (ja) プラズマ発生装置
JPH10106798A (ja) 高速原子線源

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20101227

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee