KR20010053166A - 구리/탄탈륨 기판에 유용한 화학 기계적 연마용 슬러리 - Google Patents

구리/탄탈륨 기판에 유용한 화학 기계적 연마용 슬러리 Download PDF

Info

Publication number
KR20010053166A
KR20010053166A KR1020007014741A KR20007014741A KR20010053166A KR 20010053166 A KR20010053166 A KR 20010053166A KR 1020007014741 A KR1020007014741 A KR 1020007014741A KR 20007014741 A KR20007014741 A KR 20007014741A KR 20010053166 A KR20010053166 A KR 20010053166A
Authority
KR
South Korea
Prior art keywords
slurry
chemical mechanical
mechanical polishing
acid
weight percent
Prior art date
Application number
KR1020007014741A
Other languages
English (en)
Other versions
KR100491060B1 (ko
Inventor
블라스타 브루식 카우프만
로드니 씨. 키슬러
슈민 왕
Original Assignee
에이취. 캐롤 번스타인
캐보트 마이크로일렉트로닉스 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이취. 캐롤 번스타인, 캐보트 마이크로일렉트로닉스 코포레이션 filed Critical 에이취. 캐롤 번스타인
Publication of KR20010053166A publication Critical patent/KR20010053166A/ko
Application granted granted Critical
Publication of KR100491060B1 publication Critical patent/KR100491060B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

본 발명은 연마제, 산화제, 착화제, 막형성제 및 유기 아미노 화합물을 포함하는 제1 CMP 슬러리, 연마제, 산화제 및 아세트산을 포함하며 산화제 대 아세트산의 중량비가 10 이상인 제2 연마용 슬러리, 및 구리를 함유하는 기판 및 탄탈륨 또는 질화탄탈륨, 또는 탄탈륨 및 질화탄탈륨을 모두 함유하는 기판을 순차적으로 연마시키기 위하여 제1 및 제2 연마용 슬러리를 사용하는 방법에 관한 것이다.

Description

구리/탄탈륨 기판에 유용한 화학 기계적 연마용 슬러리 {Chemical Mechanical Polishing Slurry Useful for Copper/Tantalum Substrate}
집적 회로는 실리콘 기판 내에 또는 기판 상에 형성된 수백만개의 능동 소자들로 이루어져 있다. 처음에는 서로 분리되어 있는 능동 소자들이 접속되어 기능성 회로 및 부재를 형성한다. 이 소자들은 다층 배선을 사용하여 접속된다. 배선 구조는 보통 제1 금속화층, 배선층, 제2 금속화층 및 경우에 따라 제3 금속화층 및 후속 금속화층을 갖는다. 실리콘 기판 또는 웰(well) 내에 있는 각각의 금속화층을 전기적으로 절연시키기 위해서는 혼입 이산화규소(SiO2) 및 비혼입 이산화규소같은 층간 유전체 또는 저-κ 유전체 질화탄탈륨을 사용한다. 각각의 배선층들은 금속화 비아를 사용하여 전기적으로 접속시킨다. 본원에 참고로 포함되는 미국 특허 제5,741,626호는 유전체 질화탄탈륨 층을 제조하는 방법을 기재하고 있다.
유사한 방식으로, 웰에 형성된 배선층과 소자간에 전기적 접속을 형성하기 위해서는 금속 콘택트를 사용한다. 금속 비아 및 콘택트는 티타늄(Ti), 질화티타늄(TiN), 탄탈륨(Ta), 알루미늄 구리(Al-Cu), 알루미늄 규소(Al-Si), 구리(Cu), 텅스텐(W) 및 그의 조합을 비롯한 각종 금속 및 합금으로 충전될 수 있다. 금속 비아 및 콘택트는 일반적으로 금속층을 SiO2기판에 부착시키기 위해 질화티타늄(TiN), 티타늄(Ti), 탄탈륨(Ta), 질화탄탈륨(TaN) 및 그의 조합과 같은 접착층을 사용한다. 콘택트 층에서, 접착층은 충전된 금속과 SiO2가 반응하는 것을 막기 위한 확산 배리어로 작용한다.
반도체를 제조하는 한 방법에서는, 블랭킷 금속 침착 후 화학 기계적 연마(CMP) 단계에 의해 금속화 비아 또는 콘택트를 형성한다. 통상적인 방법에서는, 층간 유전체(ILD)를 통과해서 배선 또는 반도체 기판까지 비아 홀을 에칭시킨다. 그 다음, 질화탄탈륨 및(또는) 탄탈륨과 같은 얇은 접착층을 일반적으로 ILD 위에 형성시켜 에칭된 비아 홀로 보낸다. 그후, 금속막을 접착층 위 및 비아 홀에 블랭킷 침착시킨다. 비아 홀이 블랭킷 침작된 금속으로 가득찰 때까지 침착을 계속한다. 마지막으로, 화학 기계적 연마(CMP)에 의해 과잉의 금속을 제거하여 금속 비아를 형성한다. 비아의 제조 방법 및(또는) CMP가 미국 특허 제4,671,851호, 제4,910,155호 및 제4,944,836호에 개시되어 있다.
통상적인 화학 기계적 연마 공정에서는 기판을 회전하는 연마 패드와 직접적으로 접촉시킨다. 운반체는 기판의 뒷쪽에서 압력을 가한다. 연마 공정 중에는 기판 뒤쪽으로 하향력을 유지하면서 패드 및 테이블을 회전시킨다. 연마 작용을 하며 화학적으로 반응성인 용액 (통상적으로는 "슬러리"라고 함)을 연마 중에 패드에 도포한다. 이 슬러리는 연마될 막과 화학적으로 반응하여 연마 공정을 개시한다. 슬러리가 웨이퍼/패드 경계면에 제공되기 때문에 기판에 대한 패드의 회전 운동에 의해 연마 공정이 용이해진다. 절연체 상에서 소정의 막이 제거될 때까지 이러한 방식으로 연마를 계속한다. 슬러리 조성물은 CMP 단계에서 중요한 요소이다. 산화제, 연마제 및 기타 유용한 첨가제의 선택에 따라 연마용 슬러리를 제조하여 표면 결함, 흠, 부식 및 침식은 최소화하면서 원하는 연마율로 금속층을 효과적으로 연마할 수 있다. 또한, 현행 집적 회로 기술에 사용되는, 티타늄, 질화티타늄, 탄탈륨, 질화탄탈륨 등과 같은 기타 박막 재료에 대한 연마 선택성을 조절하기 위해 연마용 슬러리를 사용할 수 있다.
통상적으로, CMP 연마용 슬러리는 산화성 수성 매질 중에 현탁되어 있는 실리카 또는 알루미나와 같은 연마 재료를 함유한다. 예를 들어, 유(Yu) 등의 미국 특허 제5,244,534호에서는 밑에 있는 절연층은 거의 제거하지 않으면서 텅스텐을 예측가능한 제거율로 제거하는 데 유용한, 알루미나, 과산화수소, 및 수산화칼륨 또는 수산화암모늄을 함유하는 슬러리를 보고하고 있다. 유 등의 미국 특허 제5,209,816호는 알루미늄 연마에 유용한, 수성 매질 중의 과염소산, 과산화수소 및 고형 연마 재료를 포함하는 슬러리를 개시하고 있다. 카디엔(Cadien) 및 펠러(Feller)의 미국 특허 제5,340,370호는 약 0.1M의 칼륨 페리시안화물, 약 5 중량%의 실리카 및 아세트산 칼륨을 포함하는 텅스텐 연마용 슬러리를 개시하고 있다. pH를 약 3.5로 완충하기 위해 아세트산을 가한다.
베이어(Beyer) 등의 미국 특허 제4,789,648호는 황산, 질산, 아세트산 및 탈이온수와 함께 알루미나 연마제를 사용하는 슬러리 배합물을 개시하고 있다. 미국 특허 제5,391,258호 및 제5,476,606호는 수성 매질, 연마 입자 및 실리카 제거율을 조절하는 음이온을 포함하는, 금속과 실리카의 복합물을 연마시키기 위한 슬러리를 개시하고 있다. CMP 용도로 사용하기 위한 기타 연마용 슬러리가 네빌(Neville) 등의 미국 특허 제5,527,423호, 유 등의 미국 특허 제5,354,490호, 카디엔 등의 미국 특허 제5,340,370호, 유럽 특허 제5,209,816호, 메델린(Medellin)의 미국 특허 제5,157,876호, 메델린의 미국 특허 제5,137,544호 및 코테(Cote) 등의 미국 특허 제4,956,313호에 기재되어 있다.
종전 기술에서는 슬러리를 사용하여 금속 표면을 연마시킬 수 있는 여러 가지 메카니즘을 밝혀냈다. 금속 입자의 기계적 제거 및 슬러리에서의 금속 입자의 용해에 의해 연마 공정이 진행되는 경우에는 피막을 형성하지 않는 슬러리를 사용하여 금속 표면을 연마할 수 있다. 이러한 메카니즘에서는 습식 에칭을 피하기 위해 화학적 용해 속도가 느려야 한다. 그러나, 보다 바람직한 메카니즘은 금속 표면과 슬러리 중의 1종 이상의 성분 (예컨대 착화제) 및(또는) 피막 형성층 간의 반응에 의해 얇은 연마성 층이 연속적으로 형성되는 경우이다. 연마성 층은 이후에 기계적인 작용에 의해 조절된 방식으로 제거한다. 기계적 연마 공정이 중단되면, 얇은 패시베이션막이 표면에 남아서 습식 에칭 과정을 조절한다. CMP 슬러리가 이러한 메카니즘을 이용하여 연마하는 경우 화학 기계적 연마 공정의 조절은 훨씬 용이해진다.
화학 기계적 연마를 사용하여 연마되는 현재의 구리 함유 기판은 Ta 및 TaN 부착 층을 사용하기도 한다. Ta 및 TaN은 화학적으로 매우 수동적이며 기계적으로 매우 경질이므로 연마에 의해 제거되기 어렵다. 높은 Cu:Ta 선택성으로 수행되는 단일 슬러리를 사용하는 경우에는 Ta에 대한 장기간의 연마 시간이 요구되는데, 즉 구리에 대해 상당한 과연마 시간이 요구되며, 그러는 중에 팽출 및 침식 성능이 상당히 열화된다.
몇몇 관련 Cu 화학이 공개된 문헌에서 논의되어 있지만, 이들 각각은 구리 및 탄탈륨을 모두 포함하는 기판에 유용한 화학 기계적 연마용 슬러리의 중요한 요건 전부를 성공적으로 해결하는 방법을 제공하지 못하였다. 결과적으로, 구리 및 탄탈륨 함유 기판을 성공적으로 연마시키는 데 사용할 수 있는 1종 이상의 CMP 슬러리를 필요로 하고 있다.
<발명의 요약>
본 발명은 구리 및 탄탈륨 또는 질화탄탈륨 함유 기판의 구리 부분을 선택적으로 연마시킬 수 있는 제1 화학 기계적 연마용 슬러리에 관한 것이다.
본 발명은 또한 구리 및 탄탈륨 및(또는) 질화탄탈륨 함유 기판의 탄탈륨 및(또는) 질화탄탈륨 부분을 선택적으로 연마시킬 수 있는 제2 화학 기계적 연마용 슬러리에 관한 것이다.
또한, 본 발명은 구리 부분 및 탄탈륨 및(또는) 질화탄탈륨 부분을 포함하는 기판을 연마시키기 위하여 제1 및 제2 화학 기계적 연마용 슬러리를 순차적으로 사용하기 위한 방법에 관한 것이다.
본 발명의 다른 측면은 사용하기 전에 산화제를 별도로 혼합하여 유용한 CMP 슬러리를 제공하는, 산화제가 없는 제1 및 제2 화학 기계적 연마용 슬러리 전구체에 관한 것이다.
본 발명은 제1 화학 기계적 연마용 슬러리에 관한 것이다. 제1 화학 기계적 연마용 슬러리는 1종 이상의 연마제, 1종 이상의 산화제, 1종 이상의 착화제 및 1종 이상의 유기 아미노 화합물을 포함한다. 제1 연마용 슬러리의 바람직한 실시태양은 알루미나, 1종 이상의 산화제, 타르타르산, 벤조트리아졸 및 1종 이상의 유기 아미노 화합물을 포함하는 조성물이다.
본 발명은 또한 1종 이상의 연마제, 1종 이상의 산화제 및 아세트산을 포함하며 산화제 대 아세트산의 중량비가 약 10보다 큰 제2 화학 기계적 연마용 슬러리를 포함한다. 제2 화학 기계적 연마용 슬러리의 바람직한 실시태양은 알루미나의 수분산액, 과산화수소, 약 0.01 내지 약 3.0 중량%의 아세트산 및 약 0.01 내지 약 0.2 중량%의 벤조트리아졸을 포함하며, 산화제 대 아세트산의 중량비가 약 10보다 크고 pH가 약 4 내지 약 9인 조성물이다.
본 발명은 또한 탄탈륨 또는 질화탄탈륨으로부터 선택된 부분 및 구리 부분을 포함하는 기판을 연마시키는 방법에 관한 것이다. 이 방법은 1종 이상의 연마제, 1종 이상의 산화제, 1종 이상의 착화제 및 1종 이상의 유기 아미노 화합물을 포함하는 제1 수성 화학 기계적 연마용 슬러리를 기판에 가하는 단계를 포함한다. 패드를 기판과 접촉시키고 패드를 기판에 대하여 이동시킴으로써 구리 부분을 기판으로부터 제거하여 부분적으로 연마된 기판을 제공한다. 제2 슬러리를 부분적으로 연마된 기판에 가한다. 제2 화학 기계적 연마용 슬러리는 1종 이상의 연마제, 1종 이상의 산화제 및 아세트산을 포함하며, 산화제 대 아세트산의 중량비는 약 10보다 크다. 탄탈륨 또는 질화탄탈륨의 적어도 일부분은 패드를 기판과 접촉시킨 후에 패드를 기판에 대하여 이동시킴으로써 부분적으로 연마된 기판으로부터 제거하여 연마된 기판을 제공한다.
본 발명은 구리 부분 및 탄탈륨 부분을 포함하는 기판을 연마시키는 데 차례로 사용되는 경우 유용한 화학 기계적 연마용 슬러리에 관한 것이다. 본 발명에는 연마제, 산화제, 착화제 및 1종 이상의 유기 아미노 화합물을 포함하는 제1 화학 기계적 연마용 슬러리가 포함된다. 본 발명에는 연마제, 산화제 및 착화제를 포함하며 산화제 대 착화제의 중량비가 15보다 큰 제2 화학 기계적 연마용 슬러리도 포함된다. 본 발명에는 구리 부분 및 탄탈륨 부분을 포함하는 기판을 순차적으로 연마시키기 위하여 제1 및 제2 화학 기계적 연마용 슬러리를 사용하는 방법도 포함된다.
본 발명은 2개의 화학 기계적 연마용 슬러리, 및 구리 부분 및 탄탈륨 또는 질화탄탈륨 부분을 포함하는 기판을 허용가능한 속도로, 결함이 거의 없게 순차적으로 연마시키기 위해 2가지의 슬러리를 모두 사용하는 방법에 관한 것이다. 구리 및 탄탈륨 함유 기판을 연마시키는 데 함께 사용되는 것 외에, 제1 화학 기계적 연마용 슬러리는 구리 또는 구리 합금 함유 기판을 연마시키는 데 사용할 수 있고, 제2 연마용 슬러리는 탄탈륨 또는 질화탄탈륨 함유 기판을 연마시키는 데 사용할 수 있다.
본 발명의 여러가지 바람직한 실시태양의 상세 사항을 설명하기 전에, 본원에 사용되는 몇몇 용어가 정의될 것이다. 화학 기계적 연마용 슬러리 ("CMP 슬러리")는 산화제, 연마제, 착화제 및 기타 임의의 성분을 포함하는 본 발명의 유용한 생성물이다. 그러나, CMP 슬러리는 피막 형성제를 포함하지 않는다. CMP 슬러리는 반도체 박막, 집적 회로 박막 및 CMP 공정이 유용한 임의의 기타 막 및 표면이 포함될 수 있지만 이에 제한되지는 않는 다층 금속화층을 연마하는 데 유용하다. 당업계의 숙련자들은 "구리" 및 "구리 함유 합금"이란 용어가 순수한 구리, 구리 알루미늄 합금층을 포함하는 기판 및 Ti/TiN/Cu 및 ta/TaN/Cu 다층의 기판층을 포함하는 기판 (이에 제한되지는 않음)을 의미한다는 것을 알기 때문에 본원에서는 이 용어들을 상호 교환적으로 사용한다.
용어 "탄탈륨" 및 "탄탈륨 함유 합금"은 본원에서 상호 교환적으로 사용되는 것으로 전도성 구리 층과 같은 전도성 층 아래에 있는 탄탈륨 및(또는) 질화탄탈륨 부착 층을 말한다.
제1 화학 기계적 연마용 슬러리는 집적 회로, 박막, 다층 반도체 및 웨이퍼를 포함하는 군으로부터 선택된 기판과 관련된 금속, 특히 구리 및 구리 합금 함유 금속 층을 연마하는 데 유용하다.
I. 제1 화학 기계적 연마용 슬러리
제1 CMP 슬러리는 구리 함유 기판의 구리 부분을 고속 연마하는 데 가장 유용하다. 제1 화학 기계적 연마용 슬러리는 구리 외의 다른 금속층을 연마하는 데 유용할 수도 있다.
제1 CMP 슬러리는 1종 이상의 산화제를 포함한다. 산화제는 기판 금속 층 또는 층들을 상응하는 산화물, 수산화물 또는 이온으로 산화시키는 것을 돕는다. 예를 들면, 제1 CMP 슬러리 중에 있는 산화제는 금속 층을 상응하는 산화물 또는 수산화물로, 예를 들면 티타늄을 산화티타늄으로, 텅스텐을 산화텅스텐으로, 구리를 산화구리로, 알루미늄을 산화알루미늄으로 산화시키는 데 사용될 수 있다. 산화제는 제1 CMP 슬러리 내로 혼입되었을 때 각각의 산화물 층을 제거하면서 금속을 기계적으로 연마시켜 티타늄, 질화티타늄, 탄탈륨, 구리, 텅스텐, 알루미늄 및 알루미늄 합금, 예컨대 알루미늄/구리 합금, 및 이들의 다양한 혼합물 및 조합물을 포함하는 금속 및 금속 기재 성분을 연마시키는 데 유용하다.
본 발명의 제1 CMP 슬러리에 사용되는 산화제는 1종 이상의 무기 또는 유기 과화합물 (per-compound)이다. 문헌 [Hawley's Condensed Chemical Dictionary]에 정의된 과화합물은 1개 이상의 퍼옥시기 (-O-O-)를 함유하는 화합물 또는 자신의 최고 산화 상태에 있는 원소를 함유하는 화합물이다. 1개 이상의 퍼옥시기를 함유하는 화합물의 예로는 과산화수소 및 그의 부가물, 예컨대 과산화수소 요소 및 과탄산수소 요소, 유기 과산화물, 예컨대 과산화벤질, 과아세트산 및 디-t-부틸 과산화물, 모노퍼술페이트 (SO5 =), 디퍼술페이트 (S2O8 =), 및 과산화나트륨을 들 수 있지만 이들로 제한되지는 않는다.
자신의 최고 산화 상태에 있는 원소를 함유하는 화합물의 예로는 과요오드산, 과요오드산염, 과브롬산, 과브롬산염, 과염소산, 과염소산염, 과붕소산, 과붕소산염 및 과망간산염이 포함되지만, 이들로 제한되지는 않는다. 전기화학적 전위 요건을 충족시키는 비과화합물의 예로는 브롬산염, 염소산염, 크롬산염, 요오드산염, 요오드산 및 질산세륨암모늄과 같은 세륨 (IV) 화합물을 들 수 있지만, 이들로 제한되지는 않는다.
산화제로는 과아세트산, 과산화수소 요소, 과산화수소, 모노과황산, 디과황산, 이들의 염, 및 요소와 과산화수소의 혼합물을 비롯한 이들의 혼합물이 바람직하다. 가장 바람직한 산화제는 과산화수소와 요소의 혼합물이다.
산화제는 약 0.3 내지 약 30.0 중량% 범위의 양으로 제1 화학 기계적 연마용 슬러리 중에 존재할 수 있다. 산화제는 약 0.3 내지 약 17.0 중량%, 가장 바람직하게는 약 0.5 내지 약 12.0 중량% 범위의 양으로 본 발명의 제1 CMP 슬러리 중에 존재하는 것이 바람직하다.
산화제로 과산화수소 요소가 사용될 수 있다. 과산화수소 요소는 과산화수소 34.5 중량% 및 요소 65.5 중량%으로 이루어져 있기 때문에 상기한 산화제 로딩을 달성하기 위해서는 본 발명의 CMP 슬러리에 더 많은 양의 과산화수소 요소가 포함되어야 한다. 예를 들어, 산화제 0.5 내지 12.0 중량%는 과산화수소 요소의 경우 3배가 더 많은 양, 즉 1.5 내지 36.0 중량%에 해당된다.
과산화수소 요소를 포함하는 제1 CMP 슬러리는 과산화 요소를 물과 합하는 방법, 및 수용액 중에 요소와 과산화수소를 약 0.75:1 내지 약 2:1 범위의 몰비로 합하여 과산화수소 요소 산화제를 얻는 방법을 비롯한 여러가지 방법으로 합할 수 있다.
본 발명의 제1 CMP 슬러리는 기판 표면 상에 패시베이션 (passivation) 층을 형성시킨다. 패시베이션 층이 형성된다면, 제1 CMP 슬러리 중의 연마제 성분으로 금속 산화물을 기판 표면으로부터 보다 용이하게 연마시키기 위하여 패시베이션 층을 방해할 수 있는 것이 중요하게 된다. 패시베이션 층을 방해하기 위하여 제1 CMP 슬러리 중에 포함되는 화합물의 한 종류가 착화제이다. 유용한 착화제로는 산, 예컨대 시트르산, 락트산, 말론산, 타르타르산, 숙신산, 아세트산, 옥살산 및 다른 산, 및 또한 아미노산 및 아미노 황산, 인산, 포스폰산 및 그들의 염을 들 수 있지만, 이들로 제한되지는 않는다. 바람직한 제1 CMP 슬러리 착화제는 타르타르산이다.
착화제는 제1 CMP 슬러리 중에 약 0.2 내지 약 5.0 중량%의 양으로, 바람직하게는 약 0.5 내지 약 3.0 중량%의 양으로 존재하게 된다.
본 발명의 제1 CMP 슬러리는 1종 이상의 유기 아미노 화합물을 포함하게 된다. 유기 아미노 화합물은 연마된 기판 상에 흡수되어 기판 물질의 제거를 억제시킨다. 제1 CMP 슬러리 중의 유용한 유기 아미노 화합물로는 알킬아민, 알콜 아민, 아미노산, 요소, 요소의 유도체 및 이들의 혼합물이 포함된다. 바람직한 유기 아미노 화합물은 장쇄 알킬아민 및 알콜아민이다. 용어 "장쇄 알킬아민"이란 예를 들면 노닐아민 및 도데실아민을 비롯한, 7 내지 12개의 탄소 원자를 갖는 알킬아민을 말한다. 유용한 알콜아민의 예로는 모노에탄올아민 및 트리에탄올아민을 들 수 있지만, 이들로 제한되지는 않는다. 요소의 유용한 유도체의 예로는 비요소를 들 수 있지만, 이들로 제한되지는 않는다. 바람직한 유기 아미노 화합물은 장쇄 알킬아민, 도데실아민이다. 바람직한 알콜아민은 트리에탄올아민이다.
유기 아미노 화합물은 제1 CMP 슬러리 중에 약 0.005 내지 약 10.0 중량% 범위의 양으로 존재해야 한다. 보다 바람직하게, 유기 아미노 화합물은 제1 CMP 슬러리 중에 약 0.01 내지 약 5.0 중량% 범위의 양으로 존재한다.
본 발명의 제1 CMP 슬러리는 임의의 막형성제를 포함할 수 있다. 막형성제는 금속 층의 표면 상에 금속 산화물의 패시베이션층 및 용해 억제 층들의 형성을 용이하게 할 수 있는 임의의 화합물 또는 화합물들의 혼합물일 수 있다. 기판 표면 층의 패시베이션은 기판 표면의 습식 에칭을 막는 데 중요하다. 유용한 막형성제는 질소 함유 시클릭 화합물, 예컨대 이미다졸, 벤조트리아졸, 벤즈이미다졸 및 벤조티아졸 및 히드록시, 아미노, 이미노, 카르복시, 머캅토, 니트로 및 알킬 치환된 기들을 갖는 이들의 유도체, 및 또한 요소, 티오요소 등이다. 바람직한 막형성제는 벤조트리아졸 ("BTA")이다.
임의의 막형성제는 본 발명의 제1 CMP 슬러리 중에 약 0.01 중량% 내지 약 1.0 중량% 범위의 양으로 존재할 수 있다. 막형성제가 약 0.01 내지 약 0.2 중량%의 양으로 제1 CMP 슬러리 중에 존재하는 것이 바람직하다.
제1 CMP 슬러리 중에 포함되는 BTA 또는 다른 막형성제는 슬러리 중에서 연마제의 균일한 분산을 불안정화시킬 수 있다. 제1 CMP 슬러리를 침강, 응집 및 분해에 대하여 안정화시키기 위하여, 각종의 임의의 CMP 슬러리 첨가제, 예컨대 계면활성제, 안정화제 또는 분산제를 사용할 수 있다. 계면활성제를 제1 CMP 슬러리에 첨가하는 경우, 이것은 음이온성, 양이온성, 비이온성 또는 양쪽성 계면활성제일 수 있거나 또는 2종 이상의 계면활성제들의 혼합물이 사용될 수 있다. 또한, 계면활성제의 첨가가 웨이퍼의 웨이퍼내 불균일성 (within-wafer-non-uniformity; WIWNU)을 감소시키는 데 유용할 수 있으므로 웨이퍼의 표면을 개선시키고 웨이퍼 결함을 감소시킬 수 있다는 것을 알게되었다.
일반적으로, 제1 CMP 슬러리 중에 사용될 수 있는 계면활성제와 같은 임의의 첨가제의 양은 슬러리의 효과적인 안정화를 달성하기 위해 충분해야 하며, 통상적으로 선택된 특정 계면활성제 및 금속 산화물 연마제의 표면 성질에 따라 달라질 것이다. 예를 들어, 소정의 계면활성제가 충분치 않게 사용된다면, CMP 슬러리 안정화 효과가 거의 없거나 전혀 없을 것이다. 한편, CMP 슬러리에 너무 맣은 계면활성제를 사용한다면 슬러리에 원치않은 거품 및(또는) 응집을 일으킬 수 있다. 결과적으로, 계면활성제와 같은 안정화제는 일반적으로 본 발명의 슬러리에 약 0.001 내지 약 0.2 중량%, 바람직하게는 약 0.001 내지 약 0.1 중량% 범위의 양으로 존재해야 한다. 또한, 첨가제를 슬러리에 직접 가하거나, 공지된 기술을 이용하여 금속 산화물 연마제의 표면 상에서 처리할 수 있다. 어떠한 경우든지 첨가제의 양을 조절하여 연마용 슬러리의 원하는 농도를 달성한다. 제1 CMP 슬러리 중에 사용되기에 유용한 바람직한 계면활성제로는 도데실 술페이트 나트륨염, 나트륨 라우릴 술페이트, 도데실 술페이트 암모늄염 및 그의 혼합물이 있다. 바람직한 계면활성제의 예로는 유니온 카바이드(Union Carbide)에 의해 제조된 트리톤(TRITON:등록) DF-16 및 에어 프로덕츠 앤드 케미칼스(Air Products and Chemicals)에 의해 제조된 서피놀(SURFYNOL:등록)이 있다.
CMP 공정을 용이하게 조절하기 위해 본 발명의 제1 CMP 슬러리의 pH를 약 2.0 내지 약 12.0, 바람직하게는 약 4.0 내지 약 8.0 범위 내로 유지시키는 것이 바람직하다. 본 발명의 CMP 슬러리의 pH는 임의의 공지된 산, 염기 또는 아민을 사용하여 조절될 수 있다. 그러나, 제1 CMP 슬러리 내로 원치않는 금속 성분이 도입되는 것을 막기 위해서는 금속 이온을 전혀 함유하지 않는 산 또는 염기, 예컨대 수산화암모늄 및 아민, 또는 질산, 인산, 황산 또는 유기산을 사용하는 것이 바람직하다.
II. 제2 화학 기계적 연마용 슬러리
제2 CMP 슬러리는 구리에 대해서는 낮은 연마 속도를, 탄탈륨 또는 질화탄탈륨에 대해서는 통상적인 연마 속도를 나타내도록 제조된다. 그러므로, 제2 CMP 슬러리는 구리 대 탄탈륨의 연마 선택성이 약 2 대 1 미만, 가장 바람직하게는 약 1 대 5 미만인 것이 바람직하다.
제2 CMP 슬러리는 1종 이상의 산화제를 포함한다. 산화제는 기판 금속 층 또는 층들을 상응하는 산화물, 수산화물 또는 이온으로 산화시키는 것을 돕는다. 예를 들면, 제2 CMP 슬러리 중에 있는 산화제는 금속 층을 상응하는 산화물 또는 수산화물로, 예를 들면 탄탈륨을 산화탄탈륨으로 산화시키는 데 사용될 수 있다. 산화제는 제2 CMP 슬러리 내로 혼입되었을 때 각각의 산화물 층을 제거하면서 금속을 기계적으로 연마시켜 티타늄, 질화티타늄, 탄탈륨, 구리, 텅스텐, 알루미늄 및 알루미늄 합금, 예컨대 알루미늄/구리 합금, 및 이들의 다양한 혼합물 및 조합물을 포함하는 금속 및 금속 기재 성분을 연마시키는 데 유용하다.
본 발명의 제2 CMP 슬러리에 사용되는 산화제는 1종 이상의 무기 또는 유기 과화합물 (per-compound)이다. 문헌 [Hawley's Condensed Chemical Dictionary]에 정의된 과화합물은 1개 이상의 퍼옥시기 (-O-O-)를 함유하는 화합물 또는 자신의 최고 산화 상태에 있는 원소를 함유하는 화합물이다. 1개 이상의 퍼옥시기를 함유하는 화합물의 예로는 과산화수소 및 그의 부가물, 예컨대 과산화수소 요소 및 과탄산수소 요소, 유기 과산화물, 예컨대 과산화벤질, 과아세트산 및 디-t-부틸 과산화물, 모노퍼술페이트 (SO5 =), 디퍼술페이트 (S2O8 =), 및 과산화나트륨을 들 수 있지만 이들로 제한되지는 않는다.
자신의 최고 산화 상태에 있는 원소를 함유하는 화합물의 예로는 과요오드산, 과요오드산염, 과브롬산, 과브롬산염, 과염소산, 과염소산염, 과붕소산, 과붕소산염 및 과망간산염이 포함되지만, 이들로 제한되지는 않는다. 전기화학적 전위 요건을 충족시키는 비과화합물의 예로는 브롬산염, 염소산염, 크롬산염, 요오드산염, 요오드산 및 질산세륨암모늄과 같은 세륨 (IV) 화합물을 들 수 있지만, 이들로 제한되지는 않는다.
유용한 산화제의 비제한적인 예로는 과아세트산, 과산화수소 요소, 과산화수소, 모노과황산, 디과황산, 이들의 염, 및 요소와 과산화수소의 혼합물을 비롯한 이들의 혼합물을 들수 있지만, 이들로 제한되지는 않는다. 바람직한 산화제는 과산화수소이다.
산화제는 약 0.3 내지 약 30.0 중량% 범위의 양으로 제2 화학 기계적 연마용 슬러리 중에 존재할 수 있다. 산화제는 약 0.3 내지 약 17.0 중량%, 가장 바람직하게는 약 1.0 내지 약 12.0 중량% 범위의 양으로 본 발명의 제2 CMP 슬러리 중에 존재하는 것이 바람직하다.
제2 CMP 슬러리에 포함되는 화합물의 한 종류가 착화제이다. 유용한 착화제로는 산, 예컨대 시트르산, 락트산, 타르타르산, 숙신산, 아세트산, 옥살산 및 다른 산, 및 또한 아미노산 및 아미노 황산, 인산, 포스폰산 및 그들의 염을 들 수 있지만, 이들로 제한되지는 않는다. 바람직한 착화제는 아세트산이다. 착화제는 본 발명의 제2 CMP 슬러리 중에 약 0.1 내지 약 5.0 중량%의 양으로, 바람직하게는 약 0.1 내지 약 3.0 중량%의 양으로 존재하게 된다.
제2 CMP 슬러리는 착화제를 슬러리 중의 산화제의 중량에 비해 훨씬 적은 중량으로 포함하는 것이 중요하다. 제2 CMP 슬러리는 산화제 대 착화제의 중량비가 약 10 초과, 바람직하게는 약 25 초과이어야 한다.
본 발명의 제2 CMP 슬러리는 임의의 막형성제를 포함할 수 있다. 막형성제는 금속 층의 표면 상에 금속 산화물의 패시베이션층 및 용해 억제 층들의 형성을 용이하게 할 수 있는 임의의 화합물 또는 화합물들의 혼합물일 수 있다. 기판 표면 층의 패시베이션은 기판 표면의 습식 에칭을 막는 데 중요하다. 유용한 막형성제는 질소 함유 시클릭 화합물, 예컨대 이미다졸, 벤조트리아졸, 벤즈이미다졸 및 벤조티아졸 및 히드록시, 아미노, 이미노, 카르복시, 머캅토, 니트로 및 알킬 치환된 기들을 갖는 이들의 유도체, 및 또한 요소, 티오요소 등이다. 바람직한 막형성제는 벤조트리아졸 ("BTA")이다. 막형성제는 제2 CMP 슬러리 중에 약 0.01 중량% 내지 약 1.0 중량% 범위의 양으로 존재할 수 있다. 막형성제가 약 0.01 내지 약 0.5 중량%의 양으로 제2 CMP 슬러리 중에 존재하는 것이 바람직하다.
제2 CMP 슬러리 중에 포함되는 BTA 또는 다른 막형성제는 슬러리 중에서 연마제의 균일한 분산을 불안정화시킬 수 있다. 제2 CMP 슬러리를 침강, 응집 및 분해에 대하여 안정화시키기 위하여, 각종의 임의의 CMP 슬러리 첨가제, 예컨대 계면활성제, 안정화제 또는 분산제를 사용할 수 있다. 계면활성제를 제2 CMP 슬러리에 첨가하는 경우, 이것은 음이온성, 양이온성, 비이온성 또는 양쪽성 계면활성제일 수 있거나 또는 2종 이상의 계면활성제들의 혼합물이 사용될 수 있다. 또한, 계면활성제의 첨가가 웨이퍼의 웨이퍼내 불균일성 (WIWNU)을 감소시키는 데 유용할 수 있으므로 웨이퍼의 표면을 개선시키고 웨이퍼 결함을 감소시킬 수 있다는 것을 알게되었다.
일반적으로, 제2 CMP 슬러리 중에 사용될 수 있는 계면활성제와 같은 임의의 첨가제의 양은 슬러리의 효과적인 안정화를 달성하기 위해 충분해야 하며, 통상적으로 선택된 특정 계면활성제 및 금속 산화물 연마제의 표면 성질에 따라 달라질 것이다. 예를 들어, 소정의 계면활성제가 충분치 않게 사용된다면, CMP 슬러리 안정화 효과가 거의 없거나 전혀 없을 것이다. 한편, 제2 CMP 슬러리에 너무 맣은 계면활성제를 사용한다면 슬러리에 원치않은 거품 및(또는) 응집을 일으킬 수 있다. 결과적으로, 계면활성제와 같은 안정화제는 일반적으로 제2 슬러리에 약 0.001 내지 약 0.2 중량%, 바람직하게는 약 0.001 내지 약 0.1 중량% 범위의 양으로 존재해야 한다. 또한, 첨가제를 슬러리에 직접 가하거나, 공지된 기술을 이용하여 금속 산화물 연마제의 표면 상에서 처리할 수 있다. 어떠한 경우든지 첨가제의 양을 조절하여 제2 연마용 슬러리의 원하는 농도를 달성한다. 바람직한 계면활성제로는 도데실 술페이트 나트륨염, 나트륨 라우릴 술페이트, 도데실 술페이트 암모늄염 및 그의 혼합물이 있다. 바람직한 계면활성제의 예로는 유니온 카바이드(Union Carbide)에 의해 제조된 트리톤(TRITON:등록) DF-16 및 에어 프로덕츠 앤드 케미칼스(Air Products and Chemicals)에 의해 제조된 서피놀(SURFYNOL:등록)이 있다.
CMP 공정을 용이하게 조절하기 위해 본 발명의 제2 CMP 슬러리의 pH를 약 2.0 내지 약 12.0, 바람직하게는 약 4.0 내지 약 9.0 범위 내로 유지시키는 것이 바람직하다. 본 발명의 CMP 슬러리의 pH는 임의의 공지된 산, 염기 또는 아민을 사용하여 조절될 수 있다. 그러나, 본 발명의 CMP 슬러리 내로 원치않는 금속 성분이 도입되는 것을 막기 위해서는 금속 이온을 전혀 함유하지 않는 산 또는 염기, 예컨대 수산화암모늄 및 아민, 또는 질산, 인산, 황산 또는 유기산을 사용하는 것이 바람직하다. 제2 CMP의 슬러리의 pH는 약 4 내지 약 7.5인 것이 가장 바람직하다.
III. 연마제
본 발명의 제1 및 제2 CMP 슬러리는 연마제를 포함한다. 연마제는 통상적으로 금속 산화물 연마제이다. 금속 산화물 연마제는 알루미나, 티타니아, 지르코니아, 게르마니아, 실리카, 세리아 및 그의 혼합물을 포함하는 군에서 선택할 수 있다. 본 발명의 CMP 슬러리는 약 0.5 내지 약 15.0 중량% 이상의 연마제를 포함하는 것이 바람직하다. 그러나, 본 발명의 제1 및 제2 CMP 슬러리는 약 1.5 내지 약 6.0 중량%의 연마제를 포함하는 것이 보다 바람직하다.
금속 산화물 연마제는 당업계의 숙련자에게 공지되어 있는 임의의 기술에 의해 제조될 수 있다. 금속 산화물 연마제는 졸-겔법, 열수법 또는 플라즈마법과 같은 임의의 고온 방법, 또는 열분해법 또는 침강 금속 산화물의 제조 방법을 이용하여 제조할 수 있다. 금속 산화물은 열분해법 또는 침강 연마제가 바람직하고, 열분해법 실리카 또는 열분해법 알루미나와 같은 열분해법 연마제가 보다 바람직하다. 예를 들어, 열분해법 금속 산화물의 제조는 수소 및 산소 불꽃에서 적당한 공급원 증기 (예컨대 알루미나 연마제에 대해서는 염화 알루미늄)를 가수분해시키는 것을 포함하는 공지된 방법이다. 대략 구형의 모양을 갖는 용융 입자가 연소 공정으로 형성되며, 지름은 공정 파라미터에 의해 달라진다. 알루미나나 유사한 산화물의 용융된 구체 (통상 1차 입자라고 칭함)는 그들의 접촉 지점에서 충돌하여 서로 융합되어 분지된 3차원의 쇄형 집합체를 형성한다. 집합체를 파괴하는 데 필요한 힘은 상당히 크다. 냉각 및 수집 중에 집합체는 약간의 기계적인 엉킴을 일으켜서 덩어리를 형성할 수 있는 추가의 충돌을 겪는다. 덩어리는 반데르 발스력에 의해 서로 느슨하게 유지되는 것으로 생각되며, 그 반대일 수도 있는데, 즉, 적당한 매질에서 적당한 분산력에 의해 탈응집화될 수 있다.
침강 연마제는 높은 염 농도, 산 또는 기타 응고제의 영향하에 수성 매질에서 소정 입자로 응고시키는 것과 같은 통상의 기술로 제조될 수 있다. 당업계의 숙련자에게 알려져 있는 통상의 기술에 의해 입자를 여과, 세척, 건조하고, 다른 반응 생성물의 잔류물로부터 분리한다.
바람직한 금속 산화물은 문헌 [S. Brunauer, P.H. Emmet 및 I. Teller, J. Am. Chemical Society, Volume 60, Page 309 (1938)]의 방법으로 계산된, 보통 BET라고 언급되는 표면적이 약 5 내지 약 430 m2/g, 바람직하게는 약 30 내지 약 170 m2/g의 범위일 것이다. IC 산업에서의 엄격한 순도 조건으로 인해, 바람직한 금속 산화물은 고순도여야 한다. 고순도란 원료 불순물 및 미량의 공정 오염물로부터의 총 불순물 함량이 통상 1% 미만, 바람직하게는 0.01% (즉, 100 ppm) 미만인 것을 의미한다.
본 발명의 분산액에 유용한 금속 산화물 연마제는 금속 산화물 집합체 또는 개별적인 단일 구체 입자로 이루어질 수 있다. 본원에서 사용되는 용어 "입자"란 1종 이상의 1차 입자의 집합체 및 개별적인 단일 입자 모두를 말하는 것이다.
금속 산화물 연마제는 입도 분포가 약 1.0 미크론 미만이고 (즉, 모든 입자는 직경이 1.0 미크론 미만이고), 평균 입경은 약 0.4 미크론 미만이고, 연마제 집합체들 간에 반데르 발스력을 반발해서 극복하기에 충분한 힘을 갖는 금속 산화물 입자로 구성되는 것이 바람직하다. 이러한 금속 산화물 연마제가 연마 중에 긁힘, 패인 자국, 뜯긴 자국 및 다른 표면 결함을 최소하거나 없애는 데 효과적이라는 것을 알아내었다. 본 발명에서의 입도 분포는 투과 전자 현미경(TEM)과 같은 공지된 기술을 이용하여 측정할 수 있다. 평균 입경이란 TEM 이미지 분석법을 이용할 경우, 즉, 입자의 횡단면적을 기초로 동일한 평균 구 직경을 말한다. 금속 산화물 입자의 표면 전위 또는 수화력이 입자 간 반데르 발스 인력을 반발해서 극복하기에 충분해야 한다.
또다른 바람직한 실시태양에서, 금속 산화물 연마제는 1차 입경이 0.4 미크론 (400 nm) 미만이고, 표면적이 약 10 내지 약 250 m2/g 범위인 분리된 개별적인 금속 산화물 입자로 이루어질 수 있다.
바람직하게는, 금속 산화물 연마제를 고체 약 3 내지 약 45%, 바람직하게는 10 내지 20% 포함하는 금속 산화물의 진한 수분산액으로서 연마용 슬러리의 수성 매질에 혼입시킨다. 금속 산화물의 수분산액은 금속 산화물 연마제를 탈이온수와 같은 적당한 매질에 천천히 첨가하여 콜로이드 분산액을 형성하는 것과 같은 통상적인 기술을 이용하여 제조할 수 있다. 분산액은 통상적으로 금속 산화물의 수용액을 당업계의 숙련자에게 알려져 있는 고전단 혼합 조건에서 얻을 수 있다. 이 슬러리의 pH는 콜로이드 안정성을 최대화하기 위해 등전점에서 벗어나도록 조정할 수 있다.
IV. 임의의 첨가제
기타 공지된 연마용 슬러리 첨가제를 제1 CMP 슬러리 및(또는) 제2 CMP 슬러리 내에 혼입할 수 있다. 임의의 첨가제 중 하나는 티타늄 및 탄탈륨과 같은 웨이퍼 중의 차단층의 연마율을 더 개선시키거나 또는 향상시키기 위하여 제1 및(또는) 제2 CMP 슬러리에 첨가될 수 있는 무기 산 및(또는) 그의 염이다. 유용한 무기 첨가제들로는 황산, 인산, 포스폰산, 질산, HF산, 불화암모늄, 술페이트, 포스페이트, 포스포네이트 및 플루오라이드의 암모늄염, 칼륨염, 나트륨염 또는 다른 양이온염을 들 수 있다.
V. 제1 및 제2 CMP 슬러리의 제조 및 사용 방법
본 발명의 제1 및 제2 CMP 슬러리는 당 업계의 기술자들에게 공지되어 있는 통상적인 기술을 사용하여 제조할 수 있다. 통상적으로는, 산화제 및 다른 비연마제 성분들을 소정의 농도로 저전단 조건 하에서 상기 성분들이 매질 중에 완전히 용해될 때까지 수성 매질, 예컨대 탈이온수 또는 증류수 내로 혼합시킨다. 열분해법 알루미나와 같은 금속 산화물 연마제의 농축 분산액을 매질에 첨가하여 최종 CMP 슬러리 중에서의 소정의 연마제 부하량으로 희석시킨다.
본 발명의 제1 및 제2 CMP 슬러리는 슬러리 첨가제들을 모두 포함하는 1 패키지 시스템으로서 공급될 수 있다. 산화제, 특히 과산화수소를 함유하는 CMP 슬러리를 운반하는 것에 관한 문제점 때문에, 본 발명의 제1 및 제2 CMP 슬러리를 산화제 또는 산화제들을 제외한 모든 성분들을 함유하는 CMP 전구체로서 제조하고 포장하여 소비자에게 운반하고, 사용 전에 소비자의 재량으로 과산화수소 또는 임의의 산화제를 합하는 것이 바람직하다. 그러므로, 본 발명의 한 측면은 건조 또는 수성 형태의 촉매, 연마제 및 안정화제를 포함하는 군으로부터 선택된 1종 이상의 성분들은 포함하지만 산화제는 없는 제1 및 제2 CMP 조성물 및(또는) 슬러리 전구체에 관한 것이다. 제1 및 제2 CMP 전구체는 사용 전에 1종 이상의 산화제와 별도로 합한다.
과산화수소 요소를 포함하는 본 발명의 제1 및 제2 CMP 슬러리는 과산화수소를 요소 및 임의의 다른 유용한 슬러리 성분들을 포함하는 슬러리 전구체에 첨가하여 과산화수소 함유 CMP 슬러리를 제공함으로써 제조될 수 있음을 알 수 있었다.
본 발명의 바람직한 슬러리 전구체는 요소와 1종 이상의 금속 산화물 연마제의 건조 또는 수성 혼합물을 포함하게 된다. 요소 함유 슬러리 전구체 내로 혼입될 수 있는 추가의 성분들은 제1 및 제2 CMP 슬러리에서 유용하다.
본 발명의 CMP 슬러리가 임의 형태의 금속 층을 연마시키는 데 사용될 수 있지만, 본 발명의 제1 화학 기계적 연마용 슬러리는 구리 연마 속도는 높고 탄탈륨 및 질화탄탈륨 연마 속도는 낮은 것으로 밝혀졌다. 또한, 제2 화학 기계적 연마용 슬러리는 구리 층에 대하여 바람직한 낮은 연마 속도를 나타내는 한편, 탄탈륨 유전 절연층에 대해서는 바람직한 높은 연마 속도를 나타낸다.
제1 및 제2 CMP 슬러리는 웨이퍼의 소정의 금속 층 상에서 사용하기 적절한 임의의 표준 연마 장치와 함께 사용될 수 있다. 본 발명의 제1 및 제2 CMP 슬러리는 모두 유전층 상에 있는 탄탈륨 또는 질화탄탈륨 부분 및 구리 합금 함유 부분을 포함하는 기판을 연마시키는 데 가장 유용하다.
탄탈륨 또는 질화탄탈륨 부분 및 구리 부분을 포함하는 기판을 연마시키는 데 사용하는 경우, 제1 화학 기계적 연마용 슬러리를 기판에 가하고 기판을 연마기 및 연마 패드를 사용하여 종래의 수단으로 연마시킨다. 제1 CMP 슬러리를 사용하여 기판 연마를 완료하는 경우, 기판을 탈이온수 또는 다른 용매로 세척하여 부분적으로 연마된 기판으로부터 제1 CMP 슬러리를 제거해낼 수 있다. 이어서, 본 발명의 제2 CMP 슬러리를 기판에 가하고, 부분적으로 연마된 기판의 구리 부분과 비교하여탄탈륨 또는 질화탄탈륨을 우선적으로 연마시키기 위하여 종래의 기술을 사용하여 기판을 연마시킨다. 제2 연마 단계가 완료되면, 제2 CMP 슬러리를 탈이온수 또는 다른 용매를 사용하여 기판으로부터 세척하여 추가의 가공에 대하여 준비한다.
두 연마 단계 모두에서, 제1 및(또는) 제2 CMP 슬러리를 기판에, 연마 패드에, 또는 이둘 모두에 직접적으로 기판 연마 동안 조절된 방식으로 가할 수 있다. 그러나, 제1 및 제2 CMP 슬러리를 패드에 가한 후에 이 패드를 기판에 붙여 놓고, 그 후 기판 연마를 위해 패드를 기판에 대하여 이동시키는 것이 바람직하다.
제1 및 제2 CMP 슬러리는 조절가능한 조건 하에서 구리, 티타늄, 질화티타늄, 탄탈륨 및 질화탄탈륨 층을 양호한 속도로 연마한다. 본 발명의 연마용 슬러리는 반도체 집적 회로 제조의 여러 단계에서 사용하여 표면 결점 및 결함을 최소화시키면서 바람직한 연마 속도로 효과적인 연마를 제공할 수 있다.
본 발명자들은 구리는 고속으로, 탄탈륨 및 질화탄탈륨 층은 보다 낮은 속도로 연마시키는 제1 CMP 슬러리, 및 탄탈륨 및 질화탄탈륨 층은 허용가능한 속도로, 구리는 제1 CMP 슬러리보다 비교적 낮은 속도로 연마시키는 제2 CMP 슬러리를 발견하였다.
하기하는 실시예는 본 발명의 바람직한 실시태양 및 본 발명의 CMP 슬러리의 바람직한 사용 방법을 설명한다.
<실시예 1>
본 실시예에서는, 2가지 CMP 슬러리를 사용하여 CMP 연마를 수행하였다. 제1 슬러리는 미국 일리노이주 오로라에 있는 캐보트 코포레이션 (Cabot Corporation)의 마이크로일렉트로닉스 머티어리얼즈 디비젼 (Microelectronics Materials Division)이 판매하고 있는 세미-스퍼스 (SEMI-SPERSE) (등록상표) W-A355 분산액으로부터의 열분해법 알루미나 연마제 3.0 중량%, 과산화수소 2.5 중량%, 요소 3.65 중량%, 타르타르산 1.25 중량% 및 트리톤 DF-16 계면활성제 50 ppm의 수분산액을 포함하였다. 제2 슬러리는 제1 슬러리의 모든 성분 및 도데실아민 0.015 중량%를 포함하였다. 시험한 2가지 슬러리 모두 수산화암모늄을 사용하여 pH를 7.0으로 조정하였다.
CMP 슬러리를 2가지 방법으로 시험하였다. 각 슬러리 중의 Cu 및 Ta 용해 속도를 전기화학 기술로 시험하였다. 장치는 파르(PAR)사의 273 전위차계 및 부식 소프트웨어를 갖는 3개의 전극 셀 중 회전 디스크 전극을 사용하였다. 전기화학 테이터는 소정의 전극을 연마제 패드와 (5.9 psi의 하향력으로) 접촉하고 있거나 또는 패드 위에 올려 있는 회전자 및 해당 금속을 500 rpm (또는 19.94 m/초 최대)으로 회전시켜 얻었다. 따라서 금속 표면이 연마될 때와 연마된 후에 금속의 용해 속도를 측정할 수 있다. 전자의 값은 연마 중 화학적인 용해 속도의 대략적인 측정인 것으로 여겨지며, 후자의 값은 주어진 슬러리에서의 금속의 부식 속도를 제공한다. 통상적인 시험에서 전기화학 데이터는 개방 회로 전위에 대해 약 -0.25 V의 환원 전위에서부터 약간의 산화 전위까지 10 mV/초의 비율로 전위동력학적 극성 커브로서 기록한다. 시험 결과를 표 1의 3-4 컬럼에 열거하였다.
동일한 슬러리를 사용하여 구리 및 탄탈륨 연마 속도를 3 psi의 하향력, 55 rpm의 테이블 속도 및 30 rpm의 스핀들 속도를 사용하는 IPEC 472 연마기를 사용하여 측정하였다. 슬러리를 200 ml/분의 속도로 로델 (Rodel)사에 의해 제조된 IC1000/SUBA IV 패드 스택에 가하였다. 연마 데이타를 표 1의 5-6 컬럼에 기록하였다.
슬러리 연마 시의 금속 용해 속도 Å/분 연마 후의 금속 부식 속도 Å/분 연마 시의 금속 제거 속도 Å/분 Cu:Ta 선택성 비
1 알루미나 3%, H2O22.5%, 요소 3.65%, 타르타르산 1.25%, 트리톤 DF-16 50 ppm Cu: 240 Ta: 140 Cu: 36 Ta: 0.4 Cu: 2750 Ta: 415 6.6:1
2 1 + 도데실아민 0.015% Cu: 240 Ta: 60 Cu: 4.8 Ta: 0.12 Cu: 2250 Ta: 50 45:1
소량의 도데실아민을 슬러리에 첨가하였을 때 Ta 제거가 억제되었고 Cu:Ta 선택성 비는 약 45:1로 상당히 증가하였다. 이는 유기 아미노 화합물 함유 슬러리가 Ta에서는 연마가 중단되기 때문에 구리 연마용 슬러리로 사용하는 데 보다 적합하게 만든다는 것을 알 수 있다.
표 1의 결과는 전기화학 시험에서 관찰된 경향이 연마에서도 재현된다는 것을 시사하는 것이다. 즉, 도데실아민은 연마 시의 Ta 용해 속도, 따라서 연마 속도를 구리에 대하여 측정된 것보다 두르러지게 억제한다. 따라서 도데실아민은 Ta에 대한 용해 억제제이다.
<실시예 2>
본 실시예에서는 본 발명의 제2 CMP 슬러리의 산화제 및 착화제의 중량비에 따른 구리 및 탄탈륨 용해 속도의 영향을 연구하였다. 본 실시예는 다음 조성을 갖는 CMP 슬러리를 사용하였다: 타르타르산 1.25 중량%; 표 2에 나타낸 양의 과산화수소; 알루미나 연마제 (W-A355) 3.0 중량%; 트리톤 DF-16 계면활성제 50 ppm; 나머지는 탈이온수. 슬러리의 pH는 수산화알루미늄을 사용하여 7.0으로 조정하였다.
상이한 비율의 타르타르산 및 과산화수소 산화제를 갖는 슬러리를 사용한 연마 결과를 표 2에 열거하였다. 표 2에 열거한 화합물 외에, 각 슬러리는 3.65 중량%의 요소를 함유하였다. 로델사에 의해 제조된 IC1000/SUBA IV 패드 스택으로 IPEC 472 연마 기구 상에서 블랭킷 웨이퍼를 사용하여 연마 속도를 측정하였다. 웨이퍼는 3 psi의 하향력, 55 rpm의 테이블 속도, 30 rpm의 스핀들 속도 및 200 ml/분의 슬러리 유량을 사용하여 연마하였다.
시험 # 타르타르산 % HPO % T:HPO Cu 연마 속도, Å/분 Ta 연마 속도, Å/분
1 1.25 7.5 1:6 2,622 288
2 1.25 5.0 1:4 3,265 304
3 1.25 2.5 1:2 4,711 274
상기 연마 결과는 타르타르산/과산화물 중량비의 증가가 Ta 속도에 크게 영향을 미치지 않고서 Cu 제거 속도를 증가시킴을 보여준다.
기재 슬러리는 상기와 동일하게 사용하지만, 타르타르산 양 (T)을 변화시키고 과산화수소 양 (HPO)을 변화시키면서 금속의 용해 및 부식 속도를 실시예 1에 기재한 벙법에 따른 전기화학 방법에 의해 측정하여 결과를 표 3에 기록하였다.
시험 # 타르타르산 % HPO % T:HPO 연마시의 Cu 용해 속도, Å/분 연마시의 Cu 부식 속도, Å/분
1 0.5 6 1:12 163 16.3
2 1 6 1:6 163 19.2
3 0.5 2 1:4 240 19.2
4 1 2 1:2 314 38.4
5 3 6 1:2 360 57.6
6 1 1 1:1 344 50.4
7 2 2 1:1 336 62.6
8 3 2 1:1 336 62.6
표 2 및 3의 결과는 구리 연마 속도가 구리에 대하여 전기화학적으로 측정한 활성에 대응하며, 두가지 모두 산화제 대 착화제의 중량비의 증가에 따라 감소되는 한편, 탄탈륨 연마 속도 및 전기화학적 용해는 조성물 변화에 의해 크게 영향을 받지 않았음을 보여준다.
<실시예 3>
실시예 2, 표 3에서 관찰된 경향을 탄탈륨 및 질화탄탈륨을 연마시키는 데 유용한 제2 화학 기계적 연마용 슬러리를 제조하기 위한 기준으로서 사용하였다. 몇가지 제2 연마용 슬러리 후보물에 대한 구리 및 탄탈륨 연마 속도를 하기 표 4에 기록하였다. 화학 기계적 연마용 슬러리 중에 사용된 알루미나는 미국 일리노이주 오로라에 있는 캐보트 코포레이션의 마이크로일렉트로닉스 머티어리얼즈 디비젼이 판매하고 있는 알루미나 분산액인 세미-스퍼스 (등록상표) W-A355로부터 희석된 열분해법 알루미나였다.
슬러리 Cu 제거 속도, Å/분 Ta 제거 속도, Å/분 PETEOS 제거 속도, Å/분 Cu:Ta 선택성 비
1 알루미나 2%, H2O25%, 타르타르산 0.5%, pH 7.0 651 337 64 1.9:1
2 알루미나 5%, H2O25%, 타르타르산 0.2%, 아세트산 0.2%, 요소 2%, BTA 0.08%, 트리톤 DF-16 50 ppm, pH 6 260 244 8 1:1
3 알루미나 3%, H2O25%, 아세트산 0.2%, BTA 0.08%, 트리톤 DF-16 50 ppm, pH 5.0 66 299 135 1:4.5
산화제 대 착화제의 비율을 10보다 큰 값으로 증가시키면 표 4에 나타낸 바와 같이 구리 제거 속도가 상당히 감소되었다. 또한, 표 4의 데이타는 불량한 구리 착화제인 아세트산이 구리 제거 속도를 상당히 억제시키지만, 탄탈륨 제거 속도에는 크게 영향을 주지 않는다는 것을 보여준다.

Claims (33)

1종 이상의 연마제,
장쇄 알킬아민, 알콜아민 및 이들의 혼합물 중에서 선택되는 1종 이상의 유기 아미노 화합물
을 포함하는(comprising) 화학 기계적 연마용 슬러리 전구체.
제1항에 있어서, 막형성제를 포함하는 화학 기계적 연마용 슬러리 전구체.
제1항에 있어서, 착화제가 아세트산, 시트르산, 락트산, 말론산, 타르타르산, 숙신산, 옥살산, 아미노산, 이들의 염 및 이들의 혼합물을 포함하는 화합물의 군 중에서 선택되는 화학 기계적 연마용 슬러리 전구체.
제3항에 있어서, 착화제가 타르타르산인 화학 기계적 연마용 슬러리 전구체.
제4항에 있어서, 타르타르산이 약 0.5 내지 약 5.0 중량% 범위의 양으로 존재하는 화학 기계적 연마용 슬러리 전구체.
제1항에 있어서, 막형성제가 벤조트리아졸인 화학 기계적 연마용 슬러리 전구체.
제6항에 있어서, 약 0.01 내지 약 0.2 중량%의 벤조트리아졸을 포함하는 화학 기계적 연마용 슬러리 전구체.
제1항에 있어서, pH가 약 4.0 내지 약 8.0인 화학 기계적 연마용 슬러리 전구체.
제1항에 있어서, 1종 이상의 유기 아미노 화합물을 약 0.005 내지 약 10.0 중량% 포함하는 화학 기계적 연마용 슬러리 전구체.
1종 이상의 연마제,
1종 이상의 산화제, 및
장쇄 알킬아민, 알콜아민 및 이들의 혼합물 중에서 선택되는 1종 이상의 유기 아미노 화합물
을 포함하는 화학 기계적 연마용 슬러리.
제10항에 있어서, 막형성제를 포함하는 화학 기계적 연마용 슬러리.
제10항에 있어서, 착화제가 아세트산, 시트르산, 락트산, 말론산, 타르타르산, 숙신산, 옥살산, 아미노산, 이들의 염 및 이들의 혼합물을 포함하는 화합물의 군 중에서 선택되는 화학 기계적 연마용 슬러리.
제10항에 있어서, 착화제가 타르타르산인 화학 기계적 연마용 슬러리.
제13항에 있어서, 타르타르산이 약 0.5 내지 약 5.0 중량% 범위의 양으로 존재하는 화학 기계적 연마용 슬러리.
제10항에 있어서, 막형성제가 벤조트리아졸인 화학 기계적 연마용 슬러리.
제15항에 있어서, 약 0.01 내지 약 0.2 중량%의 벤조트리아졸을 포함하는 화학 기계적 연마용 슬러리.
제10항에 있어서, pH가 약 4.0 내지 약 8.0인 화학 기계적 연마용 슬러리.
제10항에 있어서, 1종 이상의 유기 아미노 화합물을 약 0.005 내지 약 10.0 중량% 포함하는 화학 기계적 연마용 슬러리.
제10항에 있어서, 연마제가 1종 이상의 금속 산화물인 화학 기계적 연마용 슬러리.
제19항에 있어서, 금속 산화물 연마제가 알루미나, 세리아, 게르마니아, 실리카, 티타니아, 지르코니아 및 이들의 혼합물을 포함하는 군 중에서 선택되는 화학 기계적 연마용 슬러리.
제10항에 있어서, 연마제가 금속 산화물의 수분산액인 화학 기계적 연마용 슬러리.
제21항에 있어서, 금속 산화물 연마제가 약 1.0 미크론 미만의 크기 분포 및 약 0.4 미크론 미만의 평균 응집체 직경을 갖는 금속 산화물 응집체로 이루어진 화학 기계적 연마용 슬러리.
제19항에 있어서, 금속 산화물 연마제가 0.400 미크론 미만의 제1 입경 및 약 10 m2/g 내지 약 250 m2/g 범위의 표면적을 갖는 분리된 별개의 금속 산화물 구체들로 이루어진 화학 기계적 연마용 슬러리.
제10항에 있어서, 연마제가 침전된 연마제 또는 열분해법 연마제로 이루어진 군 중에서 선택되는 화학 기계적 연마용 슬러리.
제10항에 있어서, 연마제가 알루미나의 수분산액인 화학 기계적 연마용 슬러리.
제10항에 있어서, 산화제가 과산화수소, 과산화수소 요소, 요소 및 이들의 조합 중에서 선택되는 화학 기계적 연마용 슬러리.
제10항에 있어서, Cu:Ta 연마 선택성 비가 40 이상인 화학 기계적 연마용 슬리러.
알루미나,
1종 이상의 산화제,
타르타르산,
벤조트리아졸, 및
장쇄 알킬아민, 알콜아민 및 이들의 혼합물 중에서 선택되는 1종 이상의 유기 아미노 화합물
을 포함하는화학 기계적 연마용 슬러리.
제28항에 있어서, 유기 아미노 화합물이 도데실아민인 화학 기계적 연마용 슬러리.
제28항에 있어서, 유기 아미노 화합물이 트리에탄올아민인 화학 기계적 연마용 슬러리.
제28항에 있어서, 산화제가 과산화수소, 과산화수소 요소, 요소, 및 이들의 조합 중에서 선택되는 화학 기계적 연마용 슬러리.
알루미나 약 0.5 내지 약 15 중량%,
타르타르산 약 0.5 내지 약 5 중량%,
벤조트리아졸 약 0.01 내지 약 0.2 중량%,
도데실아민, 트리에탄올아민 및 이들의 혼합물 중에서 선택되는 1종 이상의 유기 아미노 화합물 약 0.005 내지 약 10.0 중량%, 및
요소 약 1 내지 약 20 중량% 및 과산화수소 약 1 내지 약 12 중량%를 포함하는 산화제
를 포함하며, pH가 약 4 내지 약 8이고 Cu:Ta 연마 선택성 비가 10보다 큰 화학 기계적 연마용 슬러리.
제32항에 있어서, 1종 이상의 계면활성제를 포함하는 화학 기계적 연마용 슬러리.
KR10-2000-7014741A 1998-06-26 1999-06-25 구리/탄탈륨 기판에 유용한 화학 기계적 연마용 슬러리 KR100491060B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/105,555 US6063306A (en) 1998-06-26 1998-06-26 Chemical mechanical polishing slurry useful for copper/tantalum substrate
US09/105,555 1998-06-26

Publications (2)

Publication Number Publication Date
KR20010053166A true KR20010053166A (ko) 2001-06-25
KR100491060B1 KR100491060B1 (ko) 2005-05-24

Family

ID=22306489

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-7014741A KR100491060B1 (ko) 1998-06-26 1999-06-25 구리/탄탈륨 기판에 유용한 화학 기계적 연마용 슬러리

Country Status (13)

Country Link
US (1) US6063306A (ko)
EP (1) EP1098948B1 (ko)
JP (1) JP4261058B2 (ko)
KR (1) KR100491060B1 (ko)
CN (1) CN1158373C (ko)
AU (1) AU4723599A (ko)
CA (1) CA2335033A1 (ko)
DE (1) DE69928537T2 (ko)
ID (1) ID28618A (ko)
IL (1) IL140303A0 (ko)
MY (1) MY116324A (ko)
TW (1) TWI245788B (ko)
WO (1) WO2000000567A1 (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030013146A (ko) * 2001-08-07 2003-02-14 에이스하이텍 주식회사 실리콘 웨이퍼 연마제 조성물과 그 제조방법
KR20030070191A (ko) * 2002-02-21 2003-08-29 주식회사 동진쎄미켐 안정성 및 탄탈계 금속막에 대한 연마 속도가 우수한화학-기계적 연마 슬러리 조성물
KR100457417B1 (ko) * 2001-12-28 2004-11-18 제일모직주식회사 금속배선 연마용 슬러리 조성물
KR100460312B1 (ko) * 2001-12-10 2004-12-04 제일모직주식회사 금속배선 연마용 슬러리 조성물
KR100928167B1 (ko) * 2001-08-09 2009-11-25 가부시키가이샤 후지미인코퍼레이티드 연마용 조성물 및 그것을 사용한 연마 방법
KR101682085B1 (ko) * 2015-07-09 2016-12-02 주식회사 케이씨텍 텅스텐 연마용 슬러리 조성물
WO2021145739A1 (ko) * 2020-01-15 2021-07-22 오씨아이 주식회사 연마제 및 이를 이용한 평탄화 방법

Families Citing this family (224)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6039891A (en) * 1996-09-24 2000-03-21 Cabot Corporation Multi-oxidizer precursor for chemical mechanical polishing
US6309560B1 (en) * 1996-12-09 2001-10-30 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US6126853A (en) * 1996-12-09 2000-10-03 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5759917A (en) * 1996-12-30 1998-06-02 Cabot Corporation Composition for oxide CMP
US6592776B1 (en) * 1997-07-28 2003-07-15 Cabot Microelectronics Corporation Polishing composition for metal CMP
US6432828B2 (en) 1998-03-18 2002-08-13 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6693035B1 (en) * 1998-10-20 2004-02-17 Rodel Holdings, Inc. Methods to control film removal rates for improved polishing in metal CMP
JP3998813B2 (ja) * 1998-06-15 2007-10-31 株式会社フジミインコーポレーテッド 研磨用組成物
US6533832B2 (en) * 1998-06-26 2003-03-18 Cabot Microelectronics Corporation Chemical mechanical polishing slurry and method for using same
US6358853B2 (en) * 1998-09-10 2002-03-19 Intel Corporation Ceria based slurry for chemical-mechanical polishing
SG99289A1 (en) 1998-10-23 2003-10-27 Ibm Chemical-mechanical planarization of metallurgy
WO2000024842A1 (en) * 1998-10-23 2000-05-04 Arch Specialty Chemicals, Inc. A chemical mechanical polishing slurry system having an activator solution
US6428388B2 (en) 1998-11-06 2002-08-06 Beaver Creek Concepts Inc. Finishing element with finishing aids
US6541381B2 (en) 1998-11-06 2003-04-01 Beaver Creek Concepts Inc Finishing method for semiconductor wafers using a lubricating boundary layer
US6656023B1 (en) 1998-11-06 2003-12-02 Beaver Creek Concepts Inc In situ control with lubricant and tracking
US6739947B1 (en) 1998-11-06 2004-05-25 Beaver Creek Concepts Inc In situ friction detector method and apparatus
US7131890B1 (en) 1998-11-06 2006-11-07 Beaver Creek Concepts, Inc. In situ finishing control
US6634927B1 (en) 1998-11-06 2003-10-21 Charles J Molnar Finishing element using finishing aids
SG73683A1 (en) * 1998-11-24 2000-06-20 Texas Instruments Inc Stabilized slurry compositions
JP4053165B2 (ja) 1998-12-01 2008-02-27 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
JP4816836B2 (ja) * 1998-12-28 2011-11-16 日立化成工業株式会社 金属用研磨液及びそれを用いた研磨方法
EP1150341A4 (en) * 1998-12-28 2005-06-08 Hitachi Chemical Co Ltd MATERIALS FOR METAL POLLING LIQUID, METAL POLISHING LIQUID, THEIR PRODUCTION AND POLISHING METHOD
US6114246A (en) * 1999-01-07 2000-09-05 Vlsi Technology, Inc. Method of using a polish stop film to control dishing during copper chemical mechanical polishing
US6551933B1 (en) 1999-03-25 2003-04-22 Beaver Creek Concepts Inc Abrasive finishing with lubricant and tracking
US6752844B2 (en) * 1999-03-29 2004-06-22 Intel Corporation Ceric-ion slurry for use in chemical-mechanical polishing
US6375693B1 (en) * 1999-05-07 2002-04-23 International Business Machines Corporation Chemical-mechanical planarization of barriers or liners for copper metallurgy
TW486514B (en) * 1999-06-16 2002-05-11 Eternal Chemical Co Ltd Chemical mechanical abrasive composition for use in semiconductor processing
US7118685B1 (en) * 1999-07-13 2006-10-10 Kao Corporation Polishing liquid composition
US6630433B2 (en) * 1999-07-19 2003-10-07 Honeywell International Inc. Composition for chemical mechanical planarization of copper, tantalum and tantalum nitride
US6322425B1 (en) * 1999-07-30 2001-11-27 Corning Incorporated Colloidal polishing of fused silica
JP4188598B2 (ja) * 1999-08-13 2008-11-26 キャボット マイクロエレクトロニクス コーポレイション 停止化合物を伴う研磨系及びその使用方法
TW501197B (en) * 1999-08-17 2002-09-01 Hitachi Chemical Co Ltd Polishing compound for chemical mechanical polishing and method for polishing substrate
US6348076B1 (en) * 1999-10-08 2002-02-19 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof
US6435944B1 (en) 1999-10-27 2002-08-20 Applied Materials, Inc. CMP slurry for planarizing metals
US6432826B1 (en) * 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
EP1252651A2 (en) * 1999-12-07 2002-10-30 Cabot Microelectronics Corporation Chemical-mechanical polishing method
US6825117B2 (en) * 1999-12-14 2004-11-30 Intel Corporation High PH slurry for chemical mechanical polishing of copper
JP3805588B2 (ja) * 1999-12-27 2006-08-02 株式会社日立製作所 半導体装置の製造方法
US6881674B2 (en) * 1999-12-28 2005-04-19 Intel Corporation Abrasives for chemical mechanical polishing
JP3450247B2 (ja) * 1999-12-28 2003-09-22 Necエレクトロニクス株式会社 金属配線形成方法
JP2001267273A (ja) * 2000-01-11 2001-09-28 Sumitomo Chem Co Ltd 金属用研磨材、研磨組成物及び研磨方法
TW572980B (en) * 2000-01-12 2004-01-21 Jsr Corp Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
KR100504359B1 (ko) * 2000-02-04 2005-07-28 쇼와 덴코 가부시키가이샤 Lsi 디바이스 연마용 조성물 및 lsi 디바이스의제조 방법
WO2001058643A1 (en) * 2000-02-11 2001-08-16 Nu Tool, Inc. Modified plating solution for plating and planarization and process utilizing same
US6354916B1 (en) 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US6355075B1 (en) * 2000-02-11 2002-03-12 Fujimi Incorporated Polishing composition
US6328774B1 (en) * 2000-02-23 2001-12-11 Fujimi America Inc. Polishing composition and method for producing a memory hard disk
US6332831B1 (en) * 2000-04-06 2001-12-25 Fujimi America Inc. Polishing composition and method for producing a memory hard disk
KR100364831B1 (ko) * 2000-03-20 2002-12-16 엘지.필립스 엘시디 주식회사 몰리브덴 금속막용 에칭 용액
JP2001269859A (ja) * 2000-03-27 2001-10-02 Jsr Corp 化学機械研磨用水系分散体
US6451697B1 (en) 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
IL151977A0 (en) * 2000-04-11 2003-04-10 Cabot Microelectronics Corp System for the preferential removal of silicon oxide
US6409781B1 (en) 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
US6486108B1 (en) * 2000-05-31 2002-11-26 Micron Technology, Inc. Cleaning composition useful in semiconductor integrated circuit fabrication
US6872329B2 (en) 2000-07-28 2005-03-29 Applied Materials, Inc. Chemical mechanical polishing composition and process
US6458013B1 (en) 2000-07-31 2002-10-01 Asml Us, Inc. Method of chemical mechanical polishing
US7029381B2 (en) * 2000-07-31 2006-04-18 Aviza Technology, Inc. Apparatus and method for chemical mechanical polishing of substrates
AU2001279126A1 (en) * 2000-07-31 2002-02-13 Silicon Valley Group Inc In-situ method and apparatus for end point detection in chemical mechanical polishing
JP2002050595A (ja) * 2000-08-04 2002-02-15 Hitachi Ltd 研磨方法、配線形成方法及び半導体装置の製造方法
JP2002075927A (ja) * 2000-08-24 2002-03-15 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US7074113B1 (en) 2000-08-30 2006-07-11 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7153195B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for selectively removing conductive material from a microelectronic substrate
US7192335B2 (en) 2002-08-29 2007-03-20 Micron Technology, Inc. Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
US7094131B2 (en) 2000-08-30 2006-08-22 Micron Technology, Inc. Microelectronic substrate having conductive material with blunt cornered apertures, and associated methods for removing conductive material
US7129160B2 (en) 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
US7078308B2 (en) * 2002-08-29 2006-07-18 Micron Technology, Inc. Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US7160176B2 (en) * 2000-08-30 2007-01-09 Micron Technology, Inc. Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US6551935B1 (en) * 2000-08-31 2003-04-22 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US7134934B2 (en) * 2000-08-30 2006-11-14 Micron Technology, Inc. Methods and apparatus for electrically detecting characteristics of a microelectronic substrate and/or polishing medium
US7112121B2 (en) 2000-08-30 2006-09-26 Micron Technology, Inc. Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US7153410B2 (en) 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for electrochemical-mechanical processing of microelectronic workpieces
US6602117B1 (en) 2000-08-30 2003-08-05 Micron Technology, Inc. Slurry for use with fixed-abrasive polishing pads in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US7220166B2 (en) 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US6867448B1 (en) 2000-08-31 2005-03-15 Micron Technology, Inc. Electro-mechanically polished structure
US6468137B1 (en) 2000-09-07 2002-10-22 Cabot Microelectronics Corporation Method for polishing a memory or rigid disk with an oxidized halide-containing polishing system
US6541384B1 (en) 2000-09-08 2003-04-01 Applied Materials, Inc. Method of initiating cooper CMP process
DE10048477B4 (de) * 2000-09-29 2008-07-03 Qimonda Ag Verfahren zum chemisch-mechanischen Polieren von Schichten aus Metallen der Platingruppe
WO2002028979A1 (fr) * 2000-10-02 2002-04-11 Mitsui Mining & Smelting Co.,Ltd. Matiere abrasive a base de cerium et procede de production de ladite matiere
KR100396883B1 (ko) * 2000-11-23 2003-09-02 삼성전자주식회사 화학기계적 연마용 슬러리 및 이를 이용한 구리 금속배선제조방법
JP3816743B2 (ja) * 2000-11-24 2006-08-30 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
JP3825246B2 (ja) * 2000-11-24 2006-09-27 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
US20050266226A1 (en) * 2000-11-29 2005-12-01 Psiloquest Chemical mechanical polishing pad and method for selective metal and barrier polishing
US6688956B1 (en) 2000-11-29 2004-02-10 Psiloquest Inc. Substrate polishing device and method
WO2002043922A1 (en) * 2000-11-29 2002-06-06 Psiloquest, Inc. Crosslinked polyethylene polishing pad for chemical-mechnical polishing, polishing apparatus and polishing method
US7059946B1 (en) 2000-11-29 2006-06-13 Psiloquest Inc. Compacted polishing pads for improved chemical mechanical polishing longevity
US6846225B2 (en) * 2000-11-29 2005-01-25 Psiloquest, Inc. Selective chemical-mechanical polishing properties of a cross-linked polymer and specific applications therefor
US6596388B1 (en) 2000-11-29 2003-07-22 Psiloquest Method of introducing organic and inorganic grafted compounds throughout a thermoplastic polishing pad using a supercritical fluid and applications therefor
US6579604B2 (en) 2000-11-29 2003-06-17 Psiloquest Inc. Method of altering and preserving the surface properties of a polishing pad and specific applications therefor
US20020068454A1 (en) 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
DE10060343A1 (de) * 2000-12-04 2002-06-06 Bayer Ag Polierslurry für das chemisch-mechanische Polieren von Metall- und Dielektrikastrukturen
US6896776B2 (en) * 2000-12-18 2005-05-24 Applied Materials Inc. Method and apparatus for electro-chemical processing
WO2002061810A1 (en) * 2001-01-16 2002-08-08 Cabot Microelectronics Corporation Ammonium oxalate-containing polishing system and method
US6383065B1 (en) 2001-01-22 2002-05-07 Cabot Microelectronics Corporation Catalytic reactive pad for metal CMP
US6575823B1 (en) 2001-03-06 2003-06-10 Psiloquest Inc. Polishing pad and method for in situ delivery of chemical mechanical polishing slurry modifiers and applications thereof
US6764574B1 (en) 2001-03-06 2004-07-20 Psiloquest Polishing pad composition and method of use
US6530824B2 (en) 2001-03-09 2003-03-11 Rodel Holdings, Inc. Method and composition for polishing by CMP
KR100762424B1 (ko) * 2001-03-12 2007-10-02 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스 인코포레이티드 Cmp에 의한 연마방법 및 이를 위한 조성물
US7128825B2 (en) 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US7160432B2 (en) 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7582564B2 (en) * 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US7323416B2 (en) * 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US7232514B2 (en) 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
US6796883B1 (en) 2001-03-15 2004-09-28 Beaver Creek Concepts Inc Controlled lubricated finishing
US20040159050A1 (en) * 2001-04-30 2004-08-19 Arch Specialty Chemicals, Inc. Chemical mechanical polishing slurry composition for polishing conductive and non-conductive layers on semiconductor wafers
US6818301B2 (en) * 2001-06-01 2004-11-16 Psiloquest Inc. Thermal management with filled polymeric polishing pads and applications therefor
US6783432B2 (en) 2001-06-04 2004-08-31 Applied Materials Inc. Additives for pressure sensitive polishing compositions
US20040011991A1 (en) * 2001-06-13 2004-01-22 Markle Richard J. Use of a gettering agent in a chemical mechanical polishing and rinsing operation and apparatus therefor
US6656241B1 (en) 2001-06-14 2003-12-02 Ppg Industries Ohio, Inc. Silica-based slurry
US7279119B2 (en) * 2001-06-14 2007-10-09 Ppg Industries Ohio, Inc. Silica and silica-based slurry
US20030094593A1 (en) * 2001-06-14 2003-05-22 Hellring Stuart D. Silica and a silica-based slurry
US6627546B2 (en) * 2001-06-29 2003-09-30 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US6811470B2 (en) 2001-07-16 2004-11-02 Applied Materials Inc. Methods and compositions for chemical mechanical polishing shallow trench isolation substrates
KR100465761B1 (ko) * 2002-06-17 2005-01-13 삼성전자주식회사 탄탈륨 질화막을 포함하는 반도체 배선 구조 및 그 형성방법
US7029373B2 (en) * 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6692546B2 (en) 2001-08-14 2004-02-17 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6511906B1 (en) * 2001-08-30 2003-01-28 Micron Technology, Inc. Selective CMP scheme
US7156717B2 (en) 2001-09-20 2007-01-02 Molnar Charles J situ finishing aid control
US6638326B2 (en) 2001-09-25 2003-10-28 Ekc Technology, Inc. Compositions for chemical mechanical planarization of tantalum and tantalum nitride
CA2467806C (en) * 2001-11-20 2011-04-19 Rensselaer Polytechnic Institute Method for polishing a substrate surface
US6866792B2 (en) * 2001-12-12 2005-03-15 Ekc Technology, Inc. Compositions for chemical mechanical planarization of copper
US20070295611A1 (en) * 2001-12-21 2007-12-27 Liu Feng Q Method and composition for polishing a substrate
DE10164262A1 (de) * 2001-12-27 2003-07-17 Bayer Ag Zusammensetzung für das chemisch-mechanische Polieren von Metall- und Metall/Dielektrikastrukturen
US7025659B2 (en) * 2002-01-14 2006-04-11 Hitachi Global Storage Technologies Netherlands B.V. Simultaneous planarization of pole piece and coil materials for write head applications
US20030136759A1 (en) * 2002-01-18 2003-07-24 Cabot Microelectronics Corp. Microlens array fabrication using CMP
US7316603B2 (en) * 2002-01-22 2008-01-08 Cabot Microelectronics Corporation Compositions and methods for tantalum CMP
US7097541B2 (en) 2002-01-22 2006-08-29 Cabot Microelectronics Corporation CMP method for noble metals
US6527622B1 (en) * 2002-01-22 2003-03-04 Cabot Microelectronics Corporation CMP method for noble metals
US7524346B2 (en) * 2002-01-25 2009-04-28 Dupont Air Products Nanomaterials Llc Compositions of chemical mechanical planarization slurries contacting noble-metal-featured substrates
US7199056B2 (en) * 2002-02-08 2007-04-03 Applied Materials, Inc. Low cost and low dishing slurry for polysilicon CMP
US6884729B2 (en) * 2002-02-11 2005-04-26 Cabot Microelectronics Corporation Global planarization method
US6821309B2 (en) 2002-02-22 2004-11-23 University Of Florida Chemical-mechanical polishing slurry for polishing of copper or silver films
US20030168627A1 (en) * 2002-02-22 2003-09-11 Singh Rajiv K. Slurry and method for chemical mechanical polishing of metal structures including refractory metal based barrier layers
US6853474B2 (en) * 2002-04-04 2005-02-08 Cabot Microelectronics Corporation Process for fabricating optical switches
US6716281B2 (en) * 2002-05-10 2004-04-06 Electrochemicals, Inc. Composition and method for preparing chemically-resistant roughened copper surfaces for bonding to substrates
US7087187B2 (en) * 2002-06-06 2006-08-08 Grumbine Steven K Meta oxide coated carbon black for CMP
US6974777B2 (en) * 2002-06-07 2005-12-13 Cabot Microelectronics Corporation CMP compositions for low-k dielectric materials
US6936543B2 (en) * 2002-06-07 2005-08-30 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants
US20040007690A1 (en) * 2002-07-12 2004-01-15 Cabot Microelectronics Corp. Methods for polishing fiber optic connectors
JP2004071673A (ja) * 2002-08-02 2004-03-04 Nec Electronics Corp 銅系金属研磨スラリー
JP4083502B2 (ja) * 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US6838169B2 (en) * 2002-09-11 2005-01-04 Psiloquest, Inc. Polishing pad resistant to delamination
US20100009540A1 (en) * 2002-09-25 2010-01-14 Asahi Glass Company Limited Polishing compound, its production process and polishing method
ATE452422T1 (de) * 2002-09-25 2010-01-15 Seimi Chem Kk Poliermittelzusammensetzung und polierverfahren
US6866793B2 (en) * 2002-09-26 2005-03-15 University Of Florida Research Foundation, Inc. High selectivity and high planarity dielectric polishing
JP3981616B2 (ja) * 2002-10-02 2007-09-26 株式会社フジミインコーポレーテッド 研磨用組成物
US7063597B2 (en) 2002-10-25 2006-06-20 Applied Materials Polishing processes for shallow trench isolation substrates
US20040144038A1 (en) * 2002-12-09 2004-07-29 Junaid Ahmed Siddiqui Composition and associated method for oxide chemical mechanical planarization
US6893476B2 (en) 2002-12-09 2005-05-17 Dupont Air Products Nanomaterials Llc Composition and associated methods for chemical mechanical planarization having high selectivity for metal removal
WO2004053456A2 (en) * 2002-12-09 2004-06-24 Corning Incorporated Method using multi-component colloidal abrasives for cmp processing of semiconductor and optical materials
US20040175942A1 (en) * 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
US7300602B2 (en) * 2003-01-23 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Selective barrier metal polishing solution
US7148147B2 (en) * 2003-03-06 2006-12-12 J.G. Systems, Inc. CMP composition containing organic nitro compounds
US20040188379A1 (en) * 2003-03-28 2004-09-30 Cabot Microelectronics Corporation Dielectric-in-dielectric damascene process for manufacturing planar waveguides
US20040209066A1 (en) * 2003-04-17 2004-10-21 Swisher Robert G. Polishing pad with window for planarization
US20040232379A1 (en) * 2003-05-20 2004-11-25 Ameen Joseph G. Multi-oxidizer-based slurry for nickel hard disk planarization
US7390429B2 (en) * 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US20040259366A1 (en) * 2003-06-20 2004-12-23 Kim Seong Han Method and composition for the chemical-vibrational-mechanical planarization of copper
US7968465B2 (en) * 2003-08-14 2011-06-28 Dupont Air Products Nanomaterials Llc Periodic acid compositions for polishing ruthenium/low K substrates
US6986284B2 (en) * 2003-08-29 2006-01-17 Rohm And Haas Electronic Materials Cmp Holdings, Inc. System and method for characterizing a textured surface
WO2005028157A1 (en) * 2003-09-15 2005-03-31 Psiloquest Inc. A polishing pad for chemical mechanical polishing
US7112122B2 (en) * 2003-09-17 2006-09-26 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US6929983B2 (en) 2003-09-30 2005-08-16 Cabot Microelectronics Corporation Method of forming a current controlling device
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US20050104048A1 (en) * 2003-11-13 2005-05-19 Thomas Terence M. Compositions and methods for polishing copper
US20050109980A1 (en) * 2003-11-25 2005-05-26 Hongyu Wang Polishing composition for CMP having abrasive particles
JP3892846B2 (ja) * 2003-11-27 2007-03-14 株式会社東芝 Cmp用スラリー、研磨方法、および半導体装置の製造方法
US20050148289A1 (en) * 2004-01-06 2005-07-07 Cabot Microelectronics Corp. Micromachining by chemical mechanical polishing
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
US7120989B2 (en) * 2004-02-18 2006-10-17 Headway Technologies, Inc. Process of manufacturing a perpendicular magnetic pole structure
US7153777B2 (en) * 2004-02-20 2006-12-26 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
US6971945B2 (en) 2004-02-23 2005-12-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Multi-step polishing solution for chemical mechanical planarization
US7253111B2 (en) 2004-04-21 2007-08-07 Rohm And Haas Electronic Materials Cmp Holding, Inc. Barrier polishing solution
US7247567B2 (en) 2004-06-16 2007-07-24 Cabot Microelectronics Corporation Method of polishing a tungsten-containing substrate
US7582127B2 (en) * 2004-06-16 2009-09-01 Cabot Microelectronics Corporation Polishing composition for a tungsten-containing substrate
US6979252B1 (en) 2004-08-10 2005-12-27 Dupont Air Products Nanomaterials Llc Low defectivity product slurry for CMP and associated production method
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US20060043534A1 (en) * 2004-08-26 2006-03-02 Kirby Kyle K Microfeature dies with porous regions, and associated methods and systems
US7566391B2 (en) 2004-09-01 2009-07-28 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
US20060088976A1 (en) * 2004-10-22 2006-04-27 Applied Materials, Inc. Methods and compositions for chemical mechanical polishing substrates
US20060089095A1 (en) * 2004-10-27 2006-04-27 Swisher Robert G Polyurethane urea polishing pad
US20060089094A1 (en) * 2004-10-27 2006-04-27 Swisher Robert G Polyurethane urea polishing pad
US20060089093A1 (en) * 2004-10-27 2006-04-27 Swisher Robert G Polyurethane urea polishing pad
JP2006179845A (ja) * 2004-11-26 2006-07-06 Fuji Photo Film Co Ltd 金属用研磨液及び研磨方法
US20060118760A1 (en) * 2004-12-03 2006-06-08 Yang Andy C Slurry composition and methods for chemical mechanical polishing
US20060154579A1 (en) * 2005-01-12 2006-07-13 Psiloquest Thermoplastic chemical mechanical polishing pad and method of manufacture
US7427362B2 (en) * 2005-01-26 2008-09-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Corrosion-resistant barrier polishing solution
WO2006081589A2 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Tungsten electroprocessing
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
DE102005004384A1 (de) * 2005-01-31 2006-08-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer definierten Vertiefung in einer Damaszener-Struktur unter Verwendung eines CMP Prozesses und eine Damaszener-Struktur
EP1702965A3 (en) * 2005-03-17 2007-07-25 FUJIFILM Corporation Metal chemical mechanical polishing solution and polishing method
CN1854234B (zh) 2005-04-21 2013-03-20 安集微电子(上海)有限公司 抛光浆料及其用途和使用方法
JP5133874B2 (ja) * 2005-04-28 2013-01-30 テクノ セミケム シーオー., エルティーディー. 高段差酸化膜の平坦化のための自動研磨停止機能を有する化学機械的研磨組成物
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US20060278879A1 (en) * 2005-06-09 2006-12-14 Cabot Microelectronics Corporation Nanochannel device and method of manufacturing same
US7576361B2 (en) * 2005-08-03 2009-08-18 Aptina Imaging Corporation Backside silicon wafer design reducing image artifacts from infrared radiation
US7803203B2 (en) 2005-09-26 2010-09-28 Cabot Microelectronics Corporation Compositions and methods for CMP of semiconductor materials
TW200720493A (en) * 2005-10-31 2007-06-01 Applied Materials Inc Electrochemical method for ecmp polishing pad conditioning
KR101134588B1 (ko) * 2005-12-07 2012-04-09 삼성코닝정밀소재 주식회사 금속 배선용 화학 기계적 연마 조성물
US20070151866A1 (en) * 2006-01-05 2007-07-05 Applied Materials, Inc. Substrate polishing with surface pretreatment
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
US20070249167A1 (en) * 2006-04-21 2007-10-25 Cabot Microelectronics Corporation CMP method for copper-containing substrates
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
US7368066B2 (en) * 2006-05-31 2008-05-06 Cabot Microelectronics Corporation Gold CMP composition and method
US20080148652A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Compositions for chemical mechanical planarization of copper
JP5571695B2 (ja) * 2009-01-30 2014-08-13 レンズセーバーズ リミテッド ライアビリティ カンパニー プラスチックカバーおよびレンズの修復組成物および方法
CN102373014A (zh) * 2010-08-24 2012-03-14 安集微电子(上海)有限公司 一种化学机械抛光液
EP2502969A1 (en) * 2011-03-22 2012-09-26 Basf Se A chemical mechanical polishing (cmp) composition comprising two types of corrosion inhibitors
CN104480522B (zh) * 2014-12-03 2016-10-12 南京三乐电子信息产业集团有限公司 磁控管用的钽箔材料一次发射体电解去毛刺及氧化层溶液及其去毛刺方法
KR101922289B1 (ko) * 2015-11-26 2018-11-27 삼성에스디아이 주식회사 Cmp 슬러리 조성물 및 이를 이용한 유기막 연마방법
CN106811618B (zh) * 2017-02-13 2018-05-11 东莞市佳乾新材料科技有限公司 一种环保型抗变色的紫铜排及其制备方法
US10106705B1 (en) 2017-03-29 2018-10-23 Fujifilm Planar Solutions, LLC Polishing compositions and methods of use thereof
US10685935B2 (en) * 2017-11-15 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Forming metal bonds with recesses
CN111040640A (zh) * 2020-01-07 2020-04-21 郑州中科新兴产业技术研究院 用于硅晶圆基材的复合磨料化学机械抛光浆料及制备方法
KR102367056B1 (ko) * 2020-02-27 2022-02-25 주식회사 케이씨텍 화학적 기계적 연마용 슬러리 조성물
KR20230093321A (ko) * 2020-10-29 2023-06-27 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 연마 조성물 및 이를 사용하는 방법

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1198312A (en) * 1967-07-22 1970-07-08 Geigy Uk Ltd Corrosion Inhibiting Chemical Compositions
SE400581B (sv) * 1974-12-13 1978-04-03 Nordnero Ab Bad for kemisk polering av koppar och dess legeringar
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4671851A (en) * 1985-10-28 1987-06-09 International Business Machines Corporation Method for removing protuberances at the surface of a semiconductor wafer using a chem-mech polishing technique
US4956313A (en) * 1987-08-17 1990-09-11 International Business Machines Corporation Via-filling and planarization technique
JPH01263186A (ja) * 1988-04-15 1989-10-19 Showa Denko Kk アルミニウム磁気ディスク研磨用組成物
US4892612A (en) * 1988-10-11 1990-01-09 Huff John E Polishing method
US4910155A (en) * 1988-10-28 1990-03-20 International Business Machines Corporation Wafer flood polishing
SU1763468A1 (ru) * 1990-01-08 1992-09-23 Одесский Конструкторско-Технологический Институт По Поршневым Кольцам Притирочна суспензи
US5244523A (en) * 1990-02-07 1993-09-14 Tollini Dennis R Bandage for replaceable dressing and method of fabrication thereof
US5157876A (en) * 1990-04-10 1992-10-27 Rockwell International Corporation Stress-free chemo-mechanical polishing agent for II-VI compound semiconductor single crystals and method of polishing
US5137544A (en) * 1990-04-10 1992-08-11 Rockwell International Corporation Stress-free chemo-mechanical polishing agent for II-VI compound semiconductor single crystals and method of polishing
US5209816A (en) * 1992-06-04 1993-05-11 Micron Technology, Inc. Method of chemical mechanical polishing aluminum containing metal layers and slurry for chemical mechanical polishing
US5225034A (en) * 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US5575837A (en) * 1993-04-28 1996-11-19 Fujimi Incorporated Polishing composition
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5575885A (en) * 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
JP3397501B2 (ja) * 1994-07-12 2003-04-14 株式会社東芝 研磨剤および研磨方法
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
WO1996038262A1 (en) * 1995-06-01 1996-12-05 Rodel, Inc. Compositions for polishing silicon wafers and methods
US5700383A (en) * 1995-12-21 1997-12-23 Intel Corporation Slurries and methods for chemical mechanical polish of aluminum and titanium aluminide
US5858813A (en) * 1996-05-10 1999-01-12 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
US5993686A (en) * 1996-06-06 1999-11-30 Cabot Corporation Fluoride additive containing chemical mechanical polishing slurry and method for use of same
JP3507628B2 (ja) * 1996-08-06 2004-03-15 昭和電工株式会社 化学的機械研磨用研磨組成物
US6068787A (en) * 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US6309560B1 (en) * 1996-12-09 2001-10-30 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5735963A (en) * 1996-12-17 1998-04-07 Lucent Technologies Inc. Method of polishing
US5993685A (en) * 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
US6083419A (en) * 1997-07-28 2000-07-04 Cabot Corporation Polishing composition including an inhibitor of tungsten etching

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030013146A (ko) * 2001-08-07 2003-02-14 에이스하이텍 주식회사 실리콘 웨이퍼 연마제 조성물과 그 제조방법
KR100928167B1 (ko) * 2001-08-09 2009-11-25 가부시키가이샤 후지미인코퍼레이티드 연마용 조성물 및 그것을 사용한 연마 방법
KR100460312B1 (ko) * 2001-12-10 2004-12-04 제일모직주식회사 금속배선 연마용 슬러리 조성물
KR100457417B1 (ko) * 2001-12-28 2004-11-18 제일모직주식회사 금속배선 연마용 슬러리 조성물
KR20030070191A (ko) * 2002-02-21 2003-08-29 주식회사 동진쎄미켐 안정성 및 탄탈계 금속막에 대한 연마 속도가 우수한화학-기계적 연마 슬러리 조성물
KR101682085B1 (ko) * 2015-07-09 2016-12-02 주식회사 케이씨텍 텅스텐 연마용 슬러리 조성물
WO2021145739A1 (ko) * 2020-01-15 2021-07-22 오씨아이 주식회사 연마제 및 이를 이용한 평탄화 방법

Also Published As

Publication number Publication date
EP1098948B1 (en) 2005-11-23
CA2335033A1 (en) 2000-01-06
CN1312845A (zh) 2001-09-12
IL140303A0 (en) 2002-02-10
EP1098948A1 (en) 2001-05-16
CN1158373C (zh) 2004-07-21
DE69928537D1 (de) 2005-12-29
ID28618A (id) 2001-06-21
DE69928537T2 (de) 2006-03-30
AU4723599A (en) 2000-01-17
JP4261058B2 (ja) 2009-04-30
TWI245788B (en) 2005-12-21
KR100491060B1 (ko) 2005-05-24
MY116324A (en) 2003-12-31
JP2002519475A (ja) 2002-07-02
WO2000000567A1 (en) 2000-01-06
US6063306A (en) 2000-05-16

Similar Documents

Publication Publication Date Title
KR100491060B1 (ko) 구리/탄탈륨 기판에 유용한 화학 기계적 연마용 슬러리
KR100491061B1 (ko) 구리/탄탈륨 기판에 유용한 화학 기계적 연마용 슬러리
KR100594561B1 (ko) 구리 기판에 유용한 화학 기계적 연마용 슬러리
KR100690470B1 (ko) 구리기판의 기계화학적 연마
US5783489A (en) Multi-oxidizer slurry for chemical mechanical polishing
US5954997A (en) Chemical mechanical polishing slurry useful for copper substrates
US6362106B1 (en) Chemical mechanical polishing method useful for copper substrates
US6033596A (en) Multi-oxidizer slurry for chemical mechanical polishing
JP2002519471A5 (ko)

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130415

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140414

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160503

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee