JP4261058B2 - 銅/タンタル基体に有用な化学的機械研磨スラリー - Google Patents

銅/タンタル基体に有用な化学的機械研磨スラリー Download PDF

Info

Publication number
JP4261058B2
JP4261058B2 JP2000557321A JP2000557321A JP4261058B2 JP 4261058 B2 JP4261058 B2 JP 4261058B2 JP 2000557321 A JP2000557321 A JP 2000557321A JP 2000557321 A JP2000557321 A JP 2000557321A JP 4261058 B2 JP4261058 B2 JP 4261058B2
Authority
JP
Japan
Prior art keywords
mechanical polishing
chemical mechanical
polishing slurry
slurry
copper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000557321A
Other languages
English (en)
Other versions
JP2002519475A (ja
Inventor
ブラシック コーフマン,ブラスタ
シー. キストラー,ロドニー
ワン,シュミン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CMC Materials Inc
Original Assignee
Cabot Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cabot Microelectronics Corp filed Critical Cabot Microelectronics Corp
Publication of JP2002519475A publication Critical patent/JP2002519475A/ja
Application granted granted Critical
Publication of JP4261058B2 publication Critical patent/JP4261058B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Description

【0001】
発明の背景
(1)発明の分野
本発明は、銅部分およびタンタル部分を含む基体を研磨するために用いられるときに有用である化学的機械研磨スラリーに関する。本発明は、研磨材、酸化剤、錯化剤および少くとも1つの有機アミノ化合物を含む第1の化学的機械研磨スラリーを含む。
【0002】
(2)技術の説明
集積回路は、シリコン基体内もしくは上に形成された多数の能動デバイス(active devices)から構成されている。はじめに互いに孤立されている能動デバイスは、相互連結されて機能性回路および要素を形成する。デバイスは多層配線(multilevel interconnections)の使用により相互連結される。相互連結構造は、第1のメタライゼーション層、相互連結層、第2のメラライゼーション層、そして時々、次の第3のメタライゼーション層を有するのが通常である。ドープされた、もしくはドープされていない二酸化ケイ素(SiO2)または低−κ絶縁体窒化タンタルのような層間絶縁膜(interlevel dielectrics)が、シリコン基体もしくはウェル(well)中で、異なったメタライゼーション層を電気的に絶縁するのに用いられる。異なる層間の連結はメタライズされたビア(vias)の使用によりなされる。米国特許第5,741,626号明細書は、絶縁体窒化タンタル層の製造法を記述する。
【0003】
同様に、金属接触は、ウェル内に形成された連結層およびデバイス間の電気的結合を形成するために使用される。金属ビアおよび接触は、チタン(Ti)、窒化チタン(TiN)、タンタル(Ta)、アルミニウム銅(Al−Cu)、アルミニウムケイ素(Al−Si)、銅(Cu)、タングステン(W)、およびそれらの組み合わせを含む種々の金属および合金で充たされうる。金属ビアおよび接触は、金属層をSiO2 基体に密着するために、窒化チタン(TiN)、チタン(Ti)、タンタル(Ta)、窒化タンタル(TaN)もしくはそれらの組み合わせのような密着層を使用するのが通常である。接触面において、密着層は充てん金属とSiO2 の反応を防止する拡散バリアとして作用する。
【0004】
ある半導体製造方法において、メタライズされたビアもしくは接触は、ブランケット金属堆積により形成され、ついで化学的機械研磨(CMP)される。通常の方法では、ビア孔は層間絶縁膜(ILD)により相互連結ラインもしくは半導体基体にエッチングされる。次に、窒化タンタルおよび/またはタンタルのような薄い密着層がILDにわたって形成され、エッチングされたビア孔に向けられるのが通常である。ついで金属膜は密着層にわたって、そしてビア孔内にブランケット堆積される。堆積は、ビア孔がブランケット堆積金属で充たされるまで続けられる。最後に、過剰金属は化学的機械研磨(CMP)により除去され、金属ビアを形成する。製造方法および/またはビアのCMPは、米国特許第4,671,851、4,910,155および4,944,836号明細書に開示されている。
【0005】
通常の化学的機械研磨方法において、基体は回転研磨パッドと直接に接触して置かれる。キャリア(保持用ホルダ)は基体の裏面に対して圧力を加える。研磨処理の間、パッドおよびテーブルは、下向きの力が基体裏面に対して加えられているのに、回転される。一般に「スラリー」(“slurry”)といわれる、研磨材の化学的に反応性の溶液は、研磨の間、パッド上に付着される。スラリーは研磨される膜と化学的に反応することにより研磨処理を開始する。研磨処理は、スラリーが水/パッド界面に供給されるときに、基体に関してパッドを回転運動させることにより促進される。研磨は、絶縁体上の所望の膜が除去されるまでこのように続けられる。スラリー組成物はCMP工程における重要なファクターである。酸化剤、研磨材および他の有用な添加剤の選択によって、研磨スラリーは所望の研磨速度で金属層に有効な研磨を与えるように適合され得、表面の欠陥、欠点、腐食および浸食を最小限にされる。さらに研磨スラリーは、チタン、窒化チタン、タンタル、窒化タンタル等のような、現在の集積回路技術で使用される他の薄膜材料への研磨選択性を調節させるために使用されうる。
【0006】
通常、CMP研磨スラリーは、水性の酸化媒体に懸濁する、シリカもしくはアルミナのような研磨材を含む。たとえば、Yuらの米国特許第5,244,534号は、下の絶縁層をほとんど除去しないで予測しうる速度でタングステンを除去するのに有用な、アルミナ、過酸化水素、および水酸化カリウムもしくはアンモニウム、を含むスラリーを記述する。Yuらの米国特許第5,209,816号はアルミニウムの研磨に有用である水性媒体中に過塩酸、過酸化水素および固体研磨材料を含むスラリーを開示する。CadienとFellerの米国特許第5,340,370号は、約0.1Mのフェリシアン化カリウム、約5wt%のシリカおよび酢酸カリウムを含むタングステン研磨スラリーを開示する。酢酸は、pHを約3.5に緩衝するために添加される。
【0007】
Beyerらの米国特許第4,789,648号は硫酸、硝酸、酢酸および脱イオン水とともにアルミナ研磨材を用いるスラリー配合物を開示する。米国特許第5,391,258および5,476,606号は、金属およびシリカの複合体を研磨するためのスラリーを開示し、それは水性媒体、研磨粒子、およびシリカ除去の速度を調節するアニオンを含む。CMP用途に使用する、他の研磨スラリーが、Nevilleらの米国特許第5,527,423、Yuらの米国特許第5,354,490、Cadienらの米国特許第5,340,370、Yuらの米国特許第5,209,816、Medellinの米国特許第5,157,876、Medellinの米国特許第5,137,544およびCoteらの米国特許4,956,313号明細書に記載されている。
【0008】
公知文献に種々のメカニズムが開示されており、それにより金属表面はスラリーで研磨されうる。金属表面はスラリーを用いて研磨され得、そこでは工程が金属粒子の機械的除去およびスラリー中での溶解により進行する場合には表面の膜は形成されない。このようなメカニズムにおいて、化学的溶解速度は、ウェットエッチングを避けるために穏やかであるべきである。しかし、もっと好適なメカニズムは、薄い研磨しうる層が、金属表面と錯化剤および/または膜形成層のようなスラリ中の1つもしくはそれより多い成分との間の反応により連続的に形成されるものである。ついで、該薄い研磨しうる層は機械的作用により調節された方法で除去される。機械研磨工程が停止すると、薄い不活性(passive)膜が表面に残り、ウェットエッチング工程を調節する。化学的機械研磨工程を制御することは、CMPスラリーがこのメカニズムを用いて研磨するときにはずっと容易である。
【0009】
化学的機械研磨を用いて研磨される、現在の銅含有基体も、TaおよびTaN密着層を使用する。TaおよびTaNは化学的に非常に不活性であり、かつ機械的に非常に硬いので、研磨により除去するのが困難である。単1スラリーの使用は、高いCu:Ta選択性要求につれて、Taに対して延長された研磨時間を行なわせるが、これは銅に対しては有意の過研磨時間となり、その間にディッシング(dishing)および腐食性能が有意に劣化する。
【0010】
いくつかの関連するCuの化学的作用が、公開文献で検討されたが、銅およびタンタルの両方を含む基体に有用な化学的機械研磨スラリーの重要な用件のすべてにうまく向けられる方法を、いずれも提供することはできない。その結果、銅およびタンタルを含む基体を研磨するのにうまく使用されうる1つ、もしくはそれより多いCMPスラリーに対する要望がある。
発明の要約
本発明は、銅ならびにタンタルもしくは窒化タンタルを含有する基体の銅部分を選択的に研磨することのできる第1の化学的機械研磨スラリーに関する。
【0011】
さらに本発明は、1)銅ならびにタンタルもしくは窒化タンタルを含有する基体のタンタルもしくは窒化タンタル部分を選択的に研磨することのできる第2の化学的機械研磨スラリー、ならびに2)銅部分ならびにタンタルおよび/または窒化タンタル部分を含む基体を研磨するために第1および第2の化学的機械研磨スラリーを遂次的に使用する方法に関する。
【0012】
本発明のもう1つの態様は、酸化剤を欠いており、有用なCMPスラリーを得るために、使用する前に酸化剤と別々に一緒にされる化学的機械研磨スラリー前駆体である。
本発明は第1の化学的機械研磨スラリーである。第1の化学的機械研磨スラリーは、少くとも1つの研磨材、少くとも1つの酸化剤、少くとも1つの錯化剤、および少くとも1つの有機アミノ化合物を含む。第1の研磨スラリーの好適な態様は、アルミナ、少くとも1つの酸化剤、酒石酸、ベンゾトリアゾール、および少くとも1つの有機アミノ化合物を含む組成物である。
【0013】
本発明の態様の説明
本発明は第1の化学的機械研磨スラリーに関し、さらには銅ならびにタンタル、窒化タンタルもしくはそれらの混合物を含む基体において銅を選択的に研磨する方法に関する。銅およびタンタルを含有する基体を研磨するために組み合わせて使用されるほかに、第1の化学的機械研磨スラリーは、銅もしくは銅合金を含有する基体を研磨するのに使用され得る。
【0014】
本発明の種々の好適な態様の詳細を説明する前に、ここで用いられるいくつかの用語が定義される。化学的機械研磨スラリ(「CMPスラリー」)は、酸化剤、研磨材、錯化剤、有機アミノ化合物および他の任意成分を含む本発明の有用製品である。CMPスラリーは、半導体薄膜、集積回路薄膜を含むが、これらに限定されない、多層メタライゼーションを研磨するのに、そしてCMP法が有用な、いかなる他の膜および表面に、有用である。
【0015】
「銅」および「銅含有合金」という用語は、当業者に理解されているとおりに交換可能に使用され、その用語は、純銅、銅アルミニウム合金、およびTi/TiN/Cu、ならびにTa/TaN/Cu多層基体を含む基体を含むがこれらに限定されない。
「タンタル」および「タンタル含有合金」という用語は、導電銅層のような導電層の下のタンタルおよび/または窒化タンタル密着層をいうためにここで交換可能に使用される。
【0016】
第1の化学的機械研磨スラリーは、集積回路、薄膜、多層半導体およびウェハを含む群から選ばれる基体と関連して、金属、特に銅および銅合金含有金属層を研磨するのに有用である。
I.第1の化学的機械研磨スラリー
第1のCMPスラリーは、高速度で銅を含有する基体の銅部分を研磨するのにもっとも有用である。第1の化学的機械研磨スラリーは銅のほかにも他の金属層を研磨するのに有用である。
【0017】
第1のCMPスラリーは、少くとも1つの酸化剤を含む。酸化剤は、基体金属層を対応する酸化物、水酸化物もしくはイオンに酸化することを促進する。たとえば、第1のCMPスラリーにおいて、酸化剤は金属層を対応する酸化物もしくは水酸化物に、たとえばチタンを酸化チタンに、タングステンを酸化タングステンに、銅を酸化銅に、そしてアルミニウムを酸化アルミニウムに、酸化するのに用いることができる。酸化剤は、第1のCMPスラリーに混合されると、チタン、窒化チタン、タンタル、銅、タングステン、アルミニウムおよびアルミニウム/銅合金のようなアルミニウム合金、ならびにそれらの種々の混合物および組み合わせを含む金属もしくは金属にもとづく成分を、機械的に研磨することにより研磨して、それぞれの酸化物層を除去するのに有用である。
【0018】
本発明の第1のCMPスラリーにおいて使用される酸化剤は、1つもしくはそれより多い無機もしくは有機の過化合物(per compound)である。Hawley’s Condensed Chemical Dictionaryにより定義される過化合物は、少くとも1つのペルオキシ基(−O−O−)を含む化合物であるか、もしくは最高の酸化状態にある元素を含む化合物である。少くとも1つのペルオキシ基を含む化合物の例は、過酸化物および過酸化水素尿素、過炭酸塩ようなその付加物、過酸化ベンゾイル、過酢酸および過酸化ジ−t−ブチルのような有機過酸化物、モノ過硫酸塩(SO5 =)、ジ過硫酸塩(S28 =)ならびに過酸化ナトリウムを含むが、これらに限定されない。
【0019】
最高の酸化状態にある元素を含む化合物の例は、過ヨウ素酸、過ヨウ素酸塩、過臭素酸、過臭素酸塩、過塩素酸、過塩素酸塩、過ホウ酸、過ホウ酸塩、過マンガン酸塩を含むがこれらに限定されない。電気化学的電位の要件をみたす非ペルオキシ化合物の例は、臭素酸塩、塩素酸塩、クロム酸塩、ヨウ素酸塩、ヨウ素酸および硝酸セリウムアンモニウムのようなセリウム(IV)化合物を含むが、これらに限定されない。
【0020】
好適な酸化剤は、過酢酸、過酸化水素尿素、過酸化水素、モノ過硫酸、ジ過硫酸、それらの塩、ならびに尿素および過酸化水素の混合物を包含するそれらの混合物である。もっとも好適な酸化剤は過酸化水素および尿素の組み合わせである。
酸化剤は約0.3〜約30.0wt%の範囲の量で第1の化学的機械研磨スラリー中に存在しうる。酸化剤は本発明の第1のCMPスラリー中に約0.3〜約17.0wt%、もっとも好ましくは約0.5〜約12.0wt%の範囲の量で存在する。
【0021】
任意の酸化剤は過酸化水素尿素である。過酸化水素尿素は34.5wt%が過酸化水素、65.5wt%が尿素であるので、比較的大きな質量の過酸化水素尿素が、上述の望ましい酸化剤配合を達成するために第1のCMPスラリー中に含まれなければならない。たとえば、0.5〜12.0wt%の酸化剤は3倍の質量、すなわち1.5〜36.0wt%の過酸化水素尿素に相当する。
【0022】
過酸化水素尿素を含む第1のCMPスラリーは、過酸化尿素を水と一緒にすることを含む数多くの方法により、さらには過酸化水素尿素酸化剤を得るために約0.75:1〜約2:1の範囲のモル比で水性溶液中で尿素と過酸化水素を結合させることにより、配合される。
本発明の第1のCMPスラリーは基体表面に不動態化層を形成する。いったん不動態化層が形成されると、第1のCMPスラリーの研磨材成分で基体表面から金属酸化物をもっと容易に研磨するために不動態化層を防止することができることが重要となる。不動態化層を妨げるために第1のCMPスラリー中に含まれる化合物の1つのクラスは、錯化剤である。有用な錯化剤は、クエン酸、乳酸、マロン酸、酒石酸、コハク酸、酢酸、シュウ酸のような酸、および他の酸、ならびにアミノ酸、アミノ硫酸、リン酸、ホスホン酸、ならびにそれらの塩、を含むが、それらに限定されない。好適な第1のCMPスラリー錯化剤は酒石酸である。
【0023】
錯化剤は、約0.2〜約5.0wt%、好ましくは約0.5〜約3.0wt%の範囲の量で第1のCMPスラリーに存在する。
本発明の第1のCMPスラリーは少くとも1つの有機アミノ化合物を含む。有機アミノ化合物は、研磨された基体上で緩和し、基体材料除去速度を抑制する。第1のCMPスラリーに有用な有機アミノ化合物は、アルキルアミン、アルコールアミン、アミノ酸、尿素、尿素誘導体、およびそれらの混合物を含む。好適な有機アミノ化合物は長鎖アルキルアミンおよびアルコールアミンである。「長鎖アルキルアミン」という用語は、たとえばノニルアミンおよびドデシルアミンを含む、7〜12もしくはそれより多い炭素原子を有するアルキルアミンをいう。有用なアルコールアミンの例はモノエタノールアミン、およびトリエタノールアミンを含むが、これらに限定されない。有用な尿素誘導体の例はビ尿素(biurea)を含むが、これに限定されない。好適な有機アミノ化合物は長鎖アルキルアミン、ドデシルアミンである。好適なアルコールアミンはトリエタノールアミンである。
【0024】
有機アミノ化合物は第1のCMPスラリー中に約0.005〜約10wt%の範囲の量で存在すべきである。もっと好適には、有機アミノ化合粒は、第1のCMPスラリー中に約0.01〜約5wt%の範囲の量で存在する。
本発明の第1のCMPスラリーは、任意の膜形成剤を含んでいてもよい。膜形成剤は、金属酸化物の不動態化層および金属層表面の溶解禁止層の形成を容易にすることのできるいかなる化合物もしくは化合物の混合物であってもよい。基体表面層の不動態化は、基体表面の湿式エッチングを防止するのに重要である。有用な膜形成剤は、イミダゾール、ベンゾトリアゾール、ベンズイミダゾール、ベンゾチアゾールおよびヒドロキルシ、アミノ、イミノ、カルボキシ、メルカプト、ニトロ、およびアルキル置換基を有するそれらの誘導体のような窒素含有環状化合物、ならびに尿素、チオ尿素等である。好適な膜形成剤はベンゾトリアゾール(「BTA」)である。
【0025】
任意の膜形成剤は、本発明の第1CMPスラリー中に約0.01〜1.0wt%の範囲の量で存在しうる。膜形成剤は第1CMPスラリー中に約0.01〜0.2wt%の範囲の量で存在するのが好ましい。
BTA、もしくは第1CMPスラリー中に含まれる他の膜形成剤は、スラリーにおける研磨材の均一な分散を不安定にしうる。沈降、凝集および分解に対して第1CMPスラリーを安定化するために、界面活性剤、安定剤もしくは分散剤のような種々の任意のCMPスラリー添加剤が使用されうる。もし界面活性剤が第1CMPスラリーに添加されると、それはアニオン、カチオン、ノニオンもしくは両性の界界活性であってもよく、または2つ、もしくはそれより多い界面活性剤の組み合わせも使用されうる。さらに、界面活性剤の添加は、ウェハのウェハ面内不均一性(within−wafer−non−uniformity)(WIWNU)を低下させるのに有用であり、それによってウェハの表面を向上させ、ウェハの欠陥を減少させる。
【0026】
一般に、第1CMPスラリーで用いられうる界面活性剤のような添加剤の量は、スラリーの有効な安定を得るのに充分であるべきであり、特に選択される界面活性剤、および金属酸化物研磨材の表面の性質に保存して変動するのが通常である。たとえば、もし十分に選択された界面活性剤が使用されないと、第1CMPスラリー安定化にほとんどもしくは全く効果を有さない。一方、CMPスラリー中の過剰の界面活性剤はスラリー中に望ましくない気泡形成および/または凝集を生じる。結果として、界面活性剤のような安定剤は、通常、約0.001〜約0.2wt%、好ましくは約0.001〜約0.1wt%の範囲の量で本発明スラリー中に存在するべきである。さらに、添加剤は、公知の方法を用いて、スラリーに直接添加されるか、もしくは金属酸化物研磨材の表面で処理されうる。いずれの場合も、添加剤の量は、第1研磨スラリー中で所望の濃度を得るために調節される。第1CMPスラリーで有用な好適な界面活性剤は、ドデシル硫酸ナトリウム塩、ラウリル硫酸ナトリウム、ドデシル硫酸アンモニウム塩、およびそれらの混合物を含む。好ましい界面活性剤の例は、Union Carbide社により製造されるTRITON(登録商標)DF−16、およびAir Product and Chemicalsにより製造されるSURFYNOL(登録商標)を含む。
【0027】
本発明の第1CMPスラリーのpHを約2.0〜約12.0、好ましくは約4.0〜約8.0の範囲内に維持するのが、CMP法の制御を容易にするために望ましい。本発明のCMPスラリーのpHはいかなる公知の酸、塩基もしくはアミンを用いても調節されうる。しかし、水酸化アンモニウムおよびアミン、または硝酸、リン酸、硫酸もしくは有機酸のような、金属イオンを含まない酸もしくは塩基の使用は、第1CMPスラリーに望ましくない金属成分を導入するのを避けるために好適である。
II 第2の化学的機械研磨スラリー
第2のCMPスラリーは、銅に対し低い研磨速度、およびタンタルもしくは窒化タンタルに対し典型的な研磨速度を示すように配合される。したがって、第2のCMPスラリーはタンタルに対する銅の研磨選択率が約2対1より小さいく、もっとも好ましくは約1:5より小さいのが好適である。
【0028】
第2のCMPスラリーは、少くとも1つの酸化剤を含む。酸化剤は、基体金属層を対応する酸化物、水酸化物、もしくはイオンに酸化することを促進する。たとえば、第2のCMPスラリーにおいて、酸化剤は金属層を対応する酸化物もしくは水酸化物に、たとえばタンタルを酸化タンタルに、酸化するのに用いることができる。酸化剤は、第2のCMPスラリーに混合されると、チタン、窒化チタン、タンタル、銅、タングステン、アルミニウムおよびアルミニウム/銅合金のようなアルミニウム合金、ならびにそれらの種々の混合物および組み合わせを含む金属もしくは金属にもとづく成分を、機械的に研磨することにより研磨して、それぞれの酸化物層を除去するのに有用である。
【0029】
本発明の第2のCMPスラリーにおいて使用される酸化剤は、1つもしくはそれより多い無機もしくは有機の過化合物(per compound)である。Hawley’s Condensed Chemical Dictionaryにより定義される過化合物は、少くとも1つのペルオキシ基(−O−O−)を含む化合物であるか、もしくは最高の酸化状態にある元素を含む化合物である。少くとも1つのペルオキシ基を含む化合物の例は、過酸化物および過酸化水素尿素、過炭酸塩のようなその付加物、過酸化ベンゾイル、過酢酸および過酸化ジ−t−ブチルのような有機過酸化物、モノ過硫酸塩(SO5 =)、ジ過硫酸塩(S28 =)ならびに過酸化ナトリウムを含むが、これらに限定されない。
【0030】
最高の酸化状態にある元素を含む化合物の例は、過ヨウ素酸、過ヨウ素酸塩、過臭素酸、過臭素酸塩、過塩素酸、過塩素酸塩、過ホウ酸、過ホウ酸塩、過マンガン酸塩を含むがこれらに限定されない。電気化学的電位の要件をみたす非ペルオキシ化合物の例は、臭素酸塩、塩素酸塩、クロム酸塩、ヨウ素酸塩、ヨウ素酸および硝酸セリウムアンモニウムのようなセリウム(IV)化合物を含むが、これらに限定されない。
【0031】
有用な酸化剤の非排他的な例は、過酢酸、過酸化水素尿素、過酸化水素、モノ過硫酸、ジ過硫酸、それらの塩、ならびに尿素および過酸化水素の混合物を包含するそれらの混合物を含むが、それらに限定されない。もっとも好適な酸化剤は過酸化水素である。
酸化剤は約0.3〜約30.0wt%の範囲の量で第2の化学的機械研磨スラリー中に存在しうる。酸化剤は本発明の第2のCMPスラリー中に約0.3〜約17.0wt%、もっとも好ましくは約1.0〜約12.0wt%の範囲量で存在する。
【0032】
第2のCMPスラリー中に含まれる化合物の1つのクラスは、錯化剤である。有用な錯化剤は、クエン酸、乳酸、酒石酸、コハク酸、酢酸、シュウ酸のような酸、および他の酸、ならびにアミノ酸、アミノ硫酸、ホスホン酸、リン酸、ならびにそれらの塩、を含むが、それらに限定されない。好適な錯化剤は酢酸である。
【0033】
錯化剤は、約0.1〜約5.0wt%、好ましくは約0.1〜約3.0wt%の範囲の量で本発明のCMPスラリーに存在する。
第2のCMPスラリーが、スラリー中の酸化剤の質量に比較してずっと少ない質量の錯化剤を含むことは重要である。第2CMPスラリーは、錯化剤に対する酸化剤の質量比が約10より大きく、好ましくは約25より大きい。
【0034】
本発明の第2のCMPスラリーは、任意の膜形成剤を含んでいてもよい。膜形成剤は、金属酸化物の不動態化層および金属層表面の溶解禁止層の形成を容易にすることのできるいかなる化合物もしくは化合物の混合物であってもよい。基体表面層の不動態化は、基体表面の湿式エッチングを防止するのに重要である。有用な膜形成剤は、イミダゾール、ベンゾトリアゾール、ベンズイミダゾール、ベンゾチアゾールおよびヒドロキルシ、アミノ、イミノ、カルボキシ、メルカプト、ニトロ、およびアルキル置換基を有するそれらの誘導体のような窒素含有環状化合物、ならびに尿素、チオ尿素等である。好適な膜形成剤はベンゾトリアゾール(「BTA」)である。
【0035】
膜形成剤は、第2CMPスラリー中に約0.01〜1.0wt%の範囲の量で存在しうる。膜形成剤は第2CMPスラリー中に約0.01〜0.5wt%の範囲の量で存在するのが好ましい。
BTA、もしくは第2CMPスラリー中に含まれる他の膜形成剤は、スラリーにおける研磨材の均一な分散を不安定にしうる。沈降、凝集および分解に対して第2CMPスラリーを安定化するために、界面活性剤、安定剤もしくは分散剤のような種々の任意のCMPスラリー添加剤が使用されうる。もし界面活性剤が第2CMPスラリーに添加されると、それはアニオン、カチオン、ノニオンもしくは両性の界界活性であってよく、または2つ、もしくはそれより多い界面活性剤の組み合わせも使用されうる。さらに、界面活性剤の添加は、ウェハのウェハ面内不均一性(within−wafer−non−uniformity)(WIWNU)を低下させるのに有用であり、それによってウェハの表面を向上させ、ウェハの欠陥を減少させる。
【0036】
一般に、第2CMPスラリーで用いられうる界面活性剤のような添加剤の量は、スラリーの有効な安定を得るのに充分であるべきであり、特に選択される界面活性剤、および金属酸化物研磨材の表面の性質に保存して変動するのが通常である。たとえば、もし十分に選択された界面活性剤が使用されないと、CMPスラリー安定化にほとんどもしくは全く効果を有さない。一方、第2CMPスラリー中の過剰の界面活性剤はスラリー中に望ましくない気泡形成および/または凝集を生じる。結果として、界面活性剤のような安定剤は、通常、約0.001〜約0.2wt%、好ましくは約0.001〜約0.1wt%の範囲の量で第2スラリー中に存在するべきである。さらに、添加剤は、公知の方法を用いて、スラリーに直接添加されるか、もしくは金属酸化物研磨材の表面で処理されうる。いずれの場合も、添加剤の量は、第2研磨スラリー中で所望の濃度を得るために調節される。好適な界面活性剤は、ドデシル硫酸ナトリウム塩、ラウリル硫酸ナトリウム、ドデシル硫酸アンモニウム塩、およびそれらの混合物を含む。好ましい界面活性剤の例は、Union Carbide社により製造されるTRITON(登録商標)DF−16、およびAir Product and Chemicalsにより製造されるSURFYNOL(登録商標)を含む。
【0037】
本発明の第2CMPスラリーのpHを約2.0〜約12.0、好ましくは約4.0〜約9.0の範囲内に維持するのが、CMP法の制御を容易にするために望ましい。本発明のCMPスラリーのpHはいかなる公知の酸、塩基もしくはアミンを用いても調節されうる。しかし、水酸化アンモニウムおよびアミン、または硝酸、リン酸、硫酸もしくは有機酸のような、金属イオンを含まない酸もしくは塩基の使用は、本発明のCMPスラリーに望ましくない金属成分を導入するのを避けるために好適である。第2CMPスラリーは約4〜約7.5のpHを有するのがもっとも好ましい。
III 研磨材
本発明の第1および第2CMPスラリーは、研磨材を含む。研磨材は通常、金属酸化物である。金属酸化物研磨材は、アルミナ、チタニア、ジルコニア、ゲルマニア、シリカ、セリアおよびそれらの混合物を含む群から選ばれうる。本発明の第1および第2CMPスラリーは、それぞれ約0.5〜約15.0wt%もしくはそれより多い研磨材を含むのが好ましい。しかし本発明の第1および第2CMPスラリーは、約1.5〜約6.0wt%研磨材を含むのがもっと好適である。
【0038】
金属酸化物研磨材は当業者に知られている方法により製造されうる。金属酸化物研磨材は、ゾル−ゲル、水熱もしくはプラズマ法のような高温法を用いて、またはフュームドもしくは沈降金属酸化物を製造するための方法により、製造されうる。好適には、金属酸化物はヒュームドもしくは沈降研磨材であり、そしてもっと好適にはヒュームドシリカもしくはヒュームドアルミナのようなヒュームド研磨材である。たとえば、ヒュームド金属酸化物の製造は、水素および酸素の炎内で、適切な原料蒸気(アルミナ研磨材に対しては塩化アルミニウムのような)の加水分解を包含する周知の方法である。おおよそ球形の溶解粒子が燃焼行程で形成され、その径は工程のパラメータにより変動する。通常1次粒子といわれる、これらのアルミナもしくは類似酸化物の溶融粒子は、接触点で衝突を受けることにより互いに融合して、分技した、3次元鎖状凝集体を形成する。凝集体を破壊するのに必要な力は、相当なものである。冷却および捕集の間に、凝集体はさらに衝突を受けて、機械的なもつれ(entanglement)を生じ、集合体を形成する。集合体はファンデルワールス力により一緒にゆるく保持されていると考えられ、適切な媒体中に適切な分散により、逆戻り、すなわち、解集合されうる。
【0039】
沈降研磨材は高い塩濃度、酸もしくは他の凝固剤の影響下で水性媒体から所望の粒子の凝固によるような従来法で製造されうる。粒子は当業者に知られる従来法により、ろ過、洗浄、乾燥され、他の反応生成物の残渣から分離される。
好適な金属酸化物は、S.Brunauer,P.H.Emmet,およびI.TellerのJ.Am.Chemical Society,60巻309頁(1938)の方法であり、一般にBETといわれる方法から計算された、約5m2/g〜約430m2/g、そして好ましくは約30m2/g〜約170m2/gの表面積を有する。IC産業における最も厳格な純度要求により、好適な金属酸化物は高純度であるべきである。高純度は、原料不純物および微量の工程不純物のような源からの、全不純物量が通常1%未満であり、好ましくは0.01%(すなわち100ppm)未満であることを意味する。
【0040】
本発明の分散体に有用な金属酸化物研磨材は金属酸化物凝集体もしくは個々の単一球粒子からなる。「粒子」という用語は1つより多い1次粒子の凝集体および単1粒子の両方をいう。
金属酸化物研磨材は、金属酸化物粒子からなり、1000nm(約1.0μm)未満の粒度分布、約0.4μmの平均粒径、および研磨材凝集体自体の間のファンデルワールス力を寄せつかせず、克服するのに十分な力を有する。このような金属酸化物研磨材は、研磨の間にスクラッチ(scratching)、ピット(pit masks)、ディボット(divots)および他の表面欠陥を最小化もしくは避けるのに有効であることがわかった。本発明における粒度分布は透過型電子顕微鏡(TEM)のような公知の方法を用いて測定されうる。平均粒径は、粒子の断面積に基づくTEM画像解析を用いるときの平均等価球径をいう。力(force)は、金属酸化物粒子の表面電位もしくは水和力が粒子間のファンデルワールス力を寄せつけず、克服するのに十分であるこを意味する。
【0041】
もう1つの好適な態様において、金属酸化物研磨材は、0.4μm(400nm)未満の1次粒径、および約10m2/g〜約250m2/gの範囲の表面積を有する個々の金属酸化物粒子からなる。
好適には、金属酸化物研磨材は、固体が約3%〜約45%、好ましくは10%〜20%である、金属酸化物の濃厚な水性分散体として研磨スラリーの水性媒体中に混合される。金属酸化物の水性分散体は、従来法を用いて製造することができ、たとえば、脱イオン水のような適切な媒体にゆっくりと酸化物研磨材を添加してコロイド分散体を形成することによる。分散体は、当業者に知られている高せん断混合条件下にそれを供することにより完成される。スラリーのpHは、コロイド安定性を最大にするために等電点から遠ざけて調節されうる。
IV 任意の添加剤
他の周知の研磨スラリー添加剤が、第1CMPスラリーおよび/または第2CMPスラリーに混合されうる。任意添加剤の1つのクラスは、無機酸および/またはその塩であり、チタンおよびタンタルのようなウェハのバリア層の研磨速度をさらに改良もしくは高めるために、第1および/または第2CMPスラリー中に添加されてもよい。有用な無機添加剤は、硫酸、リン酸、ホスホン酸、硝酸、HF酸、フッ化アンモニウム、硫酸塩、リン酸塩およびフッ化物のアンモニウム塩、カリウム塩、ナトリウム塩、もしくは他の陽イオン塩を含む。
V 第1および第2のCMPスラリーを製造し、使用する方法
本発明の第1および第2のCMPスラリーは、当業者に知られる従来法を用いて製造されうる。通常、酸化剤および他の非研磨成分は、脱イオンもしくは蒸留水のような水性媒体中で、そのような成分が十分に媒体に溶解されるまで低せん断条件下で所定の濃度で混合される。フュームドアルミナのような金属酸化物研磨材の濃厚分散体が媒体に添加され、最終CMPスラリーにおける研磨材の所望配合レベルまで希釈される。
【0042】
本発明の第1および第2のCMPスラリーは、すべてのスラリー添加剤を含む1つのパッケージ系として供給されうる。酸化剤、特に過酸化水素を含むCMPスラリーの輸送についての心配のために、本発明の第1および第2のCMPスラリーは、酸化剤だけを除いた各成分を含むCMP前駆体として製造され、パッケージされ、顧客に送られ、そして使用の前に顧客の施設で過酸化水素もしくは他の酸化剤と一緒にされるのが好適である。したがって、この発明の1つの態様は、触媒、研磨材、および安定剤を含む群から選ばれる成分の1つもしくはそれより多い成分を乾式もしくは水性形態で含むが、酸化剤を欠く、第1および第2のCMP組成物および/またはスラリー前駆体である。この第1および第2のCMP前駆体は、それぞれ使用する前に少なくとも1つの酸化剤と一緒にされる。
【0043】
過酸化水素尿素を含む本発明の第1および第2のCMPスラリーは、尿素および他の有用なスラリー成分を含むスラリー前駆体に過酸化水素を添加して、過酸化水素尿素を含むCMPスラリーを得ることにより、配合されうる。
本発明の好適なスラリー前駆体は、尿素および少なくとも1つの金属酸化物研磨材の乾式もしくは水性混合物を含む。付加的な成分は、第1および第2成分に有用な、尿素を含むスラリー前駆体に混合されうる。
【0044】
本発明のCMPスラリーはいかなる種類の金属層をも研磨するのに使用されうるが、本発明の第1の化学的機械研磨スラリーは高い銅および低いタンタルおよび窒化タンタル研磨速度を有することがわかった。加えて、第2の化学的機械研磨スラリーは銅層に対して望ましい低い研磨速度を示すが、一方タンタル絶縁層に対しては望ましい高い研磨速度を示す。
【0045】
第1および第2のCMPスラリーは、ウェハの望ましい金属層について使用するため適切な標準的研磨装置とともに用いられうる。本発明の第1および第2CMPスラリーは、絶縁層の上方に、タンタルもしくは窒化タンタル部分および銅合金含有部分のいずれも含む基体を研磨するのに最も有用である。
タンタルもしくは窒化タンタル部分および銅部分を含む基体を研磨するのに用いられるとき、第1の化学的機械研磨スラリーは基体に付着され、基体は研磨機および研磨パッドを用いる従来法により研磨される。第1CMPスラリーを用いる基体研磨が終了すると、基体は脱イオン水もしくは他の溶媒で洗浄され、部分的に研磨された基体から第1のCMPスラリーが除去されうる。ついで、本発明の第2のCMPスラリーが基体に付着され、基体は部分的に研磨された基体の銅部分に比較してタンタルもしくは窒化タンタル部分を選択的に研磨するために従来法を用いて研磨される。第2研磨段階が終了すると、第2CMPスラリーは脱イオン水もしくはもう1つの溶媒で基体から洗浄され、基体はさらなる処理のために用意される。両方の研磨段階において、第1および/または第2のCMPスラリーは、基体研磨の間、制御された方法で基体に、研磨パッドに、もしくは両方に直接に付着されうる。しかし第1および第2のCMPスラリーはパッドに付着され、ついでパッドは基体に寄りかかって置かれ、その後でパッドは基体研磨を行うために基体に関係して移動される。
【0046】
第1および第2CMPスラリーは、制御された条件下で良好な速度で銅、チタン、窒化チタン、タンタル、および窒化タンタル層を研磨する。本発明の研磨スラリーは半導体集積回路製造の種々の段階で使用され、表面欠陥および、きずを最小にするとともに、望ましい研磨速度で有効な研磨を提供する。
実施例
本発明者は、銅を高速で、そして比較的低速でタンタルおよび窒化タンタルを研磨する第1CMPスラリー、ならびにタンタルおよび窒化タンタルを認容しうる速度で、そして銅を第1CMPスラリーよりも比較的低速で研磨する第2CMPスラリーを見出した。
【0047】
次の実施例は、本発明の好適な態様ならびに本発明のCMP組成物を使用する好適な方法を示す。
実施例1
この実施例において、CMP研磨は2つのCMPスラリーを用いて行なわれた。第1のスラリーは、イリノイ州 Aurora の Cabot Corporation の Microelectronics Material Division により販売されている SEMI−SPERSE(登録商標)W−A355分散体であるフェームドアルミナ研磨材3.0wt%、過酸化水素2.5wt%、尿素3.65wt%、酒石酸1.25wt%および Triton DF−16界面活性剤50ppmの水性分散体を含む。
第2スラリーは第1スラリーのすべての成分に加えてドデシルアミン0.15wt%を含む。試験された両スラリーは、水酸化アンモニウムでpH7.0に調節された。
【0048】
CMPスラリーは2つの方法で試験された。各スラリーにおけるCuおよびTaの溶解速度は、電気化学的方法により試験された。装備は、PARによる273ポテンショスタットおよび Corrosion Software を有する3つの電極セル内の回転ディスク電極を使用した。電気化学的データは、回転子および該金属を、研磨材パッドと接触させ(40.7kPa(5.9psi)の下方への力で)るか、パッドの上方に上げながら、予め選定された500rpm(もしくは最大19.94m/sec)の電極回転で得られた。
【0049】
このように、金属溶解は、表面が研磨されるときに、ならびに研磨の後に評価された。前者の数値は研磨時の化学的速度の適切な測定であると考えられ、一方、後者のアプローチは、所与のスラリー中の金属腐食速度を与えた。典型的な試験において、電気化学的データは、約―0.25Vの開路電位(open potential)からあるアノード電位まで10mV/secの速度で電位を変動させて、ポテンシオダイナミックな分極曲線として記録された。試験結果は表1、3〜4欄に示される。
【0050】
【表1】
Figure 0004261058
【0051】
スラリーに少量のドデシルアミンを添加することは、Ta除去を抑制し、Cu:Ta選択率を約45:1に有意に増大させる。このことは、有機アミノ化合物を含有するスラリーを、Taの研磨抑制を有する銅研磨スラリーとして使用するのにさらに適したものにする。
表1の結果は、さらに、電気化学的試験で見られる傾向は研磨で再現されることを示す:ドデシルアミンは研磨の際のTa溶解を抑制し、それとともに銅について測定されるよりももっと著しい態様で、研磨速度を抑制する。
実施例2
この実施例は、第2CMPスラリー中の酸化剤および錯化剤の質量比を変動させて、銅およびタンタル溶解速度への影響を検討する。この実施例は、次の組成を有するCMPスラリーを使用した;酒石酸1.25wt%;表2記載の量の過酸化水素;アルミナ研磨材(W−A355)3.0wt%,Triton DF−16界面活性剤50ppm,そして残りは脱イオン水。スラリーのpHは水酸化アンモニウムを用いて7.0に調節された。
【0052】
酒石酸および過酸化水素酸化剤の異なる比を有するスラリーを使用した結果は表2に示される。表2に示される化合物に加えて、各スラリーは尿素3.65wt%を含んでいた。研磨速度は、Rodel社により製造された IC 1000/SUBA IVパッドスタック有する IPEC 472研磨機で、ブランケットウェハを用いて測定された。ウェハは、下向きの力20.7kPa(3psi)、テーブル速度55rpm、スピンドル速度30rpm、およびスラリー流速200ml/分を用いて研磨された。
【0053】
【表2】
Figure 0004261058
【0054】
研磨の結果は、酒石酸/過酸化物質量比の増加は、Ta速度への有意な影響なしに、Cu除去速度を増加させることを示す。
酒石酸量(T)を変動させ、過酸化水素量(HPO)を変動させたことを除けば上述と同様のスラリーを用いた金属溶解および腐食速度が、実施例1に示された方法により、電気化学的に評価された。そして表3にその結果が示される。
【0055】
【表3】
Figure 0004261058
【0056】
表2および3の結果は、銅の研磨速度は銅について電気化学的に測定された活性に担当し、ともに錯化剤に対する酸化剤の質量比の増加とともに減少し、一方、タンタル研磨速度および電気化学的溶解は、組成変化により本質的に影響されない、ことを示す。
実施例3
実施例2の表3でみられた傾向は、タンタルおよび窒化タンタルを研磨するのに有用な、第2の化学的機械研磨スラリーを配合する基礎として使用された。いくつかの第2研磨スラリー候補についての銅およびタンタル研磨速度は、下の表4に示される。化学的研磨スラリーに使用されるアルミナは、イリノイ州 Aurora の Cabot Corporation の Microelectronics Materials Division により販売されるアルミナ分散体 SEM−SPERSE(登録商標)W−A355から希釈されたフェ−ムドシリカであった。
【0057】
【表4】
Figure 0004261058
【0058】
錯化剤に対する酸化剤の比を10より大きい数値に増加させると、表4に示されるように銅除去速度が有意に減少した。さらに、表4のデータは、酢酸は、十分でない銅錯化剤であるが、銅除去速度を有意に抑制し、一方タンタル除去速度は本質的に影響されないでそのままである。

Claims (30)

  1. 少くとも1つの研磨材;長鎖アルキルアミンから選ばれる少くとも1つの有機アミノ化合物;ならびに少くとも1つの錯化剤を含み、スラリーはpH4.0〜8.0であることを特徴とする、銅ならびにタンタル、窒化タンタルもしくはそれらの混合物を含む基体において、銅を選択的に研磨するために使用る化学的機械研磨スラリー前駆体。
  2. 膜形成剤を含む請求項1記載の化学的機械研磨スラリー前駆体。
  3. 錯化剤、酢酸、クエン酸、乳酸、マロン酸、酒石酸、コハク酸、シュウ酸、アミノ酸、それらの塩、およびそれらの混合物を含む化合物の群から選ばれる請求項1もしくは2記載の化学的機械研磨スラリー前駆体。
  4. 錯化剤が酒石酸である請求項3記載の化学的機械研磨スラリー前駆体。
  5. 酒石酸が0.5〜5.0wt%の範囲の量で存在する請求項4記載の化学的機械研磨スラリー前駆体。
  6. 膜形成剤がベンゾトリアゾールである請求項2〜5のいずれかに記載の化学的機械研磨スラリー前駆体。
  7. 0.01〜0.2wt%のベンゾトリアゾールを含む請求項6記載の化学的機械研磨スラリー前駆体。
  8. 0.005wt%〜10.0wt%の少くとも1つの有機アミノ化合物を含む請求項1記載の化学的機械研磨スラリー前駆体。
  9. 少くとも1つの研磨材;少くとも1つの酸化剤;長鎖アルキルアミンから選ばれる少くとも1つの有機アミノ化合物;ならびに少くとも1つの錯化剤を含み、スラリーはpH4.0〜8.0であることを特徴とする、銅ならびにタンタル、窒化タンタルもしくはそれらの混合物を含む基体において、銅を選択的に研磨するために使用する化学的機械研磨スラリー。
  10. 膜形成剤を含む請求項9記載の化学的機械研磨スラリー。
  11. 錯化剤が、酢酸、クエン酸、乳酸、マロン酸、酒石酸、コハク酸、シュウ酸、アミノ酸、それらの塩、およびそれらの混合物を含む化合物の群から選ばれる請求項9もしくは10記載の化学的機械研磨スラリー。
  12. 錯化剤が酒石酸である請求項9〜11のいずれかに記載の化学的機械研磨スラリー。
  13. 酒石酸が0.5〜5.0wt%の範囲の量で存在する請求項12記載の化学的機械研磨スラリー。
  14. 膜形成剤がベンゾトリアゾールである請求項10記載の化学的機械研磨スラリー。
  15. 0.01〜0.2wt%のベンゾトリアゾールを含む請求項14記載の化学的機械研磨スラリー。
  16. 0.005wt%〜10.0wt%の少くとも1つの有機アミノ化合物を含む請求項9〜15のいずれか記載の化学的機械研磨スラリー。
  17. 研磨材が少くとも1つの金属酸化物である請求項9〜16のいずれか記載の化学的機械研磨スラリー。
  18. 金属酸化物研磨材がアルミナ、セリア、ゲルマニア、シリカ、チタニア、ジルコニアおよびそれらの混合物を含む群から選ばれる請求項17記載の化学的機械研磨スラリー。
  19. 研磨材が金属酸化物の水性分散体である請求項9〜16のいずれか記載の化学的機械研磨スラリー。
  20. 金属酸化物研磨材が1000nm(1.0μm)より小さい粒度分布および400nm(0.4μm)より小さい平均凝集体径を有する金属酸化物からなる請求項19記載の化学的機械研磨スラリー。
  21. 金属酸化物研磨材が、400nm(0.400μm)より小さい第1次粒径および10m2/g〜250m2/gの範囲の表面積を有する離散した、個々の金属酸化物球体からなる請求項17記載の化学的機械研磨スラリー。
  22. 研磨材が沈降研磨材もしくはフームド研磨材からなる群から選ばれる請求項9〜16のいずれか記載の化学的機械研磨スラリー。
  23. 研磨材がアルミナの水性分散体である請求項9〜16のいずれか記載の化学的機械研磨スラリー。
  24. 酸化剤が、過酸化水素、過酸化水素尿素、尿素およびそれらの組み合わせから選ばれる請求項9〜23のいずれか記載の化学的機械研磨スラリー。
  25. スラリーが少くとも40のCu:Ta研磨選択率比を有する請求項9〜24のいずれか記載の化学的機械研磨スラリー。
  26. アルミナ;少くとも1つの酸化剤;酒石酸;ベンゾトリアゾール;ならびに長鎖アルキルアミンから選ばれる少くとも1つの有機アミノ化合物、を含むことを特徴とする銅ならびにタンタル、窒化タンタルもしくはそれらの混合物を含む基体において、銅を選択的に研磨するために使用る化学的機械研磨スラリー。
  27. 有機アミノ化合物がドデシルアミンである請求項26記載の化学的機械研磨スラリー。
  28. 酸化剤が、過酸化水素、過酸化水素尿素、尿素およびそれらの組み合わせから選ばれる請求項26もしくは27に記載の化学的機械研磨スラリー。
  29. 0.5〜15wt%のアルミナ;0.5〜5wt%の酒石酸;0.01〜0.2wt%ベンゾトリアゾール;0.005〜10.0wt%のドデシルアミン;1〜20wt%の尿素および1〜12.0wt%の過酸化水素を含む酸化剤を含んでなり、化学的機械研磨スラリーは、4〜8のpHおよび10より大きいCu:Ta研磨選択率比を有することを特徴とする、銅ならびにタンタル、窒化タンタルもしくはそれらの混合物を含む基体において、銅を選択的に研磨するために使用る化学的機械研磨スラリー。
  30. 少くとも1つの界面活性剤を含む請求項29記載の化学的機械研磨スラリー。
JP2000557321A 1998-06-26 1999-06-25 銅/タンタル基体に有用な化学的機械研磨スラリー Expired - Fee Related JP4261058B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/105,555 US6063306A (en) 1998-06-26 1998-06-26 Chemical mechanical polishing slurry useful for copper/tantalum substrate
US09/105,555 1998-06-26
PCT/US1999/014557 WO2000000567A1 (en) 1998-06-26 1999-06-25 Chemical mechanical polishing slurry useful for copper/tantalum substrate

Publications (2)

Publication Number Publication Date
JP2002519475A JP2002519475A (ja) 2002-07-02
JP4261058B2 true JP4261058B2 (ja) 2009-04-30

Family

ID=22306489

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000557321A Expired - Fee Related JP4261058B2 (ja) 1998-06-26 1999-06-25 銅/タンタル基体に有用な化学的機械研磨スラリー

Country Status (13)

Country Link
US (1) US6063306A (ja)
EP (1) EP1098948B1 (ja)
JP (1) JP4261058B2 (ja)
KR (1) KR100491060B1 (ja)
CN (1) CN1158373C (ja)
AU (1) AU4723599A (ja)
CA (1) CA2335033A1 (ja)
DE (1) DE69928537T2 (ja)
ID (1) ID28618A (ja)
IL (1) IL140303A0 (ja)
MY (1) MY116324A (ja)
TW (1) TWI245788B (ja)
WO (1) WO2000000567A1 (ja)

Families Citing this family (231)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6039891A (en) * 1996-09-24 2000-03-21 Cabot Corporation Multi-oxidizer precursor for chemical mechanical polishing
US6309560B1 (en) * 1996-12-09 2001-10-30 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US6126853A (en) * 1996-12-09 2000-10-03 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5759917A (en) * 1996-12-30 1998-06-02 Cabot Corporation Composition for oxide CMP
US6592776B1 (en) * 1997-07-28 2003-07-15 Cabot Microelectronics Corporation Polishing composition for metal CMP
US6432828B2 (en) 1998-03-18 2002-08-13 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6693035B1 (en) * 1998-10-20 2004-02-17 Rodel Holdings, Inc. Methods to control film removal rates for improved polishing in metal CMP
JP3998813B2 (ja) * 1998-06-15 2007-10-31 株式会社フジミインコーポレーテッド 研磨用組成物
US6533832B2 (en) * 1998-06-26 2003-03-18 Cabot Microelectronics Corporation Chemical mechanical polishing slurry and method for using same
US6358853B2 (en) * 1998-09-10 2002-03-19 Intel Corporation Ceria based slurry for chemical-mechanical polishing
SG99289A1 (en) 1998-10-23 2003-10-27 Ibm Chemical-mechanical planarization of metallurgy
WO2000024842A1 (en) * 1998-10-23 2000-05-04 Arch Specialty Chemicals, Inc. A chemical mechanical polishing slurry system having an activator solution
US6428388B2 (en) 1998-11-06 2002-08-06 Beaver Creek Concepts Inc. Finishing element with finishing aids
US6541381B2 (en) 1998-11-06 2003-04-01 Beaver Creek Concepts Inc Finishing method for semiconductor wafers using a lubricating boundary layer
US6656023B1 (en) 1998-11-06 2003-12-02 Beaver Creek Concepts Inc In situ control with lubricant and tracking
US6739947B1 (en) 1998-11-06 2004-05-25 Beaver Creek Concepts Inc In situ friction detector method and apparatus
US7131890B1 (en) 1998-11-06 2006-11-07 Beaver Creek Concepts, Inc. In situ finishing control
US6634927B1 (en) 1998-11-06 2003-10-21 Charles J Molnar Finishing element using finishing aids
SG73683A1 (en) * 1998-11-24 2000-06-20 Texas Instruments Inc Stabilized slurry compositions
JP4053165B2 (ja) 1998-12-01 2008-02-27 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
JP4816836B2 (ja) * 1998-12-28 2011-11-16 日立化成工業株式会社 金属用研磨液及びそれを用いた研磨方法
EP1150341A4 (en) * 1998-12-28 2005-06-08 Hitachi Chemical Co Ltd MATERIALS FOR METAL POLLING LIQUID, METAL POLISHING LIQUID, THEIR PRODUCTION AND POLISHING METHOD
US6114246A (en) * 1999-01-07 2000-09-05 Vlsi Technology, Inc. Method of using a polish stop film to control dishing during copper chemical mechanical polishing
US6551933B1 (en) 1999-03-25 2003-04-22 Beaver Creek Concepts Inc Abrasive finishing with lubricant and tracking
US6752844B2 (en) * 1999-03-29 2004-06-22 Intel Corporation Ceric-ion slurry for use in chemical-mechanical polishing
US6375693B1 (en) * 1999-05-07 2002-04-23 International Business Machines Corporation Chemical-mechanical planarization of barriers or liners for copper metallurgy
TW486514B (en) * 1999-06-16 2002-05-11 Eternal Chemical Co Ltd Chemical mechanical abrasive composition for use in semiconductor processing
US7118685B1 (en) * 1999-07-13 2006-10-10 Kao Corporation Polishing liquid composition
US6630433B2 (en) * 1999-07-19 2003-10-07 Honeywell International Inc. Composition for chemical mechanical planarization of copper, tantalum and tantalum nitride
US6322425B1 (en) * 1999-07-30 2001-11-27 Corning Incorporated Colloidal polishing of fused silica
JP4188598B2 (ja) * 1999-08-13 2008-11-26 キャボット マイクロエレクトロニクス コーポレイション 停止化合物を伴う研磨系及びその使用方法
TW501197B (en) * 1999-08-17 2002-09-01 Hitachi Chemical Co Ltd Polishing compound for chemical mechanical polishing and method for polishing substrate
US6348076B1 (en) * 1999-10-08 2002-02-19 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof
US6435944B1 (en) 1999-10-27 2002-08-20 Applied Materials, Inc. CMP slurry for planarizing metals
US6432826B1 (en) * 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
EP1252651A2 (en) * 1999-12-07 2002-10-30 Cabot Microelectronics Corporation Chemical-mechanical polishing method
US6825117B2 (en) * 1999-12-14 2004-11-30 Intel Corporation High PH slurry for chemical mechanical polishing of copper
JP3805588B2 (ja) * 1999-12-27 2006-08-02 株式会社日立製作所 半導体装置の製造方法
US6881674B2 (en) * 1999-12-28 2005-04-19 Intel Corporation Abrasives for chemical mechanical polishing
JP3450247B2 (ja) * 1999-12-28 2003-09-22 Necエレクトロニクス株式会社 金属配線形成方法
JP2001267273A (ja) * 2000-01-11 2001-09-28 Sumitomo Chem Co Ltd 金属用研磨材、研磨組成物及び研磨方法
TW572980B (en) * 2000-01-12 2004-01-21 Jsr Corp Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
KR100504359B1 (ko) * 2000-02-04 2005-07-28 쇼와 덴코 가부시키가이샤 Lsi 디바이스 연마용 조성물 및 lsi 디바이스의제조 방법
WO2001058643A1 (en) * 2000-02-11 2001-08-16 Nu Tool, Inc. Modified plating solution for plating and planarization and process utilizing same
US6354916B1 (en) 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US6355075B1 (en) * 2000-02-11 2002-03-12 Fujimi Incorporated Polishing composition
US6328774B1 (en) * 2000-02-23 2001-12-11 Fujimi America Inc. Polishing composition and method for producing a memory hard disk
US6332831B1 (en) * 2000-04-06 2001-12-25 Fujimi America Inc. Polishing composition and method for producing a memory hard disk
KR100364831B1 (ko) * 2000-03-20 2002-12-16 엘지.필립스 엘시디 주식회사 몰리브덴 금속막용 에칭 용액
JP2001269859A (ja) * 2000-03-27 2001-10-02 Jsr Corp 化学機械研磨用水系分散体
US6451697B1 (en) 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
IL151977A0 (en) * 2000-04-11 2003-04-10 Cabot Microelectronics Corp System for the preferential removal of silicon oxide
US6409781B1 (en) 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
US6486108B1 (en) * 2000-05-31 2002-11-26 Micron Technology, Inc. Cleaning composition useful in semiconductor integrated circuit fabrication
US6872329B2 (en) 2000-07-28 2005-03-29 Applied Materials, Inc. Chemical mechanical polishing composition and process
US6458013B1 (en) 2000-07-31 2002-10-01 Asml Us, Inc. Method of chemical mechanical polishing
US7029381B2 (en) * 2000-07-31 2006-04-18 Aviza Technology, Inc. Apparatus and method for chemical mechanical polishing of substrates
AU2001279126A1 (en) * 2000-07-31 2002-02-13 Silicon Valley Group Inc In-situ method and apparatus for end point detection in chemical mechanical polishing
JP2002050595A (ja) * 2000-08-04 2002-02-15 Hitachi Ltd 研磨方法、配線形成方法及び半導体装置の製造方法
JP2002075927A (ja) * 2000-08-24 2002-03-15 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US7074113B1 (en) 2000-08-30 2006-07-11 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7153195B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for selectively removing conductive material from a microelectronic substrate
US7192335B2 (en) 2002-08-29 2007-03-20 Micron Technology, Inc. Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
US7094131B2 (en) 2000-08-30 2006-08-22 Micron Technology, Inc. Microelectronic substrate having conductive material with blunt cornered apertures, and associated methods for removing conductive material
US7129160B2 (en) 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
US7078308B2 (en) * 2002-08-29 2006-07-18 Micron Technology, Inc. Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US7160176B2 (en) * 2000-08-30 2007-01-09 Micron Technology, Inc. Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US6551935B1 (en) * 2000-08-31 2003-04-22 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US7134934B2 (en) * 2000-08-30 2006-11-14 Micron Technology, Inc. Methods and apparatus for electrically detecting characteristics of a microelectronic substrate and/or polishing medium
US7112121B2 (en) 2000-08-30 2006-09-26 Micron Technology, Inc. Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US7153410B2 (en) 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for electrochemical-mechanical processing of microelectronic workpieces
US6602117B1 (en) 2000-08-30 2003-08-05 Micron Technology, Inc. Slurry for use with fixed-abrasive polishing pads in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US7220166B2 (en) 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US6867448B1 (en) 2000-08-31 2005-03-15 Micron Technology, Inc. Electro-mechanically polished structure
US6468137B1 (en) 2000-09-07 2002-10-22 Cabot Microelectronics Corporation Method for polishing a memory or rigid disk with an oxidized halide-containing polishing system
US6541384B1 (en) 2000-09-08 2003-04-01 Applied Materials, Inc. Method of initiating cooper CMP process
DE10048477B4 (de) * 2000-09-29 2008-07-03 Qimonda Ag Verfahren zum chemisch-mechanischen Polieren von Schichten aus Metallen der Platingruppe
WO2002028979A1 (fr) * 2000-10-02 2002-04-11 Mitsui Mining & Smelting Co.,Ltd. Matiere abrasive a base de cerium et procede de production de ladite matiere
KR100396883B1 (ko) * 2000-11-23 2003-09-02 삼성전자주식회사 화학기계적 연마용 슬러리 및 이를 이용한 구리 금속배선제조방법
JP3816743B2 (ja) * 2000-11-24 2006-08-30 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
JP3825246B2 (ja) * 2000-11-24 2006-09-27 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
US20050266226A1 (en) * 2000-11-29 2005-12-01 Psiloquest Chemical mechanical polishing pad and method for selective metal and barrier polishing
US6688956B1 (en) 2000-11-29 2004-02-10 Psiloquest Inc. Substrate polishing device and method
WO2002043922A1 (en) * 2000-11-29 2002-06-06 Psiloquest, Inc. Crosslinked polyethylene polishing pad for chemical-mechnical polishing, polishing apparatus and polishing method
US7059946B1 (en) 2000-11-29 2006-06-13 Psiloquest Inc. Compacted polishing pads for improved chemical mechanical polishing longevity
US6846225B2 (en) * 2000-11-29 2005-01-25 Psiloquest, Inc. Selective chemical-mechanical polishing properties of a cross-linked polymer and specific applications therefor
US6596388B1 (en) 2000-11-29 2003-07-22 Psiloquest Method of introducing organic and inorganic grafted compounds throughout a thermoplastic polishing pad using a supercritical fluid and applications therefor
US6579604B2 (en) 2000-11-29 2003-06-17 Psiloquest Inc. Method of altering and preserving the surface properties of a polishing pad and specific applications therefor
US20020068454A1 (en) 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
DE10060343A1 (de) * 2000-12-04 2002-06-06 Bayer Ag Polierslurry für das chemisch-mechanische Polieren von Metall- und Dielektrikastrukturen
US6896776B2 (en) * 2000-12-18 2005-05-24 Applied Materials Inc. Method and apparatus for electro-chemical processing
WO2002061810A1 (en) * 2001-01-16 2002-08-08 Cabot Microelectronics Corporation Ammonium oxalate-containing polishing system and method
US6383065B1 (en) 2001-01-22 2002-05-07 Cabot Microelectronics Corporation Catalytic reactive pad for metal CMP
US6575823B1 (en) 2001-03-06 2003-06-10 Psiloquest Inc. Polishing pad and method for in situ delivery of chemical mechanical polishing slurry modifiers and applications thereof
US6764574B1 (en) 2001-03-06 2004-07-20 Psiloquest Polishing pad composition and method of use
US6530824B2 (en) 2001-03-09 2003-03-11 Rodel Holdings, Inc. Method and composition for polishing by CMP
KR100762424B1 (ko) * 2001-03-12 2007-10-02 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스 인코포레이티드 Cmp에 의한 연마방법 및 이를 위한 조성물
US7128825B2 (en) 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US7160432B2 (en) 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7582564B2 (en) * 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US7323416B2 (en) * 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US7232514B2 (en) 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
US6796883B1 (en) 2001-03-15 2004-09-28 Beaver Creek Concepts Inc Controlled lubricated finishing
US20040159050A1 (en) * 2001-04-30 2004-08-19 Arch Specialty Chemicals, Inc. Chemical mechanical polishing slurry composition for polishing conductive and non-conductive layers on semiconductor wafers
US6818301B2 (en) * 2001-06-01 2004-11-16 Psiloquest Inc. Thermal management with filled polymeric polishing pads and applications therefor
US6783432B2 (en) 2001-06-04 2004-08-31 Applied Materials Inc. Additives for pressure sensitive polishing compositions
US20040011991A1 (en) * 2001-06-13 2004-01-22 Markle Richard J. Use of a gettering agent in a chemical mechanical polishing and rinsing operation and apparatus therefor
US6656241B1 (en) 2001-06-14 2003-12-02 Ppg Industries Ohio, Inc. Silica-based slurry
US7279119B2 (en) * 2001-06-14 2007-10-09 Ppg Industries Ohio, Inc. Silica and silica-based slurry
US20030094593A1 (en) * 2001-06-14 2003-05-22 Hellring Stuart D. Silica and a silica-based slurry
US6627546B2 (en) * 2001-06-29 2003-09-30 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US6811470B2 (en) 2001-07-16 2004-11-02 Applied Materials Inc. Methods and compositions for chemical mechanical polishing shallow trench isolation substrates
KR100465761B1 (ko) * 2002-06-17 2005-01-13 삼성전자주식회사 탄탈륨 질화막을 포함하는 반도체 배선 구조 및 그 형성방법
KR20030013146A (ko) * 2001-08-07 2003-02-14 에이스하이텍 주식회사 실리콘 웨이퍼 연마제 조성물과 그 제조방법
JP4954398B2 (ja) * 2001-08-09 2012-06-13 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
US7029373B2 (en) * 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6692546B2 (en) 2001-08-14 2004-02-17 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6511906B1 (en) * 2001-08-30 2003-01-28 Micron Technology, Inc. Selective CMP scheme
US7156717B2 (en) 2001-09-20 2007-01-02 Molnar Charles J situ finishing aid control
US6638326B2 (en) 2001-09-25 2003-10-28 Ekc Technology, Inc. Compositions for chemical mechanical planarization of tantalum and tantalum nitride
CA2467806C (en) * 2001-11-20 2011-04-19 Rensselaer Polytechnic Institute Method for polishing a substrate surface
KR100460312B1 (ko) * 2001-12-10 2004-12-04 제일모직주식회사 금속배선 연마용 슬러리 조성물
US6866792B2 (en) * 2001-12-12 2005-03-15 Ekc Technology, Inc. Compositions for chemical mechanical planarization of copper
US20070295611A1 (en) * 2001-12-21 2007-12-27 Liu Feng Q Method and composition for polishing a substrate
DE10164262A1 (de) * 2001-12-27 2003-07-17 Bayer Ag Zusammensetzung für das chemisch-mechanische Polieren von Metall- und Metall/Dielektrikastrukturen
KR100457417B1 (ko) * 2001-12-28 2004-11-18 제일모직주식회사 금속배선 연마용 슬러리 조성물
US7025659B2 (en) * 2002-01-14 2006-04-11 Hitachi Global Storage Technologies Netherlands B.V. Simultaneous planarization of pole piece and coil materials for write head applications
US20030136759A1 (en) * 2002-01-18 2003-07-24 Cabot Microelectronics Corp. Microlens array fabrication using CMP
US7316603B2 (en) * 2002-01-22 2008-01-08 Cabot Microelectronics Corporation Compositions and methods for tantalum CMP
US7097541B2 (en) 2002-01-22 2006-08-29 Cabot Microelectronics Corporation CMP method for noble metals
US6527622B1 (en) * 2002-01-22 2003-03-04 Cabot Microelectronics Corporation CMP method for noble metals
US7524346B2 (en) * 2002-01-25 2009-04-28 Dupont Air Products Nanomaterials Llc Compositions of chemical mechanical planarization slurries contacting noble-metal-featured substrates
US7199056B2 (en) * 2002-02-08 2007-04-03 Applied Materials, Inc. Low cost and low dishing slurry for polysilicon CMP
US6884729B2 (en) * 2002-02-11 2005-04-26 Cabot Microelectronics Corporation Global planarization method
KR20030070191A (ko) * 2002-02-21 2003-08-29 주식회사 동진쎄미켐 안정성 및 탄탈계 금속막에 대한 연마 속도가 우수한화학-기계적 연마 슬러리 조성물
US6821309B2 (en) 2002-02-22 2004-11-23 University Of Florida Chemical-mechanical polishing slurry for polishing of copper or silver films
US20030168627A1 (en) * 2002-02-22 2003-09-11 Singh Rajiv K. Slurry and method for chemical mechanical polishing of metal structures including refractory metal based barrier layers
US6853474B2 (en) * 2002-04-04 2005-02-08 Cabot Microelectronics Corporation Process for fabricating optical switches
US6716281B2 (en) * 2002-05-10 2004-04-06 Electrochemicals, Inc. Composition and method for preparing chemically-resistant roughened copper surfaces for bonding to substrates
US7087187B2 (en) * 2002-06-06 2006-08-08 Grumbine Steven K Meta oxide coated carbon black for CMP
US6974777B2 (en) * 2002-06-07 2005-12-13 Cabot Microelectronics Corporation CMP compositions for low-k dielectric materials
US6936543B2 (en) * 2002-06-07 2005-08-30 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants
US20040007690A1 (en) * 2002-07-12 2004-01-15 Cabot Microelectronics Corp. Methods for polishing fiber optic connectors
JP2004071673A (ja) * 2002-08-02 2004-03-04 Nec Electronics Corp 銅系金属研磨スラリー
JP4083502B2 (ja) * 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US6838169B2 (en) * 2002-09-11 2005-01-04 Psiloquest, Inc. Polishing pad resistant to delamination
US20100009540A1 (en) * 2002-09-25 2010-01-14 Asahi Glass Company Limited Polishing compound, its production process and polishing method
ATE452422T1 (de) * 2002-09-25 2010-01-15 Seimi Chem Kk Poliermittelzusammensetzung und polierverfahren
US6866793B2 (en) * 2002-09-26 2005-03-15 University Of Florida Research Foundation, Inc. High selectivity and high planarity dielectric polishing
JP3981616B2 (ja) * 2002-10-02 2007-09-26 株式会社フジミインコーポレーテッド 研磨用組成物
US7063597B2 (en) 2002-10-25 2006-06-20 Applied Materials Polishing processes for shallow trench isolation substrates
US20040144038A1 (en) * 2002-12-09 2004-07-29 Junaid Ahmed Siddiqui Composition and associated method for oxide chemical mechanical planarization
US6893476B2 (en) 2002-12-09 2005-05-17 Dupont Air Products Nanomaterials Llc Composition and associated methods for chemical mechanical planarization having high selectivity for metal removal
WO2004053456A2 (en) * 2002-12-09 2004-06-24 Corning Incorporated Method using multi-component colloidal abrasives for cmp processing of semiconductor and optical materials
US20040175942A1 (en) * 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
US7300602B2 (en) * 2003-01-23 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Selective barrier metal polishing solution
US7148147B2 (en) * 2003-03-06 2006-12-12 J.G. Systems, Inc. CMP composition containing organic nitro compounds
US20040188379A1 (en) * 2003-03-28 2004-09-30 Cabot Microelectronics Corporation Dielectric-in-dielectric damascene process for manufacturing planar waveguides
US20040209066A1 (en) * 2003-04-17 2004-10-21 Swisher Robert G. Polishing pad with window for planarization
US20040232379A1 (en) * 2003-05-20 2004-11-25 Ameen Joseph G. Multi-oxidizer-based slurry for nickel hard disk planarization
US7390429B2 (en) * 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US20040259366A1 (en) * 2003-06-20 2004-12-23 Kim Seong Han Method and composition for the chemical-vibrational-mechanical planarization of copper
US7968465B2 (en) * 2003-08-14 2011-06-28 Dupont Air Products Nanomaterials Llc Periodic acid compositions for polishing ruthenium/low K substrates
US6986284B2 (en) * 2003-08-29 2006-01-17 Rohm And Haas Electronic Materials Cmp Holdings, Inc. System and method for characterizing a textured surface
WO2005028157A1 (en) * 2003-09-15 2005-03-31 Psiloquest Inc. A polishing pad for chemical mechanical polishing
US7112122B2 (en) * 2003-09-17 2006-09-26 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US6929983B2 (en) 2003-09-30 2005-08-16 Cabot Microelectronics Corporation Method of forming a current controlling device
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US20050104048A1 (en) * 2003-11-13 2005-05-19 Thomas Terence M. Compositions and methods for polishing copper
US20050109980A1 (en) * 2003-11-25 2005-05-26 Hongyu Wang Polishing composition for CMP having abrasive particles
JP3892846B2 (ja) * 2003-11-27 2007-03-14 株式会社東芝 Cmp用スラリー、研磨方法、および半導体装置の製造方法
US20050148289A1 (en) * 2004-01-06 2005-07-07 Cabot Microelectronics Corp. Micromachining by chemical mechanical polishing
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
US7120989B2 (en) * 2004-02-18 2006-10-17 Headway Technologies, Inc. Process of manufacturing a perpendicular magnetic pole structure
US7153777B2 (en) * 2004-02-20 2006-12-26 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
US6971945B2 (en) 2004-02-23 2005-12-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Multi-step polishing solution for chemical mechanical planarization
US7253111B2 (en) 2004-04-21 2007-08-07 Rohm And Haas Electronic Materials Cmp Holding, Inc. Barrier polishing solution
US7247567B2 (en) 2004-06-16 2007-07-24 Cabot Microelectronics Corporation Method of polishing a tungsten-containing substrate
US7582127B2 (en) * 2004-06-16 2009-09-01 Cabot Microelectronics Corporation Polishing composition for a tungsten-containing substrate
US6979252B1 (en) 2004-08-10 2005-12-27 Dupont Air Products Nanomaterials Llc Low defectivity product slurry for CMP and associated production method
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US20060043534A1 (en) * 2004-08-26 2006-03-02 Kirby Kyle K Microfeature dies with porous regions, and associated methods and systems
US7566391B2 (en) 2004-09-01 2009-07-28 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
US20060088976A1 (en) * 2004-10-22 2006-04-27 Applied Materials, Inc. Methods and compositions for chemical mechanical polishing substrates
US20060089095A1 (en) * 2004-10-27 2006-04-27 Swisher Robert G Polyurethane urea polishing pad
US20060089094A1 (en) * 2004-10-27 2006-04-27 Swisher Robert G Polyurethane urea polishing pad
US20060089093A1 (en) * 2004-10-27 2006-04-27 Swisher Robert G Polyurethane urea polishing pad
JP2006179845A (ja) * 2004-11-26 2006-07-06 Fuji Photo Film Co Ltd 金属用研磨液及び研磨方法
US20060118760A1 (en) * 2004-12-03 2006-06-08 Yang Andy C Slurry composition and methods for chemical mechanical polishing
US20060154579A1 (en) * 2005-01-12 2006-07-13 Psiloquest Thermoplastic chemical mechanical polishing pad and method of manufacture
US7427362B2 (en) * 2005-01-26 2008-09-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Corrosion-resistant barrier polishing solution
WO2006081589A2 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Tungsten electroprocessing
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
DE102005004384A1 (de) * 2005-01-31 2006-08-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer definierten Vertiefung in einer Damaszener-Struktur unter Verwendung eines CMP Prozesses und eine Damaszener-Struktur
EP1702965A3 (en) * 2005-03-17 2007-07-25 FUJIFILM Corporation Metal chemical mechanical polishing solution and polishing method
CN1854234B (zh) 2005-04-21 2013-03-20 安集微电子(上海)有限公司 抛光浆料及其用途和使用方法
JP5133874B2 (ja) * 2005-04-28 2013-01-30 テクノ セミケム シーオー., エルティーディー. 高段差酸化膜の平坦化のための自動研磨停止機能を有する化学機械的研磨組成物
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US20060278879A1 (en) * 2005-06-09 2006-12-14 Cabot Microelectronics Corporation Nanochannel device and method of manufacturing same
US7576361B2 (en) * 2005-08-03 2009-08-18 Aptina Imaging Corporation Backside silicon wafer design reducing image artifacts from infrared radiation
US7803203B2 (en) 2005-09-26 2010-09-28 Cabot Microelectronics Corporation Compositions and methods for CMP of semiconductor materials
TW200720493A (en) * 2005-10-31 2007-06-01 Applied Materials Inc Electrochemical method for ecmp polishing pad conditioning
KR101134588B1 (ko) * 2005-12-07 2012-04-09 삼성코닝정밀소재 주식회사 금속 배선용 화학 기계적 연마 조성물
US20070151866A1 (en) * 2006-01-05 2007-07-05 Applied Materials, Inc. Substrate polishing with surface pretreatment
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
US20070249167A1 (en) * 2006-04-21 2007-10-25 Cabot Microelectronics Corporation CMP method for copper-containing substrates
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
US7368066B2 (en) * 2006-05-31 2008-05-06 Cabot Microelectronics Corporation Gold CMP composition and method
US20080148652A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Compositions for chemical mechanical planarization of copper
JP5571695B2 (ja) * 2009-01-30 2014-08-13 レンズセーバーズ リミテッド ライアビリティ カンパニー プラスチックカバーおよびレンズの修復組成物および方法
CN102373014A (zh) * 2010-08-24 2012-03-14 安集微电子(上海)有限公司 一种化学机械抛光液
EP2502969A1 (en) * 2011-03-22 2012-09-26 Basf Se A chemical mechanical polishing (cmp) composition comprising two types of corrosion inhibitors
CN104480522B (zh) * 2014-12-03 2016-10-12 南京三乐电子信息产业集团有限公司 磁控管用的钽箔材料一次发射体电解去毛刺及氧化层溶液及其去毛刺方法
KR101682085B1 (ko) * 2015-07-09 2016-12-02 주식회사 케이씨텍 텅스텐 연마용 슬러리 조성물
KR101922289B1 (ko) * 2015-11-26 2018-11-27 삼성에스디아이 주식회사 Cmp 슬러리 조성물 및 이를 이용한 유기막 연마방법
CN106811618B (zh) * 2017-02-13 2018-05-11 东莞市佳乾新材料科技有限公司 一种环保型抗变色的紫铜排及其制备方法
US10106705B1 (en) 2017-03-29 2018-10-23 Fujifilm Planar Solutions, LLC Polishing compositions and methods of use thereof
US10685935B2 (en) * 2017-11-15 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Forming metal bonds with recesses
CN111040640A (zh) * 2020-01-07 2020-04-21 郑州中科新兴产业技术研究院 用于硅晶圆基材的复合磨料化学机械抛光浆料及制备方法
KR20210092376A (ko) * 2020-01-15 2021-07-26 오씨아이 주식회사 연마제 및 이를 이용한 평탄화 방법
KR102367056B1 (ko) * 2020-02-27 2022-02-25 주식회사 케이씨텍 화학적 기계적 연마용 슬러리 조성물
KR20230093321A (ko) * 2020-10-29 2023-06-27 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 연마 조성물 및 이를 사용하는 방법

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1198312A (en) * 1967-07-22 1970-07-08 Geigy Uk Ltd Corrosion Inhibiting Chemical Compositions
SE400581B (sv) * 1974-12-13 1978-04-03 Nordnero Ab Bad for kemisk polering av koppar och dess legeringar
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4671851A (en) * 1985-10-28 1987-06-09 International Business Machines Corporation Method for removing protuberances at the surface of a semiconductor wafer using a chem-mech polishing technique
US4956313A (en) * 1987-08-17 1990-09-11 International Business Machines Corporation Via-filling and planarization technique
JPH01263186A (ja) * 1988-04-15 1989-10-19 Showa Denko Kk アルミニウム磁気ディスク研磨用組成物
US4892612A (en) * 1988-10-11 1990-01-09 Huff John E Polishing method
US4910155A (en) * 1988-10-28 1990-03-20 International Business Machines Corporation Wafer flood polishing
SU1763468A1 (ru) * 1990-01-08 1992-09-23 Одесский Конструкторско-Технологический Институт По Поршневым Кольцам Притирочна суспензи
US5244523A (en) * 1990-02-07 1993-09-14 Tollini Dennis R Bandage for replaceable dressing and method of fabrication thereof
US5157876A (en) * 1990-04-10 1992-10-27 Rockwell International Corporation Stress-free chemo-mechanical polishing agent for II-VI compound semiconductor single crystals and method of polishing
US5137544A (en) * 1990-04-10 1992-08-11 Rockwell International Corporation Stress-free chemo-mechanical polishing agent for II-VI compound semiconductor single crystals and method of polishing
US5209816A (en) * 1992-06-04 1993-05-11 Micron Technology, Inc. Method of chemical mechanical polishing aluminum containing metal layers and slurry for chemical mechanical polishing
US5225034A (en) * 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US5575837A (en) * 1993-04-28 1996-11-19 Fujimi Incorporated Polishing composition
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5575885A (en) * 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
JP3397501B2 (ja) * 1994-07-12 2003-04-14 株式会社東芝 研磨剤および研磨方法
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
WO1996038262A1 (en) * 1995-06-01 1996-12-05 Rodel, Inc. Compositions for polishing silicon wafers and methods
US5700383A (en) * 1995-12-21 1997-12-23 Intel Corporation Slurries and methods for chemical mechanical polish of aluminum and titanium aluminide
US5858813A (en) * 1996-05-10 1999-01-12 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
US5993686A (en) * 1996-06-06 1999-11-30 Cabot Corporation Fluoride additive containing chemical mechanical polishing slurry and method for use of same
JP3507628B2 (ja) * 1996-08-06 2004-03-15 昭和電工株式会社 化学的機械研磨用研磨組成物
US6068787A (en) * 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US6309560B1 (en) * 1996-12-09 2001-10-30 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5735963A (en) * 1996-12-17 1998-04-07 Lucent Technologies Inc. Method of polishing
US5993685A (en) * 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
US6083419A (en) * 1997-07-28 2000-07-04 Cabot Corporation Polishing composition including an inhibitor of tungsten etching

Also Published As

Publication number Publication date
EP1098948B1 (en) 2005-11-23
CA2335033A1 (en) 2000-01-06
CN1312845A (zh) 2001-09-12
IL140303A0 (en) 2002-02-10
EP1098948A1 (en) 2001-05-16
CN1158373C (zh) 2004-07-21
DE69928537D1 (de) 2005-12-29
KR20010053166A (ko) 2001-06-25
ID28618A (id) 2001-06-21
DE69928537T2 (de) 2006-03-30
AU4723599A (en) 2000-01-17
TWI245788B (en) 2005-12-21
KR100491060B1 (ko) 2005-05-24
MY116324A (en) 2003-12-31
JP2002519475A (ja) 2002-07-02
WO2000000567A1 (en) 2000-01-06
US6063306A (en) 2000-05-16

Similar Documents

Publication Publication Date Title
JP4261058B2 (ja) 銅/タンタル基体に有用な化学的機械研磨スラリー
JP4044287B2 (ja) 銅/タンタル基体に有用な化学的機械研磨スラリー
JP4494538B2 (ja) 銅系基板に有用な化学的・機械的研磨用スラリー
KR100594561B1 (ko) 구리 기판에 유용한 화학 기계적 연마용 슬러리
US6593239B2 (en) Chemical mechanical polishing method useful for copper substrates
JP2002519471A5 (ja)
US5783489A (en) Multi-oxidizer slurry for chemical mechanical polishing
US6362106B1 (en) Chemical mechanical polishing method useful for copper substrates

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060912

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060919

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061128

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090106

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090205

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120220

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4261058

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130220

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140220

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees