DE102005004384A1 - Verfahren zur Herstellung einer definierten Vertiefung in einer Damaszener-Struktur unter Verwendung eines CMP Prozesses und eine Damaszener-Struktur - Google Patents

Verfahren zur Herstellung einer definierten Vertiefung in einer Damaszener-Struktur unter Verwendung eines CMP Prozesses und eine Damaszener-Struktur Download PDF

Info

Publication number
DE102005004384A1
DE102005004384A1 DE102005004384A DE102005004384A DE102005004384A1 DE 102005004384 A1 DE102005004384 A1 DE 102005004384A1 DE 102005004384 A DE102005004384 A DE 102005004384A DE 102005004384 A DE102005004384 A DE 102005004384A DE 102005004384 A1 DE102005004384 A1 DE 102005004384A1
Authority
DE
Germany
Prior art keywords
layer
metal
barrier
cmp
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE102005004384A
Other languages
English (en)
Inventor
Gerd Franz Christian Marxsen
Frank Mauersberger
Rico Hueselitz
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE102005004384A priority Critical patent/DE102005004384A1/de
Priority to US11/198,037 priority patent/US20060172527A1/en
Publication of DE102005004384A1 publication Critical patent/DE102005004384A1/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Die vorliegende Erfindung stellt eine Technik bereit, die das Bilden einer tieferliegenden oberen Oberfläche einer Verbindungsleitung ermöglicht, um eine eingelegte Barrierendeckschicht auf einer Verbindungsleitung zu bilden, sodass die Leitung verbesserte Eigenschaften in Bezug auf Elektromigration, elektrische Leitfähigkeit, Bauteilzuverlässigkeit und Bauteilleistungsfähigkeit aufweist. Die tieferliegende obere Oberfläche der Verbindungsleitung wird mittels eines entsprechend angepassten CMP-Prozesses geformt, der das Entfernen des Metalls eines oberen Bereiches der Verbindungsleitung ermöglicht, während benachbarte erhabene Barrierenschichtgebiete im Wesentlichen nicht beeinträchtigt werden.

Description

  • GEBIET DER VORLIEGENDEN ERFINDUNG
  • Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung integrierter Schaltungen und betrifft insbesondere die Herstellung von Metallisierungsschichten, die gut leitfähige Metalle, wie etwa Kupfer, aufweisen, die in einem dielektrischen Material eingebettet sind.
  • In modernen integrierten Schaltungen haben die minimalen Strukturgrößen, etwa die Kanallänge von Feldeffekttransistoren, den Bereich deutlich unter 1 μm erreicht, wodurch das Leistungsverhalten dieser Schaltungen hinsichtlich der Geschwindigkeit und/oder der Leistungsaufnahme ständig verbessert wurde. Da die Größe der einzelnen Schaltungselemente deutlich verringert wird, wodurch beispielsweise die Schaltgeschwindigkeit der Transistorelemente verbessert wird, wird auch der verfügbare Platz für Verbindungsleitungen, die elektrisch die einzelnen Schaltungselemente verbinden, ebenso reduziert. Folglich müssen auch die Abmessungen dieser Verbindungsleitungen verkleinert werden, um dem verringerten Anteil an verfügbarem Platz und der erhöhten Anzahl an Schaltungselementen, die pro Chip vorgesehen sind, Rechnung zu tragen. In integrierten Schaltungen mit minimalen Abmessungen von ungefähr 100 nm oder weniger ist ein begrenzender Faktor für die Bauteilleistungsfähigkeit die Signalausbreitungsverzögerung, die durch die Schaltgeschwindigkeit der Transistorelemente hervorgerufen wird. Wenn die Kanallänge dieser Transistorelemente kleiner als 100 nm ist, stellt sich jedoch heraus, dass die Signalausbreitungsverzögerung nicht mehr durch die Feldeffekttransistoren begrenzt ist, sondern dass diese auf Grund der erhöhten Schaltungsdichte durch den geringen Abstand der Verbindungsleitungen beschränkt ist, da die Kapazität von Leitung zu Leitung erhöht und die Leitfähigkeit dieser Leitungen auf Grund der reduzierten Querschnittsfläche, die durch den verringerten verfügbaren Platz erzwungen wird, verringert ist. Die parasitären RC-Zeitkonstanten erfordern daher die Einführung neuer Arten von Materialien für die Herstellung von Metallisierungsschichten.
  • Herkömmlich wurden Metallisierungsschichten aus einem dielektrischen Schichtstapel mit beispielsweise Siliziumdioxid und/oder Siliziumnitrid gebildet, wobei Aluminium als typisches Metall verwendet wurde. Da Aluminium einen höheren elektrischen Widerstand und eine merkliche Elektromigration bei höheren Stromdichten aufweist, die bei integrierten Schaltungen mit äußerst größenreduzierten Strukturelementen erforderlich sind, wird Aluminium zunehmend durch Kupfer ersetzt, das einen deutlich geringeren elektrischen Widerstand und eine höhere Widerstandsfähigkeit gegen Elektromigration aufweist.
  • Die Einführung von Kupfer zieht jedoch gewisse Probleme nach sich, die es zu lösen gilt. Beispielsweise kann Kupfer nicht in größeren Mengen in effizienter Weise durch gut etablierte Abscheidverfahren, etwa die chemische oder physikalische Dampfabscheidung aufgebracht werden. Des weiteren kann Kupfer nicht in wirksamer Weise durch gut etablierte anisotrope Ätzprozesse strukturiert werden, und daher wird die sogenannte Damaszener-Technik bei der Herstellung von Metallisierungsschichten mit Kupferleitungen eingesetzt. Typischerweise wird bei der Damaszener-Technik die dielektrische Schicht zuerst abgeschieden und anschließend mit Gräben und Kontaktöffnungen strukturiert, die nachfolgend mit Kupfer durch Galvanisierungsverfahren, etwa Elektroplattieren oder stromloses Plattieren, gefüllt werden.
  • Ein weiteres Problem bei der Kupfertechnologie ist die Fähigkeit des Kupfers, gut in Siliziumdioxid zu diffundieren. Daher kann Kupferdiffusion das Bauteilverhalten negativ beeinflussen oder kann selbst einen vollständigen Ausfall des Bauteils hervorrufen. Es ist daher notwendig, eine Diffusionsbarrierenschicht zwischen den Kupferoberflächen und den benachbarten Materialien vorzusehen, um im Wesentlichen zu vermeiden, dass Kupfer in empfindliche Bauteilgebiete wandert. Dabei kann die Diffusionsbarrierenschicht auch dazu dienen, die Haftung zu verbessern und der Struktur eine verbesserte mechanische Stabilität zu verleihen. Typischerweise werden bei der Damaszener-Technik leitende Materialien, etwa, zum Beispiel, Tantal (Ta) und Tantalnitrid (TaN) innerhalb der Gräben und Kontaktdurchführungen aufgebracht um eine dünne Schicht oder einen dünnen Schichtstapel zu bilden, die die benötigten Barrierencharakteristiken bereitstellen. Elektrisch leitende Barrierenschichten steuern einerseits zu der Leitfähigkeit der gebildeten Verbindungsleitungen bei, müssen aber andererseits von dem zwischenmetallischen Dielektrikum abgetragen werden um die elektrisch isolierten Verbindungsleitungen zu bilden.
  • Typischerweise wird die Barrierenschicht durch chemisch-mechanisches Polieren (CMP) abgetragen nach einem weiteren CMP Schritt, der zum Abtragen des überschüssigen Kupfers ausgeführt wird, das während des Kupferplattierungsprozesses gebildet wurde, um die Gräben und Kontaktdurchführungen zuverlässig zu füllen. Typische Barrierenmaterialien, etwa Ta und TaN, zeigen eine deutlich höhere Härte als Kupfer, so dass zumindest im letzten Schritt des CMP-Prozesses die entsprechenden Prozessparameter so gewählt werden, um eine ausreichend hohe Abtragsrate zu erhalten, wobei jedoch die Kupfer-Verbindungsleitungen und die darunter liegende dielektrische Schicht auf Grund von möglichem Einkerben (Dishing) und Erosion gefährdet werden, besonders wenn „weiche" dielektrische Materialien mit kleinem ε zum Einsatz kommen. Da ein gewisses Maß an Nachpolieren erforderlich ist, um die einzelnen Gräben und Leitungen elektrisch voneinander zu isolieren, kann ein deutliches Nachpolieren des Kupfers auftreten, insbesondere wenn die Abtragsrate über die Substratoberfläche hinweg verschieden ist. Die endgültigen Gräben und Kontaktdurchführungen können dann eine ungewünschte Schwankung des Widerstandes auf Grund von Streuungen in den Querschnittsflächen zeigen, so dass es erforderlich ist, die Prozesstoleranzen entsprechend weiter festzulegen.
  • Siliziumnitrid ist als eine weitere wirksame Kupferdiffusionsbarriere bekannt und wird daher häufig als dielektrisches Barrierenmaterial verwendet, das die obere Kupferoberfläche von einer dielektrischen Zwischenschicht, etwa Siliziumdioxid, trennt. Wie zuvor angemerkt ist, ist das Bauteilverhalten von äußerst größenreduzierten integrierten Schaltungen im Wesentlichen durch die parasitären Kapazitäten benachbarter Verbindungsleitungen begrenzt, die durch Verringern des Widerstands und durch Reduzieren der kapazitiven Ankopplung reduziert werden können, indem die gesamte dielektrische Konstante so klein wie möglich gehalten wird. Da Siliziumnitrid eine relativ hohe dielektrische Konstante ε von ungefähr 7 im Vergleich zu Siliziumdioxid (ε ≈ 4) oder anderen dielektrischen Materialien mit kleinem ε auf Siliziumdioxidbasis (ε < 4) aufweist, werden häufig Barrierenschichten auf der Basis von Siliziumkarbid verwendet. Ferner kann Siliziumkarbid eine erhöhte Bindungsfähigkeit an der Grenzfläche zu Materialien mit kleinem ε im Vergleich zu Siliziumnitrid bereitstellen. Nach dem neustem Stand der Technik der Halbleiterbauelemente kann jedoch sogar die niedrigere Dielektrizitätskonstante des Siliziumkarbids (ε ≈ 5) die Gesamtdielektrizitätskonstante des entstehenden dielektirschen Schichtstapels unzulässig beeinträchtigen.
  • Obwohl Kupfer bessere Eigenschaften hinsichtlich der Widerstandsfähigkeit gegen Elektromigration im Vergleich zu beispielsweise Aluminium zeigt, führt die weitergehende Reduzierung der Strukturgrößen zu einer weiteren Abnahme der Größe von Kupferleitungen und damit zu erhöhten Stromdichten in diesen Leitungen, wodurch wiederum ein nicht akzeptables Maß an Elektromigration trotz der besseren Eigenschaften des Kupfers hervorgerufen wird. Elektromigration ist ein Diffusionsphänomen, das unter dem Einfluss eines elektrischen Feldes auftritt und zu einer Metalldiffusion in Richtung der sich bewegenden Ladungsträger führt, wodurch letztlich Hohlräume in den Metallleitungen erzeugt werden, die einen Bauteilausfall verursachen können. Im Fall von Kupfer hat es sich bestätigt, dass diese Hohlräume typischereweise an der Grenzfläche des Kupfers und der Barrierenschicht entstehen können, insbesondere an der oberen Grenzfläche mit der dielektrischen SiN-, oder SiC-Barrierenschicht, und einen der wichtigsten Diffusionswege in den Kupfermetallisierungsstrukturen darstellen. Es ist daher von großer Bedeutung, Grenzflächen von hoher Qualität zwischen dem Kupfer und der Diffusionsbarriere, etwa der Siliziumnitridschicht oder Siliziumkarbidschicht, herzustellen, um die Elektromigration auf ein akzeptables Maß zu reduzieren.
  • Die obere Grenzfläche des Kupfers und der Barrierenschicht kann nachteilig durch mechanische Spannung beeinflusst werden. Mechanische Spannung kann, zum Beispiel, thermisch, auf Grund von unterschiedlichen thermischen Ausdehnungskoeffizienten der benutzten Materialien oder mechanisch, zum Beispiel, in einem anschließend ausgeführten CMP-Schritt erzeugt werden. Deshalb kann die obere Barrierenschicht auf einer vertieften Kupferoberfläche einer Kupferverbindungsleitung aufgebracht werden, um die verbesserten mechanischen Eigenschaften einer „eingebetteten" Struktur zu bieten und um winzige Lücken, die das Elektromigrationverhalten an der oberen Grenzschicht des Kupfers und der Diffusionsbarriere nachteilig beeinflussen können, zu reduzieren.
  • Die vertiefte obere Oberfläche der Kupferverbindungsleitung wird typischerweise in einem getrennten Nass- oder Trocken-Ätzprozess gebildet, der jedoch schwer zu kontrollieren ist, da der Ätzprozess in der Volumen-Kupferschicht genau gestoppt werden muss, um eine Vertiefung mit einer gewünschten Tiefe von wenigen Nanometern zu bilden. Des Weiteren, kann die Kupferkornstruktur die Gleichmäßigkeit des Ätzprozesses beeinflussen, da die Ätzrate an der Korngrenze sich signifikant von der Ätzrate in den Kupferkörnern unterscheiden kann. Folglich kann der Ätzprozess eine ziemlich raue vertiefte Oberfläche ausbilden und kann den Nutzen einer eingebetteten oberen Barrierenschicht in Bezug auf das Elektromigrationverhalten schmälern. In ungünstigen Fällen kann der Ätzprozess sogar die Kupferverbindungsleitung beschädigen und so die Zuverlässigkeit eines Halbleiterbauelements, das die Kupferverbindungsleitung aufweist, beeinträchtigen.
  • Zusätzlich kann, unabhängig von dem verwendeten Barrierenmaterial, eine deutliche Elektromigration in modernen integrierten Schaltungen beobachtet werden, wobei dieser Effekt sich beim Auftreten von höheren Temperaturen, von mechanischen Spannungen und dergleichen, die typische Betriebsbedingungen moderner integrierter Schaltungen repräsentieren, noch verstärkt. Daher kann eine weitere Größenreduzierung des Bauteils zu einem beeinträchtigten Bauteilverhalten oder zu einem vorzeitigem Bauteilausfall auf Grund der erhöhten Metalldiffusion entlang der Grenzfläche zwischen der Barrierenschicht und der Metallleitung führen.
  • Angesichts der Probleme in Bezug auf die Zuverlässigkeit der Bauteile, auf die parasitären RC Zeitkonstanten und auf die Elektromigration von Metallen, etwa von Kupfer, an Grenzflächen zu darüber liegenden Flächen einer Barrierenschicht ist eine verbesserte Technik erforderlich, die einige der oben erkannten Probleme eliminieren oder zumindest verringern kann.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • Im Allgemeinen betrifft die vorliegende Erfindung eine Technik, um die Zuverlässigkeit von Verbindungsleitungen zu verbessern, um die parasitären RC Zeitkonstanten zu reduzieren und um wirksam die Diffusionsaktivität einer Metallleitung an einer Grenzfläche zu einer Deckschicht zu reduzieren, wobei die Tendenz des Metalls zur Elektromigration während erhöhter Stromdichten innerhalb der Metallleitung deutlich verkleinert wird. Zu diesem Zweck wird die vertiefte obere Oberfläche von der Kupferverbindungsleitungsstruktur durch einen chemisch-mechanischen Polierprozess gebildet, der eine verbesserte Oberflächenglätte und eine verbesserte Tiefengleichförmigkeit der oberen Oberfläche der Verbindungsleitung bieten kann.
  • Gemäß einer anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren das Bilden einer dielektrischen Schicht über einem Substrat and das Bilden eines Metallgebiets in einer dielektrischen Schicht, wobei das Metallgebiet eine freiliegende Oberfläche aufweist. Des Weiteren umfasst das Verfahren das Einstellen von chemisch-mechanischen Polierprozessparametern zum Polieren der freiliegenden Oberfläche und das Ausführen eines chemisch-mechanischen Polierprozesses auf der freiliegenden Oberfläche mit den Parametern, um absichtlich eine tieferliegende Oberfläche in dem Metallgebiet zu bilden.
  • Gemäß einer weiteren anschaulichen Ausführungsform der vorliegenden Erfindung umfasst eine Damnaszener-Struktur eine dielektrische Schicht, die über einem Substrat gebildet wird und ein Metallgebiet, das in der dielektrischen Schicht gebildet wird. Des Weiteren umfasst die Damnaszener-Struktur ein elektrisch leitendes Barrierendeckschichtgebiet, das über dem Metallgebiet gebildet ist.
  • Gemäß einer noch weiteren anschaulichen Ausführungsform der vorliegenden Erfindung umfasst eine Damnaszener-Struktur eine dielektrische Schicht, die über einem Substrat gebildet wird, ein Metallgebiet, das in der dielektrischen Schicht gebildet ist und ein Barrierendeckschichtgebiet, das über dem Metallgebiet in der dielektrischen Schicht gebildet ist.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Weitere Vorteile, Aufgaben und Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird. Es zeigen:
  • 1 eine schematische Darstellung einer CMP-Einheit, die geeignet ist die vorliegende Erfindung auszuführen;
  • 2 eine vereinfachte schematische Darstellung einer CMP-Station, die geeignet ist Ausführungsformen der vorliegenden Erfindung auszuführen;
  • 3a bis 3e schematisch Querschnittsflächenansichten einer Damnaszener-Struktur während verschiedener Stufen des Bildens einer Metallleitung gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung;
  • 4a bis 4c schematisch Querschnittsflächenansichten einer Damnaszener-Struktur während verschiedener Stufen des Bildens einer Metallleitung mit einer „eingebetteten" Barrierendeckschicht gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung; und
  • 5a und 5b schematisch Querschnittsflächenansichten einer Damnaszener-Struktur in Übereinstimmung mit weiteren anschaulichen Ausführungsformen der vorliegenden Erfindung.
  • DETAILLIERTE BESCHREIBUNG
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte es selbstverständlich sein, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Erfindung auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Es sollte angemerkt werden, dass die vorliegende Erfindung insbesondere vorteilhaft ist für die Herstellung moderner integrierter Schaltungen mit Kupferleitungen in entsprechenden Metallisierungsschichten, wobei die lateralen Abmessungen der Kupferleitungen in der Größenordnung von 130 nm oder sogar darunter liegen können, da dann die erforderlichen Stromdichten in diesen Kupferleitungen zu einer erhöhten Elektromigration des Kupfers führen können, woraus ein vorzeitiger Bauteilausfall oder eine reduzierte Bauteilleistungsfähigkeit resultieren kann. Somit ermöglicht die vorliegende Erfindung eine weitere Bauteilgrößenreduzierung von Halbleiterbauelementen auf Kupferbasis, wobei die Erfindung auch auf Halbleiterbauelemente mit größeren lateralen Abmessungen, wie sie oben spezifiziert sind, angewendet werden kann, womit ein Beitrag zu einer erhöhten Zuverlässigkeit derartiger Halbleiterbauelemente geleistet wird. Des Weiteren können die Prinzipien der vorliegenden Erfindung ebenso vorteilhaft in Kombination mit anderen Metallen, die für die Herstellung von Metallleitungen in Halbleiterbauelementen als geeignet erachtet werden, angewendet werden. Zum Beispiel kann die vorliegende Erfindung vorteilhaft mit Kupferverbindungen, Aluminium und dergleichen eingesetzt werden. Es sollte daher beachtet werden, dass die vorliegende Erfindung nicht auf Bauteilabmessungen und Materialien eingeschränkt gesehen werden soll, sofern derartige Einschränkungen nicht explizit in den angefügten Patentansprüchen aufgeführt sind.
  • Mit Bezug zu der 1, der 2, den 3a bis 3e, den 4a bis 4c und den 5a und 5b werden nunmehr weitere anschauliche Ausführungsformen der vorliegenden Erfindung detaillierter beschrieben.
  • 1 zeigt schematisch ein CMP-System 100, das benutzt werden kann um gemäß der vorliegenden Erfindung ein Verfahren auszuführen, das auf einem CMP-Prozess basiert. Das CMP-System 100 umfasst einen Teller 101, auf dem ein Polierkissen 102 montiert ist. Der Teller 101 ist drehbar an einer Antriebsanordnung 103 befestigt, die ausgebildet ist, den Teller 101 bei einer gewünschten Drehzahl zwischen einem Bereich von Null bis einige hundert Umdrehungen pro Minute zu rotieren. Ein Polierkopf 104 ist mit einer Antriebsanordnung 105 gekoppelt, die ausgebildet ist, den Polierkopf 104 zu drehen und diesen radial in Bezug auf den Teller 101 zu bewegen, wie dies durch den Richtungspfeil 106 angezeigt ist.
  • Ferner kann die Antriebsanordnung 105 so ausgebildet sein, um den Polierkopf 104 in einer beliebigen gewünschten Weise zu bewegen, wie dies zum Einladen und Ausladen eines Substrats 107 erforderlich ist, das von dem Polierkopf 104 empfangen und in Position gehalten wird. Eine Schleifmittellösungszufuhr 108 ist vorgesehen und so positioniert, dass eine Schleifmittellösung 109 in geeigneter Weise dem Polierkissen 102 zugeführt werden kann. Die Menge von einem in der Schleifmittellösung enthaltenem Komplexbildner kann kontrolliert werden, um die Bildung von chemisch aktiven Verbindungen zu unterstützen. Das entsprechende Kontrollmittel, das ein Ventil sein kann, welches den Fluss des Komplexbildners kontrolliert, kann an der Schleifmittellösungszufuhr 108 angebracht sein oder kann an einer separaten Schleifmittellösungszufuhreinheit (nicht dargestellt) bzw. Schleifmittellösungsaufbereitungsungseinheit (nicht dargestellt) angeordnet sein.
  • Die CMP-Einheit 100 umfasst ferner ein konditionierendes System 110, das im Weiteren auch als Kissenkonditionierer 110 bezeichnet wird, mit einem Kopf 111, an dem ein konditionierendes Element 113 mit einer konditionierenden Oberfläche mit einem geeigneten Material, etwa Diamant mit einer speziellen Oberflächenstruktur, die so gestaltet ist, um eine konditionierende Wirkung auf das Polierkissen 102 zu steuern, angebracht ist. Der Kopf 111 ist mit einer Antriebsanordnung 112 verbunden, die wiederum ausgebildet ist, um den Kopf 111 zu drehen und diesen radial in Bezug auf den Teller 101 zu bewegen, wie dies durch den Pfeil 114 angedeutet ist. Des Weiteren kann die Antriebsanordnung 112 so ausgestaltet sein, dass sie den Kopf 111 eine beliebige Bewegbarkeit verleiht, die zum Erreichen des geeigneten konditionierenden Effekts erforderlich ist.
  • Die CMP-Einheit 100 umfasst ferner eine Steuereinheit 120, die funktionsmäßig mit den Antriebsanordnungen 103, 105 und 112 und der Schleifmittellösungszufuhr 108 verbunden ist, um die Schleifmittellösungsabgabe zu steuern und vor allem, um die Menge des Komplexbildners, der in der Schleifmittellösung enthalten ist, zu steuern. Die Steuereinheit 120 kann aus zwei oder mehr Teileinheiten aufgebaut sein, die mittels geeigneter Kommunikationsnetzwerke in Verbindung stehen, etwa durch Kabelverbindungen, drahtlose Netzwerke und dergleichen. Zum Beispiel kann die Steuereinheit 120 eine Untersteuereinheit aufweisen, wie sie in konventionellen CMP-Einheiten vorgesehen ist, um in geeigneter Weise Steuersignale 121, 122, 123 und 124 zu den Antriebsanordnungen 105, 103, 112 und der Schleifmittellösungszufuhr 108 zu liefern, um die Bewegung des Polierkopfes 104, des Polierkissens 102 und des Kissenkonditionierers 110 zu koordinieren und um die Menge des in der Schleifmittellösung 109 enthaltenem Komplexbildners zu steuern. Die Steuersignale 121, 122 und 123 können eine beliebige geeignete Signalform repräsentieren, um die entsprechenden Antriebsanordnungen zu instruieren, mit der erforderlichen Rotations- und/oder Translationsgeschwindigkeit zu arbeiten.
  • Während des Betriebs der CMP-Einheit 100 wird das Substrat 107 in den Polierkopf 104 eingeladen, der geeignet positioniert worden ist, um das Substrat 107 aufzunehmen und dieses zu dem Polierkissen 102 zu transportieren. Es sollte beachtet werden, dass der Polierkopf 104 typischerweise mehrere Gasleitungen aufweist, die Vakuum und/oder Gase zu dem Polierkopf 104 führen, um das Substrat 107 zu fixieren und um eine spezielle Andruckskraft während der Relativbewegung zwischen dem Substrat 107 und dem Polierkissen 102 auszuüben.
  • Die diversen Funktionen, die für den korrekten Betrieb des Polierkopfes 104 erforderlich sind, können ebenso von der Steuereinheit 120 gesteuert werden. Die Menge des Komplexbildners, der in der Schleifmittellösung 109 enthalten ist, ist dem durchzuführenden Polierprozess entsprechend angepasst. Die Schleifmittelzufuhr 108 wird betätigt, beispielsweise durch die Kontrolleinheit 120, um die Schleifmittellösung 109 mit einer kontrollierten Menge an Komplexbildner zuzuführen. Die Schleifmittellösung wird auf dem Polierkissen 102 beim Drehen des Tellers 101 und des Polierkopfes 104 verteilt. Die Steuersignale 121 und 122, die den Antriebsanordnungen 105 und 103 zugeführt werden, bewirken eine spezielle Relativbewegung zwischen dem Substrat 107 und dem Polierkissen 102, um ein gewünschtes Abtragsverhalten zu erreichen, das, wie zuvor erläutert, unter anderem von den Eigenschaften des Substrats 107, dem Aufbau und dem momentanen Status des Polierkissens 102, der Zusammensetzung der verwendeten Schleifmittellösung 109, der relativen Geschwindigkeit zwischen dem Polierkopf und dem Polierkissen 102 und von der auf das Substrat 107 ausgeübten Andruckskraft abhängt. Vor und/oder während des Polierens des Substrats 107 wird das konditionierende Element 113 mit dem Polierkissen 102 in Berührung gebracht, um die Oberfläche des Polierkissens 102 wieder aufzubereiten. Dazu wird der Kopf 111 in Drehung versetzt und/oder über das Polierkissen 102 geschwenkt, wobei z. B. die Steuereinheit 120 das Steuersignal 123 so bereitstellt, dass eine im Wesentliche konstante Geschwindigkeit, beispielsweise eine Rotationsgeschwindigkeit, während des Konditionierungsprozesses beibehalten wird. Verschiedene CMP-Prozesse können folgerichtig auf einer einzelnen CMP-Einheit 100 ausgeführt werden oder können vorzugsweise auf einer CMP-Station durchgeführt werden, die mehrere CMP-Einheiten umfasst, um verschiedene CMP-Prozesse auszuführen, welche beispielsweise verschiedene Polierkissen und/oder verschiedene Schleifmittellösungszusammensetzungen auf verschiedenen CMP-Einheiten benötigen.
  • 2 zeigt auf vereinfachte Weise schematisch eine CMP-Station 200 die dafür geeignet sein kann um eine Abfolge von CMP-Prozessen entsprechend der vorliegenden Erfindung ausführen. Die CMP-Station 200 umfasst eine Vielzahl von CMP-Einheiten 200, 225 und 230, die unabhängig voneinander bedient werden können. Mindestens eine der CMP-Einheiten 200, 225 und 230 umfasst die Steuerfähigkeit der CMP-Einheit 100 aus 1. Jede der CMP-Einheiten 220, 225 und 230 umfasst einen Polierkopf 204, der ein geeignetes Antriebsmittel 205 mit einschließt. Die Polierköpfe 204 sind geeignet um ein Substrat 207, das poliert werden soll, zu erhalten, in Position zu halten und um es zu befördern. Außerdem schließen die CMP-Einheiten 220, 225 und 230 jeweils einen Polierteller mit einem darauf vorgesehenen Polierkissen 202 und einen Kissenkonditionierer 210 sowie eine Schleifmittellösungszufuhr 208 ein. Es sollte beachtet werden, dass die CMP-Station 200 ziemlich komplex ist und normalerweise viele Antriebsmittel umfasst, um die Polierkissen 202 relativ zu den Polierköpfen 204, wie durch die entsprechenden Pfeile angezeigt, anzutreiben. Außerdem sind die Polierköpfe 204 so konfiguriert, um eine Aufbringen einer speziellen Andruckskraft auf das daran befestigte Substrat zu erlauben. Des Weiteren sind der Polierkopf und die damit verbundenen Antriebsmittel konfiguriert, um den Substrattransport von einer CMP-Einheit zu einer anderen zu bieten, so dass ein Substrat von den CMP-Einheiten 220, 225 und 230 der CMP-Station 200 nacheinander bearbeitet werden kann.
  • Während des Betriebs wird ein Substrat 207 mit Kupfer enthaltenden Oberflächenbereichen, die zu polieren sind, etwa eine Damaszener-Struktur, wie sie mit Bezug zu 3a beschrieben ist, zu der CMP-Einheit 220 zugeführt. Prozessparameter, etwa die Größe der Relativgeschwindigkeit zwischen dem Polierkissen 202 und dem Polierkopf 204, die ausgeübte Andruckskraft, die Art der durch die Schleifmittellösungszufuhr 208 zugeführten Schleifmittellösung, der Polierzeit und dergleichen, werden entsprechend dem spezifizierten Prozessrezept eingestellt. Typischerweise werden zumindest drei Polierschritte ausgeführt, um überschüssiges Material zu entfernen, um die obere Oberfläche von einer Verbindungsleitung zu vertiefen, wie es noch genauer in Bezug auf 3a beschrieben werden wird und um eine Barrierenschicht zu entfernen, um eine Damaszener-Struktur gemäß der vorliegenden Erfindung zu bilden, wobei zumindest der CMP-Schritt, um eine vertiefte Oberfläche zu bilden, auf der CMP-Einheit verrichtet wird, die die Steuerfähigkeit der CMP-Einheit 100 aus 1 hat. Nach Abschluss der ersten Phase des CMP-Prozesses wird das Substrat 207 zu der CMP-Einheit 225 befördert, um einem zweiten Polierschritt entsprechend dem spezifizierten Prozessrezept unterworfen zu werden. Nach Abschluss der zweiten Phase des CMP-Prozesses wird das Substrat 207 zu der CMP-Einheit 230 befördert, um einem dritten Polierschritt entsprechend dem spezifizierten Prozessrezept unterworfen zu werden. Wenn der auf der Prozesseinheit 230 ausgeführte Prozess der letzte der Polierabfolge ist, dann wird das Substrat 207 typischerweise einem Spülverfahren unterzogen, bei dem zum Beispiel deionisiertes Wasser verwendet wird, um Teilchen und/oder Zusatzstoffe von der Substratoberfläche zu entfernen. Nach der CMP-Sequenz zeigen auf Kupfer basierende Metallgebiete die vertiefte Oberfläche, wie sie in Bezug auf die 3a bis 3e dargelegt wird.
  • In 3a umfasst eine Damnaszener-Struktur 300 ein Substrat 307, welches ein Halbleitermaterial, das in oder auf dem Substrat 307 vorgesehen ist und das ein beliebiges Halbleiterelement oder eine Halbleiterverbindung aufweisen kann, die für die Herstellung integrierter Schaltungen geeignet ist. Da der überwiegende Teil der integrierten Schaltungen als Bauteile auf Siliziumbasis hergestellt wird, kann das Substrat 307 ein Siliziumsubstrat oder ein SOI-(Silizium auf Isolator)Substrat repräsentieren, auf dem eine Vielzahl von Schaltungselementen gebildet sind, die miteinander entsprechend dem Schaltungsaufbau mittels einer noch zu bildenden Metallleitung verbunden sind. Der Einfachheit halber sind derartige Schaltungselemente in dem Substrat 307 nicht gezeigt. Eine dielektrische Schicht 354, die aus einem beliebigen geeigneten dielektrischen Material, etwa Siliziumdioxid und/oder Siliziumnitrid, oder einem dielektrischen Material mit kleinem ε, etwa SiCOH, Polymeren und dergleichen aufgebaut sein kann, ist über dem Substrat 307 gebildet. Die dielektrische Schicht 354 enthält eine Öffnung, die mit einem gut leitenden Material von einer aufgebrachten Metallschicht 356 gefüllt wird. Eine Barrierenschicht 358 ist zwischen der Metallschicht 356 und der dielektrischen Schicht 354 angebracht.
  • Ein typischer Prozessablauf zur Herstellung der Damaszener-Struktur 300, wie in 3a gezeigt ist, kann die folgenden Prozesse umfassen. Nach dem Bereitstellen des Substrats 307, das die Herstellung diverser Schaltungselemente entsprechend gut etablierter Herstellungsprozesse beinhalten kann, wird die dielektrische Schicht 354 über dem Substrat 307 durch gut etablierte Prozesse hergestellt, die entsprechend den Eigenheiten der dielektrischen Schicht 354 ausgewählt werden. Beispielsweise kann die dielektrische Schicht 354 einen Siliziumdioxid/Siliziumnitrid-Schichtstapel mit einer dünnen Siliziumnitridschicht (nicht gezeigt), gefolgt von einer dicken Siliziumdioxidschicht aufweisen, wobei diese Schichten durch gut etablierte plasmaunterstützte chemische Dampfabscheid-(PECVD)Techniken mit einer erforderlichen Dicke abgeschieden werden können, wobei die Siliziumnitridschicht als eine Ätzstoppschicht in einem nachfolgenden Strukturierungsprozess dienen kann. In anderen Ausführungsformen kann die dielektrische Schicht 354 durch Aufschleuderverfahren gebildet werden, wenn die dielektrische Schicht 354 im Wesentlichen aus einem Polymermaterial mit kleinem ε aufgebaut ist.
  • Danach wird die Öffnung in der dielektrischen Schicht 354 durch moderne Photolithographie- und ansiotrope Ätztechniken gebildet, wobei, wie zuvor erläutert, eine entsprechende Ätzstoppschicht beim zuverlässigen Anhalten des anisotropen Ätzprozesses auf oder in der Ätzstoppschicht, die nachfolgend an entsprechenden Gebieten zur Herstellung von Verbindungen zu in dem Substrat 307 gebildeten Schaltungselementen geöffnet werden kann, hilfreich ist.
  • Die Seitenwände und der Boden der Öffnung kann durch eine leitende Barrierenschicht 358 bedeckt sein, um im Wesentlichen die Diffusion des Metalls in das umgebende Dielektrikum der Schicht 354 zu verhindern und/oder um die benötigte Haftung zu der Metallschicht 356 zu vermitteln. Die leitende Barrierenschicht 358 kann in Kombination mit Kupfer oder mit auf Kupfer basierenden Legierungen vorgesehen werden, da Kupfer leicht in eine Vielzahl von dielektrischen Materialien diffundiert, wie Siliziumdioxid und Dielektrika mit kleinem ε. Die leitende Barrierenschicht 358 kann zwei oder mehrere Unterschichten umfassen, um den Anforderungen in Hinsicht auf Diffusionsverringerung und Hafteigenschaften gerecht zu werden. Die leitende Barrierenschicht 358 kann durch fortschrittliche physikalische Dampfabscheidung (PVD), chemische Dampfabscheidung, Atomlagenabscheidung und dergleichen abgeschieden werden. Wenn z. B. Kupfer verwendet wird, kann eine Tantal/Tantalnitrid-Doppelschicht mit einer Dicke im Bereich von ungefähr 5 bis 50 nm gebildet werden.
  • Die Schicht 356 aus gut leitendem Material kann Kupfer, Kupferlegierungen, Aluminium, Aluminiumlegierungen, oder ein beliebiges anderes Metall enthalten, das für geeignet gehalten wird die benötigte Leitfähigkeit bereitzustellen. In besonderen Ausführungsformen wird die Metallschicht 356 im Wesentlichen Kupfer umfassen, da Kupfer derzeit als der meist versprechende Kandidat für die Bildung von gut leitenden Metallschichten angesehen wird.
  • Abhängig von dem Abscheidprozess zum Aufbringen der Metallschicht 356 kann eine Saatschicht (nicht gezeigt) auf der leitenden Barrierenschicht 358 abgeschieden werden, um die Metallabscheidung in einem nachfolgenden Plattierungsprozess zu fördern. Wenn z. B. Kupfer durch Elektroplattieren abzuscheiden ist, kann eine dünne Kupfersaatschicht durch Sputter-Abscheidung aufgebracht werden. Danach wird die Metallschicht 356, beispielsweise mit Kupfer, Kupferverbindungen und dergleichen durch beispielsweise Elektroplattieren, stromloses Plattieren und dergleichen abgeschieden, um die Öffnung in der dielektrischen Schicht 354 zuverlässig zu füllen.
  • Typischerweise muss während des Abscheidvorganges überschüssiges Metall abgeschieden werden, um die Öffnung zuverlässig zu füllen, wobei die Metallreste dann durch beispielsweise chemisch-mechanisches Polieren (CMP) und/oder elektrochemisches Ätzen und/oder chemisches Ätzen entfernt werden müssen.
  • 3b zeigt die Damaszener-Struktur 300 nachdem das überschüssige Metall entfernt wurde. Entsprechende Prozesse um das überschüssige Metall von der dielektrischen Schicht 354 zu entfernen sind im Stand der Technik gut etabliert. Indem das überschüssige Metall entfernt wird, wird das Metallgebiet 356a gebildet, wobei eine obere Oberfläche 360 davon durch den Entfernungsprozess freigelegt wird.
  • Für den Fall, dass ein CMP-Entfernungsprozess verwendet wird, kann, zum Beispiel, eine CMP-Einheit 100 wie in 1 beschrieben verwendet werden. Wenn der CMP-Prozess auf einem CMP-System 200, wie in 2 beschrieben, durchgeführt wird, kann der Prozess, zum Beispiel, auf der CMP-Einheit 220 ausgeführt werden. Entsprechende CMP-Rezepte, die einen entsprechenden Satz an Parametern, insbesondere für das CMP bei Kupfer, definieren, sind gut bekannt. Die Rezepte legen zumindest die geeigneten Parameter für die auf das Substrat 307 ausgeübte Andruckskraft, die relative Geschwindigkeit zwischen dem Substrat 307 und dem Polierkissen 102, die Menge an Komplexbildner, die in der zugeführten Schleifmittellösung enthalten ist und die Härte des Polierkissens 102 fest. Des Weiteren können Parameter zum Steuern des Kissenkonditionierers 110, zum Beispiel, das Konditionierintervall, die (Rotations- und/oder Translations-)Geschwindigkeit des Konditoinierers und/oder die Oberflächenstruktur des Konditionierers, definiert werden. Bei Kupferleitungen kann die Barrierenschicht 358 als eine CMP-Stoppschicht dienen, da die Barrierenschicht 358, zum Beispiel, wenn Ta oder TaN verwendet werden, härter ist als das Kupfermaterial und im Wesentlichen dem Kupfer CMP wiederstehen kann.
  • 3c zeigt die Damaszener-Struktur 300 nachdem ein speziell entwickelter CMP-Prozess zum Vertiefen der oberen Oberfläche 360 des Metallgebietes 356a angewendet wurde, wodurch eine Metallregion 356b gebildet wird, die eine tieferliegende obere Oberfläche 360a hat. Ein oberer Anteil 370 der Seitenwände der Öffnung in der dielektrischen Schicht 354, der mit der Barrierenschicht 358 bedeckt sein kann, ist freigelegt.
  • Um die gesamte Oberfläche 360 tieferzulegen kann eine CMP-Einheit 100, wie in 1 beschrieben, verwendet werden, die Teil eines CMP-Systems 200 sein kann, wie in 2 beschrieben. Der CMP-Prozess kann, zum Beispiel auf der CMP-Einheit 225 ausgeführt werden, nachdem das Substrat von der Einheit 220 zur Einheit 225 befördert wird. Das CMP-Rezept, um die Oberfläche 360 zu vertiefen nachdem überschüssiges Metall entfernt wurde, unterscheidet sich von den konventionellen CMP-Rezepten um überschüssiges Kupfer zu entfernen insofern, dass die auf das Substrat 307 ausgeübte Andruckskraft erhöht wird, und/oder die relative Geschwindigkeit zwischen dem Substrat 307 und einem Polierkissen 102 reduziert wird, und/oder die Menge an Komplexbildner, die in der zugeführten Schleifmittellösung enthalten ist, erhöht wird, und/oder ein weicheres Polierkissen 102 verwendet wird, und/oder das Polierkissen 102 stärker geprägt ist, und/oder der Kissenkonditionierungseffekt erhöht wird, zum Beispiel, indem man eine gröbere Oberflächenstruktur der konditionierenden Oberfläche verwendet. In anschaulichen Ausführungsformen kann die Andruckskraft im Bereich von ungefähr 5–7 psi liegen, die relative Geschwindigkeit kann weniger als annähernd 50 m/min sein, die Menge an Komplexbildner kann durch einen Faktor im Bereich von 2 bis 10 erhöht werden, und ein geprägtes Politex-Kissen kann verwendet werden. In einer speziellen Ausführungsform, wird die Menge an Komplexbildner um einen Faktor von annähernd 4 erhöht. Die Polierzeit liegt im Bereich von etwa 10 bis 30s.
  • Wenn der CMP-Prozess zum Vertiefen der Oberfläche 360 vor dem Entfernen des Barrierenmaterials ausgeführt wird, kann die Barrierenschicht 358 wieder als eine CMP Stoppschicht dienen. Freigelegte Ecken der Barrierenschicht 358 können während diesem Prozess abgerundet werden, aber auf Grund der höheren Härte des Materials der Barriere wird die Barrierenschicht 358 in wesentlichen dem CMP-Prozess wiederstehen, ohne das dielektrische Material zu beeinträchtigen. Als Ergebnis wird die vertiefte Oberfläche 360a des Metallgebietes 356b gebildet, wobei die vertiefte Oberfläche, auf Grund der entsprechend angepassten CMP-Parametern, im Wesentlichen glatt und im Wesentlichen flach ist.
  • Der CMP-Prozess zum Entfernen des überschüssigen Kupfers, wie in Bezug auf 3b beschrieben, und der CMP-Prozess, um die vertiefte Oberfläche 360a zu bilden, kann in einer Ausführungsform in-situ in einer einzelnen CMP-Einheit 100 ausgeführt werden oder, in anderen Ausführungsformen, auf verschiedenen CMP-Einheiten, zum Beispiel, in dem CMP-System 200. Vorzugsweise kann ein Prozess an einem festen Ort verwendet werden, wenn nur die Andruckskraft und/oder die relative Geschwindigkeit verändert werden. Verschiedene CMP-Einheiten werden verwendet, wenn die Polierkissen 102 in beiden CMP-Prozessen verschiedene Eigenschaften benötigen.
  • 3d stellt eine Damaszener-Struktur 300 nach einem Barrierenmaterial-Entfernungsprozess dar. Obwohl weniger deutlich ausgeprägt, ist die obere Oberfläche 360a des Metallgebietes 356b noch immer deutlich vertieft und Teile der Seitenwände 370a der Öffnung sind freigelegt. Die Seitenwände 370a können durch die Barrierenschicht 358a bedeckt sein. In einer Ausführungsform ist die Oberfläche um annähernd 2 bis 5 nm vertieft. Da der Barrierenentfernungsprozess nach dem Vertiefen der Oberfläche 360a des Metallgebiets 356b durchgeführt wird, kann das Einkerben (Dishing), das bei gewöhnlichen Barrierenentfernungsprozessen auftritt, vermieden oder zumindest reduziert werden. Das Polieren der Barrierenschicht kann durch gut bekannte Barrierenpolierprozesse durchgeführt werden, zum Beispiel, in-situ auf der CMP-Einheit 100, die für den Prozess zum Bilden einer Vertiefung verwendet wurde. In anderen Ausführungsformen kann der Barrierenpolierprozess auf der CMP-Einheit 230 des CMP-Systems 200 durchgeführt werden, nachdem das Substrat 307 von der Einheit 225 zu der Einheit 230 befördert wurde.
  • Es sollte erwähnt werden, dass der CMP Barrierenentfernungsprozess das darrunterliegende Dielektrikum gefährden kann, insbesondere, wenn „weiche" Materialien mit kleinem ε eingesetzt werden. Um dieses Problem zu bewältigen, kann üblicherweise eine dünne Schicht eines härteren Materials, das die benötigte Stabilität aufweißt, auf der dielektrischen Schicht 354 aufgebracht werden, bevor die Öffnung für das Metallgebiet 356 gebildet wird.
  • 3e stellt eine Damaszener-Struktur 300 dar, nachdem eine obere Barrierendeckschicht 362 aufgebracht wurde.
  • Die Deckschicht 362 kann durch CVD oder andere geeignete Techniken gebildet werden, wobei entsprechende Reinigungsprozesse vor dem Bilden der Deckschicht 362 ausgeführt werden können, insbesondere, wenn das metallenthaltende Gebiet 356b Kupfer oder Verbindungen auf Kupferbasis aufweist, da die Oberfläche 360a stark mit der Umgebung oder etwaigen reaktiven Komponenten, die noch auf der Oberfläche 360a nach dem CMP-Vertiefungsprozess vorhanden sind, reagiert. Selbst während des CMP-Prozesses zum Bilden der vertieften Oberfläche 360a, kann die Metalloberfläche mit reaktiven Inhaltsstoffen des CMP- und/oder des Ätzprozesses reagieren, oder kann einfach durch Kontakt mit der Umgebungsatmosphäre während des CMP-Prozesses oxidieren. Insbesondere Kupfer neigt zur Ausbildung von Verfärbungen und Korrosion an der freigelegten Oberfläche 360a, weshalb ein Reinigungsprozess zum Wesentlichen Entfernen ungewünschter verfärbter und/oder oxidierter Bereiche erforderlich ist. Typischerweise wird der Abscheidprozess zur Bildung der Deckschicht 362 mit einem vorhergehenden Reinigungsprozess so kombiniert, dass die gereinigte Oberfläche 360a unmittelbar von der Deckschicht 362 bedeckt wird, wodurch die Oberfläche 360a passiviert und die erneute Bildung oxidierter Bereiche während der weiteren Herstellungsschritte reduziert oder vermieden wird.
  • Die Deckschicht 362 kann ein geeignetes Material aufweisen, das in erster Linie die Diffusion von dem Metall des Metallgebiets 356b in angrenzende Bauteilbereiche effektiv unterdrückt, zum Beispiel in weitere Metallisierungsschichten, die später noch auf der Deckschicht 362 zu bilden sind. Des Weiteren kann die Deckschicht 362 zusätzlich als eine Ätzstoppschicht in einem nachfolgenden Strukturierungsprozess fungieren, zum Bilden von Kontaktdurchführungen, die darrüberliegende Metallisierungsschichten kontaktieren, die noch zu bilden sind. Die Deckschicht 362 kann zwei oder mehr Teilschichten umfassen, um die Vielzahl der Anforderungen zu erfüllen, in Hinsicht auf die Fähigkeit, die Diffusion zu blockieren, und auf die Ätzselektivität, und dergleichen. In einigen Ausführungsformen kann die Deckschicht 362 im Wesentlichen Siliziumnitrid umfassen, das einen ausgezeichneten Diffusionsverminderungseffekt zeigt, in Hinsicht auf eine Vielzahl von Materialien, zu denen auch Kupfer und auf Kupfer basierende Legierungen zählen. Des Weiteren sind Ätzrezepte, die in Hinsicht auf Siliziumdioxid eine angemessene Selektivität zeigen, gut bekannt und im Stand der Technik üblich, so dass Siliziumnitrid oft in Kombination mit Siliziumdioxid zum Bilden einer Metallisierungsschicht verwendet wird. In anderen Fällen, wenn die Dielektriziätskonstante des Dielektrikums, welches die einzelnen Metallleitungen und Metallgebiete voneinander trennt, von Relevanz ist, können Materialien auf der Basis von Siliziumkarbid zum Bilden der Deckschicht 362 benutzt werden. In einigen Ausführungsformen, kann die Bereitstellung von einer anderen Materialzusammensetzung entlang der Tiefenrichtung der Deckschicht 362 als geeignet betrachtet werden oder die Materialzusammensetzung kann variiert werden, um andersartige Eigenschaften an einer Grenzschicht 364 mit der Oberfläche 360a im Vergleich zu der oberen Oberfläche der Deckschicht 362 zu erhalten. Die Dicke der Deckschicht 362 kann von den Eigenschaften abhängen, das heißt, von der Materialzusammensetzung und/oder von der Herstellungstechnik der Deckschicht 362, und kann in einigen Ausführungsformen zwischen ungefähr 10 nm und 70 nm liegen.
  • Auf Grund der tieferliegenden Oberfläche 360a, ist die auf dem Metallgebiet 356b aufgebrachte Deckschicht 362 in der dielektrischen Schicht 354 „eingebettet", so dass die mechanische Stabilität der Grenzschicht 364 zwischen dem Metallgebiet 356b und der Deckschicht 362 im Vergleich zu konventioneller Aufbringung auf nicht tieferliegenden Oberflächen verbessert wird, während gleichzeitig im Gegensatz zu einer geätzten Vertiefung, die Grenzschicht 364 zwischen dem Metallgebiet 356b und der Deckschicht 362 weniger rau ist, so dass die Elektromigrationseigenschaft an der Grenzschicht 364 verbessert werden kann.
  • Die 4a bis 4d stellen nun weitere Ausführungsformen gemäß der vorliegenden Erfindung zum Bilden einer Damnaszener-Struktur 400 dar, wobei der Barrierenentfernungsprozess vor dem CMP-Prozess zum Bilden der Vertiefung durchgeführt wird.
  • 4a zeigt eine Damnaszener-Struktur 400, die ein Substrat 407, eine dielektrische Schicht 454, ein Metallgebiet 456a und eine Barrierenschicht 458a umfasst, nach einem CMP-Prozess zum Entfernen des Barrierenmaterials von einer Struktur, wie sie in 3b gezeigt ist, ausgeführt wird. Der CMP-Barrierenentfernungsprozess kann so durchgeführt werden, wie es in dem Barrierenentfernungsprozess von 3d beschrieben ist.
  • 4b stellt die Damnaszener-Struktur 400 dar nachdem ein vertiefungsbildender CMP-Prozess durchgeführt wurde. Der entsprechende CMP-Prozess kann so wie in 3c beschrieben ausgeführt werden. Im Gegensatz dazu kann die Barrierenschicht nicht als eine CMP Stoppschicht fungieren, so dass während des Prozesses zum Bilden der Vertiefung auch dielektrisches Material von der Schicht 454 entfernt werden kann. Folglich kann die Dicke der abgeschiedenen dielektrischen Schicht 454, wenn es erforderlich ist, dementsprechend vergrößert werden. Das gilt insbesondere für Materialien mit kleinem ε und für jede Deckschicht, die aufgebrachte wurde um die Schicht mit kleinem ε zu stabilisieren, wie es in Bezug zu 3d beschrieben ist. Die freiliegenden Ecken der Öffnung der Struktur 400 können mehr abgerundet werden als die entsprechenden Ecken der Struktur 300, da die abgerundeten Ecken der Struktur 300 in dem anschließenden Barrierenentfernungsschritt entfernt werden.
  • 4c stellt die Damnaszener-Struktur 400 dar, nachdem eine Barrierendeckschicht 462 aufgebracht wurde. In Anwendungen für hochgetaktete Halbleiterbauelemente, zum Beispiel, in aktuellen Mikroprozessoren, kann die Dielektrizitätskonstante der Barrierendeckschicht unzulässig die Gesamtdielektrizitätskonstante des gebildeten Schichtstapels, der durch die dielektrischen Schicht 454 und die Deckschicht 462 gebildet wird, erhöhen. Da die Deckschicht 462 auf einer tieferliegenden Oberfläche 460a eines Metallgebietes 456b aufgebracht wird, kann die Deckschicht 462 von der dielektrischen Schicht 454 durch einen CMP-Prozess entfernt werden, so dass die Gesamtdielektrizitätskonstante verkleinert wird, während eine zuverlässige Barrieren- und Ätzstoppschicht 462a auf dem Metallgebiet 456b noch erhalten bleibt, wie in 4d dargestellt. Eine ähnliche Damnaszener-Struktur kann erhalten werden, indem man die Struktur, wie sie in 3e dargestellt ist, einem entsprechendem CMP-Prozess unterwirft. Der CMP-Prozess ebnet die obere Oberfläche der Struktur ein, damit die weitere Bearbeitungen des Substrats 407, zum Beispiel, in einem nachfolgendem Fotolithografie-Prozess, erleichtert wird. Da die Barrierendeckschicht 462a, nach dem Barrierenpolierprozess, nur noch das Metallgebiet 456b bedeckt, kann die Barrierendeckschicht 462a ein leitendes Material umfassen, wie etwa Ta und/oder TaN oder dergleichen. Entsprechende CMP-Prozesse für Barrierenschichten, zum Beispiel, zum Polieren von Siliziumnitrid oder Ta/TaN sind gut bekannt. Ein weiterer Herstellungsprozess, der zu einer ähnlichen Damnaszener-Struktur führt, ohne den zusätzlichen CMP-Prozess für Barrierenschichten, wird im Folgendem in 5a und 5b dargestellt.
  • 5a zeigt schematisch eine Damnaszener-Struktur 500, die durch das Aufbringen einer Barrierendeckschicht 562 auf eine Struktur, wie sie in 3c gezeigt ist, gebildet werden kann. Ferner umfasst die Struktur 500 des Weiteren ein Substrat 507, eine dielektrische Schicht 554, eine Barrierenschicht 558 und ein Metallgebiet 556b. Die Barrierendeckschicht 562 kann, wie zuvor schon dargelegt, ein dielektrisches oder ein leitendes Material umfassen, das das erforderliche Barrierenverhalten und die erforderliche Ätzselektivität aufweist, wie zum Beispiel, SiN, SiC, Ta und/oder TaN. In einer speziellen Ausführungsform umfasst die Barrierendeckschicht 562 die gleichen Materialien wie die Barrierenschicht 558, so dass sowohl die Barrierenschicht 558 und die Schicht 562 in einem gemeinsamen CMP-Prozess leicht entfernt werden können.
  • 5b zeigt schematisch eine Damnaszener-Struktur 500 nach einem Barrierenentfernungs-CMP-Prozess, der die Barrierenschichten 558a und 562a bildet, die das Metallgebiet 556b einkapseln. Bei einer Kupferverbindungsleitung können beide Barrierenschichten 558a und 562a, zum Beispiel, Ta und/oder TaN umfassen. Folglich kann die Barrierendeckschicht auch zur Leitfähigkeit der Verbindungsleitung beitragen. Wenn die Ätzselektivität einer leitenden Barrierendeckschicht 562a ungenügend ist, kann die Barrierendeckschicht 562a zumindest als eine Ätzindikatorschicht dienen, um zuverlässig einen Trockenätzprozess zu steuern, wobei die Atmosphäre in der Ätzkammer analysiert wird um ein Ätzstoppsignal zu erzeugen, wenn die Konzentration des Barrierenmaterials wesentlich erhöht ist.
  • Als Ergebnis bietet die vorliegende Erfindung eine Technik, die das Bilden einer tieferliegenden oberen Oberfläche einer Verbindungsleitung ermöglicht, um eine eingebettete Barrierendeckschicht auf der Verbindungsleitung zu bilden, um verbesserte Eigenschaften in Bezug auf Elektromigration, elektrische Leitfähigkeit, Zuverlässigkeit und Funktion der Halbleiterbauelemente zu erhalten. Die tieferliegende obere Oberfläche der Verbindungsleitung wird durch einen entsprechend angepassten CMP-Prozess gebildet, der es erlaubt das Metall eines oberen Teils der Verbindungsleitung zu entfernen, während die benachbarten höherliegenden Barrierenschichtgebiete im Wesentlichen nicht beeinflusst werden.
  • Weitere Modifizierungen und Variationen der vorliegenden Erfindung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der vorliegenden Erfindung zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen der Erfindung als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (29)

  1. Ein Verfahren mit: Bilden einer dielektrischen Schicht über einem Substrat; Bilden eines Metallgebietes in der dielektrischen Schicht, wobei das Metallgebiet eine freiliegende Oberfläche aufweist; Einstellen von Parametern eines chemisch-mechanischen Polierprozesses zum Polieren der freiliegenden Oberfläche; und Ausführen eines chemisch-mechanischen Polierprozesses auf der freiliegenden Oberfläche mit den Parametern, um eine tieferliegende Oberfläche des Metallgebietes zu bilden.
  2. Das Verfahren nach Anspruch 1, das ferner Bilden einer Barrierendeckschicht auf der tieferliegenden Oberfläche umfasst.
  3. Das Verfahren nach Anspruch 1, wobei das Metallgebiet Kupfer umfasst.
  4. Das Verfahren nach Anspruch 1, wobei das Bilden eines Metallgebietes in der dielektrischen Schicht umfasst: Bilden einer Öffnung in der dielektrischen Schicht; Abscheiden einer Metallschicht auf der dielektrischen Schicht und in der Öffnung mittels eines Plattierprozesses; und Ausführen eines chemisch-mechanischen Polierprozesses, um überschüssiges Metall von der dielektrischen Schicht zu entfernen.
  5. Das Verfahren nach Anspruch 4, das ferner umfasst: Abscheiden einer Barrierenschicht vor dem Abscheiden der Metallschicht; und teilweises Entfernen der Barrierenschicht.
  6. Das Verfahren nach Anspruch 4, wobei das chemisch-mechanische Polieren der freiliegenden Oberfläche nach dem chemisch-mechanischen Polieren durchgeführt wird, um überschüssiges metall von der dielektrischen Schicht zu entfernen.
  7. Das Verfahren nach Anspruch 6, wobei beim chemisch-mechanischen Polieren der freiliegenden Oberfläche die Menge eines Komplexbildners und/oder eine Andruckkraft erhöht wird im Vergleich zum CMP zum Entfernen des überschüssigen Metalls.
  8. Das Verfahren nach Anspruch 7, wobei die Menge des Komplexbildners um einen Faktor von ungefähr 2 bis 10 erhöht wird.
  9. Das Verfahren nach Anspruch 7, wobei die Menge des Komplexbildners um einen Faktor von ungefähr 4 erhöht wird.
  10. Das Verfahren nach Anspruch 7, wobei die Andruckkraft ungefähr im Bereich von 5 bis 7 psi liegt.
  11. Das Verfahren nach Anspruch 6, wobei beim chemisch-mechanischen Polierprozess der freiliegenden Oberfläche eine relative Geschwindigkeit zwischen dem Substrat und einem Polierkissen reduziert ist im Vergleich zum CMP zum Entfernen des überschüssigen Metalls.
  12. Das Verfahren nach Anspruch 11, wobei die relative Geschwindigkeit zwischen dem Substrat und dem Polierkissen kleiner als ungefähr 50 m/min ist.
  13. Das Verfahren nach Anspruch 6, wobei eine Härte des Polierkissens reduziert ist im Vergleich zum CMP zum Entfernen des überschüssigen Metalls.
  14. Das Verfahren nach Anspruch 11, wobei das Polierkissen eine geprägte Struktur aufweist.
  15. Das Verfahren nach Anspruch 6, wobei der chemisch-mechanische Polierprozess der freiliegenden Oberfläche in-situ mit dem CMP zum Entfernen des überschüssigen Metalls durchgeführt wird.
  16. Das Verfahren nach Anspruch 1, wobei eine Polierzeit des chemisch-mechanischen Polierprozesses der freiliegenden Oberfläche im Bereich von ungefähr 10 bis 30 s liegt.
  17. Das Verfahren nach Anspruch 5, wobei der chemisch-mechanische Polierprozess der freiliegenden Oberfläche nach dem Entfernen der Barrierenschicht durchgeführt wird
  18. Das Verfahren nach Anspruch 17, wobei der chemisch-mechanische Polierprozess der freiliegenden Oberfläche in-situ mit dem CMP zum Entfernen der Barrierenschicht durchgeführt wird.
  19. Das Verfahren nach Anspruch 5 und 2, wobei die Barrierenschicht und die Barrierendeckschicht das gleiche Material aufweisen.
  20. Das Verfahren nach Anspruch 19, wobei die Barrierenschicht und die Barrierendeckschicht in einem gemeinsamen Polierprozess chemisch-mechanisch poliert werden.
  21. Eine Damaszener-Struktur mit: einer dielektrischen Schicht, die über einem Substrat gebildet ist; ein Metallgebiet, das in der dielektrischen Schicht gebildet ist; ein elektrisch leitfähiges Barrierendeckschichtgebiet, das über dem Metallgebiet gebildet ist.
  22. Die Damaszener-Struktur nach Anspruch 21, wobei das Metallgebiet Kupfer aufweist.
  23. Die Damaszener-Struktur nach Anspruch 22, wobei das Material des Barrierendeckschichtgebiets Tantal und/oder Tantalnitrid und/oder Titan und/oder Titannitrid aufweist.
  24. Die Damaszener-Struktur nach Anspruch 21, wobei eine Dicke des Barrierendeckschichtgebiets im Bereich von ungefähr 5 bis 50 nm liegt.
  25. Eine Damaszener-Struktur mit: einer dielektrischen Schicht, die über einem Substrat gebildet ist; ein Metallgebiet, das in der dielektrischen Schicht gebildet ist; ein Barrierendeckschichtgebiet, das über dem Metallgebiet in der dielektrischen Schicht gebildet ist.
  26. Die Damaszener-Struktur nach Anspruch 25, wobei das Metallgebiet Kupfer aufweist.
  27. Die Damaszener-Struktur nach Anspruch 25, wobei das Material des Barrierendeckschichtgebiets ein Material aufweist, das sich von dem Material der dielektrischen Schicht unterscheidet.
  28. Die Damaszener-Struktur nach Anspruch 25, wobei das Material des Barrierendeckschichtgebiets Siliziumnitrid und/oder Siliziumcarbid aufweist.
  29. Die Damaszener-Struktur nach Anspruch 25, wobei das Barrierendeckschichtgebiets seitlich mit der dielektrischen Schicht in Kontakt ist.
DE102005004384A 2005-01-31 2005-01-31 Verfahren zur Herstellung einer definierten Vertiefung in einer Damaszener-Struktur unter Verwendung eines CMP Prozesses und eine Damaszener-Struktur Withdrawn DE102005004384A1 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102005004384A DE102005004384A1 (de) 2005-01-31 2005-01-31 Verfahren zur Herstellung einer definierten Vertiefung in einer Damaszener-Struktur unter Verwendung eines CMP Prozesses und eine Damaszener-Struktur
US11/198,037 US20060172527A1 (en) 2005-01-31 2005-08-05 Method for forming a defined recess in a damascene structure using a CMP process and a damascene structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102005004384A DE102005004384A1 (de) 2005-01-31 2005-01-31 Verfahren zur Herstellung einer definierten Vertiefung in einer Damaszener-Struktur unter Verwendung eines CMP Prozesses und eine Damaszener-Struktur

Publications (1)

Publication Number Publication Date
DE102005004384A1 true DE102005004384A1 (de) 2006-08-10

Family

ID=36709510

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102005004384A Withdrawn DE102005004384A1 (de) 2005-01-31 2005-01-31 Verfahren zur Herstellung einer definierten Vertiefung in einer Damaszener-Struktur unter Verwendung eines CMP Prozesses und eine Damaszener-Struktur

Country Status (2)

Country Link
US (1) US20060172527A1 (de)
DE (1) DE102005004384A1 (de)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7470612B2 (en) * 2005-09-13 2008-12-30 Samsung Electronics Co, Ltd. Method of forming metal wiring layer of semiconductor device
JP4237201B2 (ja) * 2006-06-02 2009-03-11 エルピーダメモリ株式会社 半導体装置の製造方法及び半導体装置の製造装置
US7576003B2 (en) * 2006-11-29 2009-08-18 International Business Machines Corporation Dual liner capping layer interconnect structure and method
US20080265416A1 (en) * 2007-04-27 2008-10-30 Shen-Nan Lee Metal line formation using advaced CMP slurry
US20090039512A1 (en) * 2007-08-08 2009-02-12 International Business Machines Corporation Electromigration resistant interconnect structure
US7803704B2 (en) * 2008-08-22 2010-09-28 Chartered Semiconductor Manufacturing, Ltd. Reliable interconnects
DE102009043628B4 (de) * 2009-09-30 2011-12-01 Globalfoundries Dresden Module One Llc & Co. Kg Verbesserte Füllbedingungen in einem Austauschgateverfahren durch Ausführen eines Polierprozesses auf der Grundlage eines Opferfüllmaterials
US10741748B2 (en) * 2018-06-25 2020-08-11 International Business Machines Corporation Back end of line metallization structures
US10832946B1 (en) 2019-04-24 2020-11-10 International Business Machines Corporation Recessed interconnet line having a low-oxygen cap for facilitating a robust planarization process and protecting the interconnect line from downstream etch operations

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5486129A (en) * 1993-08-25 1996-01-23 Micron Technology, Inc. System and method for real-time control of semiconductor a wafer polishing, and a polishing head
US6228771B1 (en) * 2000-03-23 2001-05-08 Infineon Technologies North America Corp. Chemical mechanical polishing process for low dishing of metal lines in semiconductor wafer fabrication
US6274499B1 (en) * 1999-11-19 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Method to avoid copper contamination during copper etching and CMP
US6380084B1 (en) * 2000-10-02 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method to form high performance copper damascene interconnects by de-coupling via and metal line filling
US6693035B1 (en) * 1998-10-20 2004-02-17 Rodel Holdings, Inc. Methods to control film removal rates for improved polishing in metal CMP
US6709316B1 (en) * 2000-10-27 2004-03-23 Applied Materials, Inc. Method and apparatus for two-step barrier layer polishing

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5380546A (en) * 1993-06-09 1995-01-10 Microelectronics And Computer Technology Corporation Multilevel metallization process for electronic components
US5639697A (en) * 1996-01-30 1997-06-17 Vlsi Technology, Inc. Dummy underlayers for improvement in removal rate consistency during chemical mechanical polishing
US6870263B1 (en) * 1998-03-31 2005-03-22 Infineon Technologies Ag Device interconnection
US5897426A (en) * 1998-04-24 1999-04-27 Applied Materials, Inc. Chemical mechanical polishing with multiple polishing pads
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6391779B1 (en) * 1998-08-11 2002-05-21 Micron Technology, Inc. Planarization process
US6100195A (en) * 1998-12-28 2000-08-08 Chartered Semiconductor Manu. Ltd. Passivation of copper interconnect surfaces with a passivating metal layer
US6251786B1 (en) * 1999-09-07 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to create a copper dual damascene structure with less dishing and erosion
US6468910B1 (en) * 1999-12-08 2002-10-22 Ramanathan Srinivasan Slurry for chemical mechanical polishing silicon dioxide
US6368953B1 (en) * 2000-05-09 2002-04-09 International Business Machines Corporation Encapsulated metal structures for semiconductor devices and MIM capacitors including the same
US6740591B1 (en) * 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020155795A1 (en) * 2001-04-24 2002-10-24 Mark Ferra Optical endpoint detection for buff module on CMP tool
US6975032B2 (en) * 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US6927113B1 (en) * 2003-05-23 2005-08-09 Advanced Micro Devices Semiconductor component and method of manufacture

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5486129A (en) * 1993-08-25 1996-01-23 Micron Technology, Inc. System and method for real-time control of semiconductor a wafer polishing, and a polishing head
US6693035B1 (en) * 1998-10-20 2004-02-17 Rodel Holdings, Inc. Methods to control film removal rates for improved polishing in metal CMP
US6274499B1 (en) * 1999-11-19 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Method to avoid copper contamination during copper etching and CMP
US6228771B1 (en) * 2000-03-23 2001-05-08 Infineon Technologies North America Corp. Chemical mechanical polishing process for low dishing of metal lines in semiconductor wafer fabrication
US6380084B1 (en) * 2000-10-02 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method to form high performance copper damascene interconnects by de-coupling via and metal line filling
US6709316B1 (en) * 2000-10-27 2004-03-23 Applied Materials, Inc. Method and apparatus for two-step barrier layer polishing

Also Published As

Publication number Publication date
US20060172527A1 (en) 2006-08-03

Similar Documents

Publication Publication Date Title
DE102005004384A1 (de) Verfahren zur Herstellung einer definierten Vertiefung in einer Damaszener-Struktur unter Verwendung eines CMP Prozesses und eine Damaszener-Struktur
DE102010028460B4 (de) Verfahren zum Herstellen eines Halbleiterbauelements mit einer reduzierten Defektrate in Kontakten, das Austauschgateelektrodenstrukturen unter Anwendung einer Zwischendeckschicht aufweist
DE102008016427B4 (de) Drahtbonden auf reaktiven Metalloberflächen einer Metallisierung eines Halbleiterbauelements durch Vorsehen einer Schutzschicht
DE102005046975A1 (de) Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
DE102008021568B3 (de) Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
DE102004037089A1 (de) Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
DE102008016431B4 (de) Metalldeckschicht mit erhöhtem Elektrodenpotential für kupferbasierte Metallgebiete in Halbleiterbauelementen sowie Verfahren zu ihrer Herstellung
DE112005001489T5 (de) Atomlagenabgeschiedene Tantal enthaltende Haftschicht
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102007046846A1 (de) Seitenwandschutzschicht
DE102008049775A1 (de) Metalldeckschicht mit besserer Ätzwiderstandsfähigkeit für kupferbasierte Metallgebiete in Halbleiterbauelementen
DE102006051491A1 (de) Metallisierungsschichtstapel mit einer Aluminiumabschlussmetallschicht
DE102011002769A1 (de) Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102009010844B4 (de) Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen
DE102010063294B4 (de) Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
DE102006056626A1 (de) Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
DE102007009912B4 (de) Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema
DE102008044964B4 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen
DE102008054068A1 (de) Veringern von Metallhohlräumen in einem metallischen Schichtstapel eines Halbleiterbauelements durch Vorsehen einer dielektrischen Barrierenschicht
US6524957B2 (en) Method of forming in-situ electroplated oxide passivating film for corrosion inhibition
DE102004003863A1 (de) Technik zur Herstellung eingebetteter Metallleitungen mit einer erhöhten Widerstandsfähigkeit gegen durch Belastung hervorgerufenen Materialtransport
DE10351005B4 (de) Barrierenschicht mit einer Titannitridbeschichtung für eine Kupfermetallisierungsschicht, die ein Dielektrikum mit kleinem ε aufweist
DE102007035837A1 (de) Halbleiterbauelement mit einer Kornorientierungsschicht
DE10339990B4 (de) Verfahren zur Herstellung einer Metallleitung mit einer erhöhten Widerstandsfähigkeit gegen Elektromigration entlang einer Grenzfläche einer dielektrischen Barrierenschicht mittels Implantieren von Material in die Metalleitung
DE102008049720B4 (de) Verfahren zum Passivieren freigelegter Kupferoberflächen in einer Metallisierungsschicht eines Halbleiterbauelements

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8127 New person/name/address of the applicant

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

8128 New person/name/address of the agent

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

R016 Response to examination communication
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20140801