KR20010043742A - 고순도 가스를 분배하기 위한 흡착제 주성분 가스 저장 및전달 시스템 - Google Patents

고순도 가스를 분배하기 위한 흡착제 주성분 가스 저장 및전달 시스템 Download PDF

Info

Publication number
KR20010043742A
KR20010043742A KR1020007013096A KR20007013096A KR20010043742A KR 20010043742 A KR20010043742 A KR 20010043742A KR 1020007013096 A KR1020007013096 A KR 1020007013096A KR 20007013096 A KR20007013096 A KR 20007013096A KR 20010043742 A KR20010043742 A KR 20010043742A
Authority
KR
South Korea
Prior art keywords
gas
storage
fluid
vessel
dispensing
Prior art date
Application number
KR1020007013096A
Other languages
English (en)
Other versions
KR100641087B1 (ko
Inventor
스티븐 제이. 헐트퀴스트
글렌 엠. 톰
피터 에스. 킬린
제임스 브이. 맥마누스
Original Assignee
바누치 유진 지.
어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 바누치 유진 지., 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 filed Critical 바누치 유진 지.
Publication of KR20010043742A publication Critical patent/KR20010043742A/ko
Application granted granted Critical
Publication of KR100641087B1 publication Critical patent/KR100641087B1/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/02Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by adsorption, e.g. preparative gas chromatography
    • B01D53/04Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by adsorption, e.g. preparative gas chromatography with stationary adsorbents
    • B01D53/0407Constructional details of adsorbing systems
    • B01D53/0446Means for feeding or distributing gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/02Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by adsorption, e.g. preparative gas chromatography
    • B01D53/04Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by adsorption, e.g. preparative gas chromatography with stationary adsorbents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/22Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by diffusion
    • B01D53/229Integrated processes (Diffusion and at least one other process, e.g. adsorption, absorption)
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/34Chemical or biological purification of waste gases
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C11/00Use of gas-solvents or gas-sorbents in vessels
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2253/00Adsorbents used in seperation treatment of gases and vapours
    • B01D2253/10Inorganic adsorbents
    • B01D2253/102Carbon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2253/00Adsorbents used in seperation treatment of gases and vapours
    • B01D2253/10Inorganic adsorbents
    • B01D2253/104Alumina
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2253/00Adsorbents used in seperation treatment of gases and vapours
    • B01D2253/10Inorganic adsorbents
    • B01D2253/106Silica or silicates
    • B01D2253/108Zeolites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2253/00Adsorbents used in seperation treatment of gases and vapours
    • B01D2253/20Organic adsorbents
    • B01D2253/202Polymeric adsorbents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2256/00Main component in the product gas stream after treatment
    • B01D2256/26Halogens or halogen compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2258/00Sources of waste gases
    • B01D2258/02Other waste gases
    • B01D2258/0216Other waste gases from CVD treatment or semi-conductor manufacturing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2259/00Type of treatment
    • B01D2259/45Gas separation or purification devices adapted for specific applications
    • B01D2259/4525Gas separation or purification devices adapted for specific applications for storage and dispensing systems
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C2223/00Handled fluid before transfer, i.e. state of fluid when stored in the vessel or before transfer from the vessel
    • F17C2223/01Handled fluid before transfer, i.e. state of fluid when stored in the vessel or before transfer from the vessel characterised by the phase
    • F17C2223/0107Single phase
    • F17C2223/0123Single phase gaseous, e.g. CNG, GNC
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C2270/00Applications
    • F17C2270/05Applications for industrial use
    • F17C2270/0518Semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/31701Ion implantation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S95/00Gas separation: processes
    • Y10S95/90Solid sorbent
    • Y10S95/901Activated carbon
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S95/00Gas separation: processes
    • Y10S95/90Solid sorbent
    • Y10S95/902Molecular sieve

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Biomedical Technology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Filling Or Discharging Of Gas Storage Vessels (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • Solid-Sorbent Or Filter-Aiding Compositions (AREA)

Abstract

흡착제 주성분 가스 저장 및 분배 시스템은 가스가 물리적으로 흡착된 고상의 물리적 흡착 매체(17)를 포함하는 저장 및 분배용기(10)를 포함한다. 화학흡착물질(138)이 가스상 제거를 위해 불순물을 화학 흡착하도록 용기(10)에 제공된다. 탈착된 흡착가스는 그곳에 결합된 분배 매니폴드(12)에 의해 용기(10)로부터 배출된다. 화학흡착물질(138)은 불순물은 침투할 수 있지만 흡착가스는 침투할 수 없는 멤브레인(136)을 포함하는 캡슐에 제공될 수 있으며, 또한 흡착매체(17)의 부가시 용기(10)에 설치될 수 있다. 반도체 제조방법 및 그 방법에 의해 제조된 제품들이 설명된다.

Description

고순도 가스를 분배하기 위한 흡착제 주성분 가스 저장 및 전달 시스템{SORBENT-BASED GAS STORAGE AND DELIVERY SYSTEM FOR DISPENSING HIGH-PURITY GAS}
광범위한 산업상 공정 및 적용에 있어서, 콤팩트하고, 휴대가능하며 필요에 따라 처리유체를 공급하는데 유용한 신뢰성이 있는 처리 유체의 출처가 필요하다. 그 같은 산업상 공정 및 응용들은 반도체 제조, 이온주입, 평판 디스플레이의 제조, 의료치료, 수 처리, 비상 호흡 설비, 용접 작업, 액체 및 가스의 공급을 수반하는 우주 산업 적용 등을 포함한다. 전술된 필요성들은, 전자 디바이스 집적도를 점진적으로 증가시키고 웨이퍼의 크기를 증가시키기 때문에, 높은 수준의 처리 신뢰성 및 효과를 요구하는 반도체 제조 산업에서 특히 절실하다.
1998년 5월 17일 칼 오. 놀뮬러에게 허여된 미국특허 제4,744,221호에 아르신(arsine)을 저장한 후 전달하는 방법이 개시되어 있다. 이 특허에 개시된 방법에 있어서, 아르신은 약 -30℃ 내지 +30℃의 온도에서 약 5 내지 15Å 범위내의 흡수공 크기를 갖는 지올라이트와 접촉하여 그 제올라이트에 흡수된다. 아르신은, 제올라이트물질로부터 그 아르신을 해제시키기에 충분한 시간동안 약 175℃의 까지의 상승된 온도로 제올라이트를 가열함으로써 연속적으로 분배되는 것이다.
놀뮬러 특허에 개시된 방법은 제올라이트물질에 대한 가열 수단의 제공을 필요로 한다는 단점이 있는바, 이 가열수단은 원하는 양으로 제올라이트로부터 사전에 흡수된 아르신을 배출시키기에 충분한 온도까지 제올라이트를 가열하도록 구성되어 배치되어야 한다.
아르신 지지 제올라이트를 유지시키기 위해 용기 외측의 다른 수단 또는 가열 재킷을 사용하는 것은, 용기가 전형적으로 현저한 열용량을 지니고 이에 따라 분배작동에 대한 현저한 지연 시간을 초래한다는 문제점이 있다. 더욱이, 아르신을 가열하면 그것의 분해가 초래되며, 그 결과 수소가스가 형성되어 처리 시스템 내에서 폭발할 수 있는 위험성을 초래할 수 있다. 부가적으로, 그 같은 아르신의 열적 원인 분해는 처리 시스템에서 가스압의 실제적인 증가를 초래하며, 시스템 수명 및 작동 효율의 견지에서 심각한 단점으로 될 수 있다.
제올라이드 베드(zeolite bed) 자체에 내설되는 가열 코일이나 다른 가열 요소를 제공하더라도 그 같은 수단으로는 제올라이트 베드를 균일하게 가열하여 아르신 가스를 균일하게 방출하기가 곤란하기 때문에 문제가 있다.
봉쇄용기에서 제올라이트의 베드를 통해 통과된 가열 캐리어 가스를 사용하는 것은 전술된 결점들을 극복할 수 있지만, 아르신의 가열된 캐리어 가스 배출을 달성하기에 필요한 온도들이 바람직하지 못하게 높게 될 수 있거나 아니면 아르신 가스의 최종 용도로 적합하지 못할 수 있으므로, 최종적 사용을 위해서는 분배된 가스의 상태를 조절해야 한다.
그렌 엠. 톰 과 제임스 브이. 맥매너스에게 1996년 5월 21일 허여된 미국특허 제 5,518,528호에는 예컨대, 수소화물 가스, 할로겐 화합물 가스, 유기금속 5족 화합물 등과 같은 가스의 저장 및 분배를 위한 가스 저장 및 분배 시스템이 개시되어 있는바, 이는 놀뮬러 특허에 개시된 가스 공급 방법의 여러 단점을 극복한다.
톰 등의 특허의 가스 저장 및 분배 시스템은 가스의 저장 및 분배를 위해 수착-탈착(adsorption-desorption) 장치를 포함하는 바, 그 장치는 고상의 물리적 흡착제를 보유하며 가스가 내외로 선택적으로 유동할 수 있도록 배치되는 저장 및 분배 용기를 포함한다. 흡착가스는 흡착제에 물리적으로 흡착된다. 분배 조립체는 저장 및 분배 용기와 가스 유동 연통적으로 연결되며, 용기의 외측에서 그 용기의 내부 압력보다 낮은 압력을 제공하여 고체상의 물리적 흡착제 매체로부터 흡착의 탈착을 이룰 수 있으며 또한 분배 조립체를 통해 탈착된 가스의 유동을 이룰 수 있다. 가열 수단이 탈착 프로세스를 증대시키기 위해 채용될 수 있지만, 전술된 바와 같이 가열하는 것은 수착/탈착 시스템에 대한 다양한 단점들을 초래하며, 이에 따라 흡착제 매체로부터 흡착성 가스의 압력차에 의한 방출에 의해 적어도 부분적으로 실행되는 탈착방식으로 틈 등의 시스템을 작동시키는 것이 바람직하다.
톰 등의 특허의 저장 및 분배 용기는 본 기술분야에서, 예컨대 처리가스를 제공하도록 반도체 제조산업에 통상적으로 사용되고 있는 바와 같은 고압 가스 실린더를 사용하는 종래 기술에 비해 실제적인 진보성을 구현한 것이다. 통상의 고압가스 실린더는 손상으로 인한 가스의 누출 또는 조절기 조립체들의 작동불능을 초래할 수 있으며 실린더 내부의 가스압이 허용한 계치를 초과하는 경우 실린더로부터의 가스의 누출 및 바람직하지 못한 거대한 방출을 초래할 수 있다.
따라서, 톰 등의 특허의 가스 저장 및 분배 시스템은, 가스가 예컨대 제올라이트, 활성 탄소 및/또는 다른 흡착물질 같은 캐리어 흡착제에 가열적으로 흡수되는 용기를 제공함으로써 저장된 흡착가스의 압력을 감소시킨다.
반도체 제조에 대해 더 상세히 고찰하면, 반도체 제조에 사용된 많은 공정에는, 예컨대 유독성, 가연성 또는 자연성(pyrophoric)의 유해물질에 증기 상태로 이용된다. 여러 경우에서의 제조 공정의 안정성은 현재 사용된 가스공급원을 교체함으로써 현저하게 개선될 수 있다. 특히, 헥사메틸디실라제인(HMDS)과 클로로트리메틸실란(CITMS)이 웨이퍼에 대한 포토레지스트의 접착성을 증대시키기 위한 프라이머(primer)로서 사용된다. HMDS 및 CITMS는 웨이퍼상에 쳐질 수 있지만 일반적으로 스프레이 또는 증기로 도포된다. 포토레지스트 현상기 및 스트리퍼는 정상적으로 액체로 사용되지만 증기로 사용될 수도 있는바; 이 같은 재료들은 산 또는 염(유기질 또는 무기질)이며 방향성 기능을 지닐 수 있다. 이 같은 모든 물질들의 사용의 안전성은 반도체 제조 설비의 공급 및 사용의 현재 모드로부터 개선될 수 있다.
일반적으로, 반도체의 제조는 매우 낮은 오염 수준을 요구한다. 전형적인 제조 설비들은 수십/㎠의 결합 밀도를 갖는 완성된 웨이퍼들을 생산한다. 장비의 청결도를 유지하는 것이 가격 경쟁력면에서 프로세스 플로우를 실현하는 것이 필수적이다. 본래 챔버의 청결은 대부분의 처리 장치에 대해서 통상적이다. 이 같은 청결공정에 사용된 많은 개선점 또는 높은 증기압은 다음과 같은 하나 이상의 특징 즉; 유독성, 가연성, 자연성 및/또는 (소위 지구 온난화 가스에 의한) 오존층의 파괴성을 나타낸다. 세정공정의 안전성은 최근 개선된 가스 공급원을 교체함으로서 현저하게 개선될 수 있다.
전술된 세정 시약에 부가하여, 반도체 제조에 사용된 많은 다른 프로세스 가스들은 다음과 같은 하나 이상의 특징 즉; 유독성, 가연성 또는 자연성을 나타낸다. 특히, 화학증착(CVD) 프로세스는, 많은 경우 현저한 건강 및 안전성에 관련되는 기체 또는 액체 공급 스톡으로 수행된다. 그 같은 가스는 반도체 구조를 형성하는 개별층들을 생성하는데 필수적이지만, 제조공정의 안전성은 현재의 통상적인 반도체 제조 실행에 이용된 유체 공급원을 교체함으로써 현저하게 개선될 수 있다.
미국 특허 제5,518,528호에 개시된 일반적인 형태의 저장 및 분배 시스템에 관련된 심각한 문제점은 수소화물 가스와 같은 저장 가스가 분해된다는 점이다. '528 특허는 수소 가스를 형성하기 위해 아르신의 저하와 같은 분해 작용을 실행하거나 촉매 반응할 수 있는 소량의 물질의 매우 낮은 수준을 포함하는 흡착재료를 이용함으로써, 저장된 가스의 분해 반응에의 발생에 관련된 문제들을 최소화하기 위해 시도하였다. 수소가스는 가연성이며 관련된 안전성의 저하를 초래할 뿐 아니라, 저장된 가스의 압력을 대기압 또는 근사 대기압의 원하는 수준을 초과하여 증가시킨다.
흡착 물질에서의 변화는 제조, 저장 조건 등의 결과에 따라 발생할 수 있으며, 또한 어느 경우 더 경제적인 등급의 흡착물질을 이용하는 것이 바람직하기 때문에, 가스 분해에서 일어날 수 있는 유해한 결과 없이 그 같은 유연성을 지니는 가스 저장 및 분배 시스템을 제공하는 것이 바람직한 것이다.
그러므로, 본 발명의 목적은 본 기술분야에서 전술된 여러 가지 결점들을 극복하기 위한 가스 저장 및 분배 시스템과 관련된 반도체 제조장치, 시스템 및 방법을 제공하는데 있다.
본 발명의 다른 목적 및 장점들은 후술 설명 및 첨부된 청구범위로부터 더 명백해질 것이다.
본 발명은 가스가 흡수되어 있다가 분배 작업시 그 가스가 배출되는 물리적 흡착제 매체(sorbent medium)를 포함하는 저장 및 분배 용기로부터 가스를 선택적으로 분배하기 위한 저장 및 분배 시스템에 관한 것이다. 본 발명은 또한 시약 공급을 위한 그 같은 저장 및 분배 시스템들을 이용하는 반도체 제조시스템 및 방법, 그 반도체 제조방법에 의해 획득된 전자 디바이스 구조체, 및 그 전자 디바이스 구조체를 포함하는 최종 사용 제품들에 관한 것이다.
도 1은 본 발명의 하나의 실시예에 따른 저장 및 전달 시스템의 개략도.
도 2는 본 발명의 하나의 실시예에 따른 저장 및 분배장치의 일부를 절취 도시한 측면도로서, 본 발명의 일면에 따른 내부에 배치된 화학적 흡착 캡슐을 보여주는 측면도.
도 3은 도 2에 도시된 형태의 화학적 흡착 캡슐의 부분 절취 측면도.
도 4는 본 발명의 하나의 실시예에 따른 저장 및분배 용기의 개략적 사시도로서, 반도체 제조 공정 시스템에 대한 유체 분배 관계를 보여주는 사시도.
도 5는 설명된 이온 주입 챔버에서의 흡착제의 이온 주입 도핑을 위해 공급되는 가스를 포함하는 저장 및 분배 용기를 포함하는 이온 주입 처리 시스템의 개략도.
도 6은 도 3에 도시된 프로세스 시스템에 형성되는 NMOS 트랜지스터 구조체의 횡단면도로서, 도핑된 소스 및 드레인 영역을 포함하는 횡단면도.
도 7은 도 1에 도시된 형태의 저장 및 분배 용기로부터 분배된 가스 시약의 사용으로 형성된 구조적 특징을 포함하는 스태틱 랜덤 액세스 메모리(SRAM)의 일부를 보여주는 부분 측면도.
도 8은 본 발명의 방법에 따라 제조될 수 있는 바와 같은 집적 캐패시터를 갖는 집적회로의 일부를 보여주는 부분 단면도.
본 발명은 예컨대, 수소화물 가스, 할로겐 화합물 가스, 유기질 금속성 V족 화합물 등과 같은 가스의 저장 및 분배를 위해 수착-탈착 장치 및 방법을 구현한다.
하나의 장치 형태에 있어서, 본 발명은
흡수가스가 물리적으로 흡수된 고상(solid-phase)의 물리적 흡착제 매체(sorbent medium)를 포함하는 저장 및 분배용기;
흡수가스의 불순물에 대한 화학적 수착성 친화력(chemisorptive affinity)을 지니며 상기 저장 및 분배용기에서 가스상의 제거를 위해 상기 불순물을 화학적으로 흡수하도록 배치되는 저장 및 분배 용기내의 화학흡착 물질; 및
상기 저장 및 분배용기로부터 탈착된 흡착가스를 선택적으로 배출시키기위해 상기 저장 및 분배 용기에 가스 유동 연통적으로 접속되는 분배 조립체를 포함한다.
상기 시스템에서의 불순물들은 예컨대, 물, 금속 및 산화성 천이 금속 종(예컨대, 산화물, 황화물 및/또는 질화물)과 같은 미소량의 성분들을 포함할 수 있는바, 이 성분들은 가스 저장 및 분배용기에서 그들의 제거를 위해 화학적으로 흡수되지 않는다면, 저장 및 분배용기내의 흡착소 가스를 분해할 것이다. 화학흡착제는, 선택적으로 또는 부가적으로 그들 자체의 분해 제품에 관한 화학흡착제로 될 수 있다.
연속적으로 분배될 가스의 수착 및 탈착에 대하여 압력차에 의해 단독으로 작동하기에 일반적으로 바람직하다 할 지라도, 본 발명의 시스템은 어떤 경우 고상의 물리적 흡착제 매체의 선택적 가열을 위한 저장 및 분배용기에 대해 작동적으로 배치된 가열기를 사용하는 것이 유리할 수 있는바, 이로써 고상의 물리적 흡착제 매체로부터 흡착가스의 열적으로 향상된 분해를 달성할 수 있다.
바람직한 고상의 물리적 흡착제 매체는 예컨대 약 4 내지 13Å 범위의 기공 크기를 갖는 결정형 알루미노실리케이트 조성물을 포함하더라도, 약 20 내지 40Å 범위의 기공 크기를 갖는 소위 다공성 성분들과 같은 대형 구멍을 지니는 결정질 알루미노실리케이트는 잠재적으로 본 발명의 광범위한 실시예 유용하게 사용된다. 그 같은 결정질 알루미노실리케이트 조성물의 예들로는 5A 분자체(molecular sieve), 바람직하게는 비접합성 분자체를 포함한다. 결정질 알루미노실리케이트 및 탄소 분자체와 같은 분자체 물질들이 대부분의 경우에 바람직하지만, 고상의 물리적 흡착제 매체는 실리카, 알루미나, 마크로에티큘레이트 중합체들, 규조토, 탄소 등과 같은 다른 물질을 유용하게 포함할 수 있다. 흡착 물질들은, 가스 저장 및 분배 시스템의 성능에 롭게 악영향을 미치는 미소 성분을 전혀 없게 하는 것을 보장하도록 적절하게 프로세스되거나 처리될 수 있다. 예컨대, 탄소 흡착제는 예컨대 불화수소산으로의 세척 처리에 적용될 수 있어, 그들을 금속 및 산화천이 금속종과 같은 미소 성분들을 충분하게 제거하게 된다. 잠재적으로 유용한 탄소 물질들은, 예컨대 미국 뉴욕 뉴욕주 크레하 코포레이션 오브 아메리카로부터 구입 가능한 BAC-MP, BAC-LP, 및 BAC-G-70R과 같은 매우 균일한 구형 입자 형상의 소위 비드 활성탄을 포함한다.
잠재적으로 유용한 화학적 흡착 물질들의 예들은 바륨, 스트론튬, 칼슘, 마그네슘 등과 같은 미세하게 분리된 Ⅱ족 금속을 포함한다.
선택적으로, 화학적 흡착제는 다음 중에서 하나 이상을 포함하는 불순물에 대한 담체(scavenger)를 포함할 수 있는바, 즉
(A) 관련은 있지만 공유결합적으로 접합되지 않으며 그 같은 오염의 존재하에서 불순물의 제거를 실행하기 위해 반응하는 음이온을 제공하는 화합물을 지니는 지지체를 포함하는 담체로서, 상기 화합물은
(ⅰ) 약 22내지 약 36의 pKa 값을 갖는 상응하는 양성자 첨가 카바니온 화합물을 지니는 카바니언 소스 화합물; 및
(ⅱ) 상기 카바니언 소스 화합물과 흡착가스의 반응에 의해 형성된 음이온 소스 화합물로 이루어진 그룹의 하나 이상의 멤버들로부터 선택되는 담체; 및
(C)
(ⅰ) 그램당 약 50내지 1000 평방미터의 범위의 표면적을 지니며 적어도 약 250℃까지 열적으로 안정한 불활성 지지체; 및
(ⅱ) 지지체 1리터당 약 0.01내지 1.0몰의 농도로 상기 지지체 상에 존재하며, 소듐, 포타슘, 루비듐, 및 세슘과 그들의 혼합물 및 그들의 합금과 피로리시스(pyrolysis)로부터 선택된 ⅠA족 금속의 지지체상에서의 데포지션에 의해 형성되는 활성 담체 종을 포함하는 담체 중 하나 이상을 포함한다.
하나의 실시예에 의하면, 그 같은 화학적 흡착 재료는 트리틸리튬 및 포타슘 아르센나이드로 이루어진 그룹으로부터 선택된 담체 성분을 유리하게 포함할 수 있다.
분배될 흡착가스의 오염 물질에 대한 그 같은 화학흡착물질들에 대하여, 광범위한 담체 또는 화학흡착물질들 중에서 사용될 수 있는 어떤 것들은 그렌 엠. 톰 등에게 1988년 8월 2일자로 허여된 미국 특허 제4,761,395호에 개시되고 청구된 형태의 담체 성분들을 포함한다.
화학흡착물질은 사용시 물리적 흡착체의 베드와의 가스연통상태의 분리형 베드로서 이용될 수 있거나, 또는 선택적으로 화학적 흡착체들은 저장 및 분배 용기내의 물리적 흡착 물질의 베드 전체를 통해 불규칙적으로 또는 선택적으로 분산될 수 있다.
본 발명의 또 다른 면은 예컨대, 이온 이식 유닛과 같은 가스 시약을 이용하는 반도체 제조 장치 및, 그 같은 반도체 제조장치와 가스 유동 연통적으로 연결되는 가스 시약 소스 즉 공급원을 포함하는 반도체 제조 설비에 관한 것이다. 여기서 가스 시약 공급원은 전술된 바와 같은 일반적인 형태의 가스 저장 및 분배 시스템을 포함한다.
본 발명은 또 다른 시약 가스를 공급하기 위한 방법에 관한 것으로서,
물리적으로 흡착된 시약 가스를 지니는 고상의 물리적 흡착제 매체를 포함하는 저장 및 분배 용기를 제공하는 단계;
가스상을 제거하기 위해 상기 저장 및 분배용기에서 시약 가스의 가스상 불순물을 화학흡착하는 단계;
물리적 흡착제 매체로부터 시약 가스를 탈착하는 단계; 및
상기 저장 및 분배 용기로부터 탈착된 시약가스를 방출하는 단계를 포함한다.
본 발명은 반도체 또는 다른 전자 장치 구조체의 제조 및 그들을 포함하는 최종 제품들의 생산을 위한 또 다른 장치 및 방법에 관한 것이다. 본 발명은 그 같은 면에 있어서, 그 같은 장치 구조체의 제조 작업을 처리하기 위한 유체를 공급하도록 구성되는 저장 및 분배 시스템을 이용한다.
하나의 형태에 있어서, 본 발명은 기판에 전자 디바이스 구조체를 형성하기 위한 방법에 관한 것으로서,
전자 디바이스 구조체의 형성에 이용되지만 그 전자 디바이스 구조체의 물질 성분을 형성하지 않는 전자 디바이스 구조체의 형성을 위한 유체가 물리적으로 흡착된 물리적 흡착 매체를 포함하는 저장 및 분배 용기를 제공하는 단계;
상기 물리적 흡착 매채로부터 유체를 탈착하고 상기 저장 및 분배 용기로부터 유체를 분배하는 단계; 및
상기 기판에 유체 또는 그것의 성분을 이용하는데 유효한 조건하에서 상기 저장 및 분배 용기로부터 분배된 유체에 상기 기판을 접촉시키는 단계를 포함하며,
상기 저장 및 분배 용기는 유체의 불순물에 대한 화학흡착제를 포함하며, 상기 유체는 고순도 상태로 분배될 수 있다.
본 발명의 방법에 있어서, 접촉 단계는 예컨대,
(a) 이온주입;
(b) 에피텍셜 성장;
(c) 플라즈마 에칭;
(d) 반응이온 에칭;
(e) 금속화;
(f) 물리적 증착;
(g) 화학적 증착;
(h) 포토리토그래피
(i) 세정; 또는
(j) 도핑; 과 같은 처리단계들을 포함할 수 있다.
바람직한 형태에 있어서, 본 발명은 기판에 전자 디바이스 구조체를 형성하기 위한 방법에 관한 것으로서,
전자 디바이스 구조체의 물질구성을 위한 소스 유체가 물리적으로 흡착된 물리적 흡착 매체를 포함하는 저장 및 분배 용기를 제공하는 단계;
상기 물리적 흡착 매채로부터 소스유체를 탈착하고 상기 저장 및 분배 용기로부터 소스유체를 분배하는 단계; 및
상기 기판에서의 물질성분을 데포지트하는데 유효한 조건에서, 상기 저장 및 분배 용기로부터 분배된 소스유체에 상기 기판을 접촉시키는 단계; 및
분배된 유체의 순도를 감소시킬 수 있는 용기에 존재하는 불순물을 화학 흡착하는 단계를 포함한다.
본원에 사용된 바와 같이, 본 발명의 저장 및 분배용기에 저장 및 그로부터 분배되는 유체에 관한 용어 "구성(constituent)"은 분배된 유체의 어떤 구성 요소뿐 아니라, 예컨대 반응 제품 또는 분해 제품들과 같은 그것의 제품을 포함하도록 광범위하게 설명된 것으로 의도된다. 그러므로 유체는, 예컨대 화학증착, 이온주입 등과 같은 처리 단계에 의해 기판에 데포지션 하기에 적합한 금속 또는 다른 물질 요소를 생산하는 유기질금속성 시약 또는 다른 것들을 포함할 수 있다.
용어 기판은 또한 웨이퍼, 웨이퍼 베이스, 지지체, 베이스 구조체 등을 포함하는 전자 장치 구조체에 대한 모든 물리적 구조체뿐 아니라 전자 장치 구조체에 대한 물리적 구조체들을 포함하도록 넓게 설명될 것으로 의도되는 바, 이것들은 미리 부분적으로 성형되거나 처리되거나 프로세스되거나, 또는 전술된 것에 대한 상기 구조체들로 된다. 따라서, 기판은 예컨대 웨이퍼 자체로 될 수 있다. 선택적으로, 기판은 예컨대 또다른 제조 공정(들)에서 분배된 처리유체(들)과 접촉되는 부분적으로 제조된 장치 조립체로 될 수 있다.
용어 고순도 조건은 저장 및 분배 용기에 저장되거나 그로부터 분배되는 유체내의 화학적 흡착성이 그 같은 유체가 사용되는 최종 사용용도에 대해 비현실적 수준으로 됨을 의미한다. 실시예에 의해, 반도체 제조 적용에 있어서, 물, 산소, 및 전이 금속과 같은 불순물들이 중량에 대해 100ppm 미만이 필요하게 될 수 있다.
일반적으로, 다양한 가스들은 예컨대 VLSI 및 ULSI 회로의 제조에서의 포토리토그래피(photolithography)단계, 분배된 si 공급원 가스로부터 실리콘과 같은 박막 재료의 에피텍시데포지션, CMOS, NMOS, BiCMOS 및 다른구조체의 제조에서의 도핑 및 이온 주입, 및 DRAMs, SRAMs, FeRAMs 등과 같은 장치의 제조와 같은 제조 작업에 사용하기 위해, 저장 및 분배용기로부터 분배될 수 있다.
본 발명의 프로세스는 예컨대 다음과 같은 전자 디바이스 구조체, 즉,
(a) 트랜지스터;
(b) 캐패시터;
(c) 레지스터;
(d) 메모리 셀;
(e) 유전성 재료;
(f) 매립 도핑된 기재 영역;
(g) 금속화층;
(h) 채널정지층;
(i) 소스층;
(j) 게이트층;
(k) 드레인층;
(l) 산화물층;
(m) 필드 에미터 엘레멘트;
(n) 비활성층;
(o) 인터커넥트;
(p) 폴리사이드;
(q) 전극;
(r) 트렌치 구조체;
(s) 이온 주입 재료층;
(t) 바이어 플러그;
(y) 상기 (a)∼(t) 전기 디바이스 구조체를 위한 전구질 구조체; 및
(v) 상기 (a)∼(t) 전자 디바이스 구조체중 하나 이상을 포함하는 디바이스 조립체들을 제조하는데 사용될 수 있다.
또 다른 특정 실시예로서, 본 발명의 방법에 의해 형성된 전자 디바이스 구조체들은
(ⅰ) ROM 칩;
(ⅱ) RAM 칩;
(ⅲ) SRAM 칩;
(ⅳ) DRAM 칩;
(ⅴ) PROM 칩;
(ⅵ) EPROM 칩;
(ⅶ) EEPROM 칩; 및
(ⅷ) 플래쉬 메모리 칩; 과 같은 메모리 칩 디바이스를 포함할 수 있다.
본 발명의 하나의 바람직한 실시예에 있어서, 초전자 디바이스 구조체는 반도체 로직 칩(예컨대, 마이크로콘트롤러 또는 마이크로프로세서)를 포함한다.
또 다른 바람직한 실시예에 있어서, 접촉 단계는 이온 주입을 포함한다. 또 다른 바람직한 실시예에 있어서, 접촉 단계는 실란 또는 디실란과 같은 실리콘 전구체를 사용하여 예컨대, 폴리실리콘의 화학증착을 포함하는바, 폴리실리콘은 붕소, 인, 아르신 등과 같은 도펀트 종으로 도핑될 수 있다.
본 발명의 이온 주입, 화학적 증착 및 다른 반도체 장치 제조 공정에 있어서, 반도체 제조 단계를 위한 유체 소스는 알루미늄, 바륨, 스트론튬, 칼슘, 니오븀, 탄탈륨, 동, 플래티늄, 팔라듐, 이리듐, 로듐, 금, 텅스텐, 티타늄, 니켈, 크로뮴, 몰리브데늄, 바나듐, 및 이것들의 결합으로 이루어진 그룹으로부터 선택되는 금속 성분을 갖는 금속 유기질 성분을 포함할 수 있다.
본원에 사용된 바와 같이, 용어 "전자 디바이스 구조체"는 초전자 장치, 그 같은 장치에 대한 전구체 구조체, 또는 그 같은 장치에 대한 성분 구조적 부품 또는 조립체를 지칭한다. 전구체 조립체는 표면상에 또는 내부에 층 또는 요소를 형성하도록 처리된 장치의 웨이퍼 요소 또는 기판을 포함할 수 있는 바, 그 같은 층 또는 요소로는 캐패시터 트렌치, 매립된 도포영역, 관통 표면, 에미터 팁 형성용 에칭웰, 배리어층 또는 웨이퍼 베이스상의 내층, 세라믹 포위체를 위한 집적회로, 또는 최종 사용 제품으로 궁극적으로 바람직한 완성 장치 보다 작게 구성되는 어떤 다른 구조적 품목으로 될 수 있다.
본 발명에 따른 다중 단계 방법 중 하나의 처리 단계에서 형성되는 전자 디바이스 구조체는 그 처리단계의 완성 중에, 전체적인 다중 단계 프로세스내의 후속하는 연속 처리단계에 대한 기판 구조체로 될 수 있다.
본 발명의 또 다른 면에 있어서, 하나의 방법이 전자 디바이스 구조체를 포함하는 전자 제품을 제조하는데 이용되며, 그 전자 디바이스 기판에 그것에 대한 소스 유체로부터의 물질의 데포지션에 의해 형성되는 바, 그 제조방법은
상기 유체는 물리적 흡착 매체에 의해 수착되어 보유되는 용기에 상기 유체를 제공하는 단계;
상기 형성공정동안 필요로하는 물리적 흡착매체로부터 상기 유체를 탈착시키고, 탄소 물리적 흡착매체를 포함하는 용기로부터 유체를 분배하는 단계; 및
상기 물질을 상기 기판에 데포지트시키기 위해 상기 분배된 유체를 기판에 접촉시키는 단계를 포함하며,
선택적으로 용기내의 유체의 불순물을 화학 흡착하여 유체가 그 불순물을 없애도록 분배되는 선택적 단계를 포함한다.
전술된 공정의 제품은 컴퓨터, 개인용 디지털 보조기, 전화기, 평판 디스플레이, 모니터, 음향시스템, 전자 게임기, 가상 실현장치, 및 유행하는 소비 기구와 같은 제품으로 될 수 있다. 유행성 소비자 기구들은 예컨대, 요리장치, 냉장고, 냉동기, 식기세척기, 세탁기, 건조기, 가습기, 제습기, 공기조화기, 세계 위치결정 장치, 조명시스템, 및 상기 것들을 위한 원격제어기와 같은 기구장치들로 될 수 있다.
본 발명의 다 른면, 특징 및 실시예들은 후술 설명 및 첨부된 청구범위로부터 더 명백해질 것이다.
다음과 같은 미국 특허 및 특허 출원들이 그 전체가 참조를 위해 본원에 인용되어 있는바, 즉, 1996년 5월 21일 허여된 미국 특허 제5,518,528호; 1998년 1월 6일 허여된 미국 특허 제5,704,965호; 1998년 1월 6일 허여된 미국 특허 제5,704,967호; 1998년 1월 13일 허여된 미국 특허 제5,707,424호; 1997년 4월 11일 출원된 미국 특허출원 제08/809,019호; 1997년 5월 20일 출원된 미국 특허 출원 제08/859,172호; 및 1997년 12월 31일 출원된 미국 특허출원 제09/002,278호가 인용되었다.
도면들을 참조하면, 도1은 본 발명의 하나의 실시예에 따른 저장 및 공급 시스템의 구성도가 도시되어 있다.
도1에 도시된 저장 및 공급시스템에 있어서, 가스 저장 실린더(10)에는 예컨대, 비드 활성 탄소 물리적 흡착매체와 같은 적절한 물리적 흡착 물질 또는 실린더(10)에 저장된 후 그로부터 분배되는 가스에 대한 흡착성 친화력을 갖는 다른 적합한 흡착물질로 이루어진 베드(bed)(17)가 충전될 수 있다.
흡착물질은 예컨대, 입자, 분말, 펠릿, 매트릭스 구조체, 또는 다른 적합한 형상 또는 형태의 어떤 적합한 형태로 될 수 있다. 흡착 물질은 미세하게 분리된 형태로 되는 것이 바람직하고, 큰 표면적을 특징으로 하며, 저장되어 연속적으로 분배될 유체를 보유하기 위한 유효성 및 용량을 최대화 한다.
흡착 물질의 베드(17)를 포함하는 가스 실린더(10)에 있어서, 흡착 물질은 아르신 또는 포스핀과 같은 물리적 흡착 가스 성분(들)을 지니는바, 그 성분들은 (기공성 내표면뿐 아니라 흡착제 매체의 외표면을 포함하는)흡착 물질 표면에 흡수된다.
가스실린더는 어떤 적합한 크기 및 형상으로 될 수 있으며, 어떤 적합한 구성 물질로 형성될 수 있다. 실린더는 금속성 구성물질로 형성되며 약 0.25 내지 40리터의 내부 체적을 지니는 크기로 됨으로써, 저장 및 분배 용기는 적합하게 손으로 이동시킬 수 있다.
실린더(10)는 매니폴드(12)에 연결되는 바, 그 매니폴드(12)에는 실린더(10)로부터 가스를 조절 가능하게 배출시키기 위한 실린더 밸브(14)가 배치되어 있으며, 그 실린더 밸브(14)위에는 가스 실린더 격리밸브(16)가 배치되어 있어, 실린더(10)와 매니폴드(12)와의 연통을 선택적으로 차단시킬 수 있다.
매니폴드는 분기 접속구(18)를 지니는바, 그 접속구(18)에 의해 매니폴드(12)가 불활성 가스 정화 분리 밸브(22)를 지니는 분기 정화 라인(20)과 가스 유동 연통적으로 접속되어, 매니폴드는 실린더(10)로부터의 가스의 활성 작동 전달전에 불활성 가스로 정화될 수 있다.
접속구(18)의 하류에 있는 매니폴드는 2개의 연속하는 가스 필터(28,30)를 포함하며, 그 가스필터의 중간에는 예컨대 0 내지 25psia의 압력 작동 범위를 지닐 수 있는 압력 변환기(32)가 배치된다. 매니폴드(12)는 가스필터(30)의 하류에서 분기 접속구(34)에 접속되며, 그 분기 접속구(34)에는 바이패스 분리 밸브(38)를 지니는 바이패스 도관(36)이 접속된다. 접속구(34)의 하류의 매니폴드(12)는 가스 유동 온-오프 밸브(40)를 지니는바, 그 밸브(40)의 하류에는 매니폴드(12)를 통해 분배된 수소화물 또는 헬라이트 가스의 유량을 제어 가능하게 조절하기 위한 질량 유량 제어기(42)가 배치된다. 질량 유량 제어기(42)의 종단 하류에는, 매니폴드(12)가 연결접속구(44)에 의해 분배 라인(46)에 접속되고, 그 분배라인(46)에는 유동제어 밸브(48)가 정렬되며, 또한 그 접속구(44)에는 연결 접속구(50)를 통해 바이패스 라인(36)이 가스 유동 연통적으로 연결된다. 배출라인(46)은 구성요소(52)로서 개략적으로 도시된 바와 같이 이온 공급원 발생 수단에 접속된다. 배출라인(46)의 타단부(54)는 또 다른 가스 분배 수단과 가스 유동 연통적으로 적절하게 연결될 수 있는바, 이 분배수단은 도 1의 저장 및 전달 시스템 장치의 궁극적인 적용에 바람직하거나 필요한 수단이다.
이온 소스 발생 수단은 반도체 제조설비의 일부로 될 수 있는바, 그 설비에서 저장 및 분배 시스템에 의해 분배된 가스는 상세히 후술되는 바와 같이 반도체 재료, 반도체 디바이스 및 반도체 전구질 구조체의 제조, 및 그 같은 것들을 포함하는 제품들의 제조에 이용된다.
도1의 실시예의 저장 및 분배용기(10)의 선택적인 특징으로 도시된 바와 같이, 열교환 통로(11)가 흡착 물질의 베드(17)를 통해 수직 상방으로 연장된다. 열교환 통로는 각각의 하단부 및 상단부에서 열교환 유체공급 인입라인(13) 및 열교환 유체 유출 배출라인(15)에 접속된다.
열교환 유체 공급 인입라인(13)은, 용기(10)로부터 유체를 분배하기를 원할 때 흡착물질의 베드를 선택적으로 가열하는 역할을 하는 가열수단, 버너, 저항 히터 등에 작동적으로 연결될 수 있는 열교환 유체의 적합한 공급원(도시않됨)에 연결될 수 있다.
따라서 열적 방출이, 열교환 회로를 통한 (예컨대, 펌프 및 저장기(도시되지 않음)에 의한) 재순환을 위해, 공급 인입라인(13), 열교환 통로(11), 및 유체 유출 배출라인(15)을 통해 적합한 열교환 유체의 통로에 의해 달성될 수 있다. 그 같은 가열 수단은, 베드(17)내의 흡착 매체를 열적 보조 탈착이 이루어지기에 충분히 상승된 온도가 되도록 가열하는 기능을 한다.
도 1에 도시된 구성에 의해, 흡착 유체의 열적 보조 흡착 및 분배가 흡착 유체의 압력차 조정 분배에 대해 택일적으로 또는 그것과 결합되어 실행될 수 있는바, 특정한 탈착 방식의 선택은 본 기술분야의 당업자에 의해 많은 경험이 없더라도 쉽게 선택되거나 결정될 수 있다.
본 발명의 저장 및 분배 시스템의 제조에 있어서, 필요시 저장 및 분배 용기가 세정되어, 용기의 벽 내부에 있는 가스를 제거할 수 있는 종을 포함하며, 용기에서 연속적으로 실행되는 저장 및 분배 작업시 역방향 충격을 일으킬 수 있는 어떤 오염 물질 또는 종의 제거를 확보한다. 솔벤트 감소를 철회시키거나 또는 용기 및 그것의 내표면에 세정단계, 제거단계 또는 처리단계를 적용시켜 후속적으로 설치된 흡착 물질을 위해 적합하게 깨끗한 용기를 제공하는 것이 바람직할 수 있다.
흡착물질은 저장 및 분배 용기의 내부 용적내로 부가되며, 그 용기는 최종 조립되어 밀봉된다. 흡착물질은 용기에 주입되기 전에 세정되거나 처리될 수 있으므로, 흡착 매체의 수착 용량이 최대화된다. 부가적으로 또는 선택적으로, 흡착 매체는 적합하게 세정되거나 처리될 수 있어, 예컨대 외부의 흡착 종의 흡착물질을 배출 및 세정하는데 충분한 시간 동안 또한 충분히 상승된 온도로 흡착제를 포함하는 용기를 구움으로써 최대 흡착용량이 보장된다. 예컨대, 용기는 적합한 진공 펌프 또는 다른 배기 수단을 사용하여 예컨대, 200 내지 400℃의 적합한 상승된 온도로 예컨대 48시간의 긴 기간동안 배기(가스 제거)될 수 있다. 배기후, 용기는 예컨대 6 내지 12시간의 적합한 기간이상 실온까지의 냉각이 허용된다.
배기/가스제거 절차 후, 배기된 탄소 흡착 용기는 흡착 유체가 채워진 매니폴드에 접속된다. 유체 종들의 수착은 그 흡착 결과의 열에 의한 현저한 발열을 수반할 수 있는 것으로 인식되므로, 흡착 유체가 흡착 물질에 의해 초기에 흡착 후 그 같은 열효과에 의해 배출되지 않도록 용기와 흡착 물질을 적합한 온도로 유지하는 것이 바람직하다.
적합한 등온 조건을 유지하기 위해, 실린더는 예컨대 25℃의 상온에서 유지된 수성 에틸렌 글리콜 혼합물과 같은 열적 안정액에 침전될 수 있다.
흡착 유체 충전 매니폴드는 예컨대 10-3 토르(Torr) 미만의 적절히 낮은 압력으로 흡착 유체를 분배하기 전에 배기되어, 그 충전 매니폴드의 유동 통로에 존재될 수 있는 비압축성 가스를 제거할 수 있다. 그 같은 배기 후, 흡착제 포함 용기에는 원하는 압력 수준에 이를 때까지 흡착 유체가 적합한 량으로 충진될 수 있다. 유용성을 위해, 적합한 압력 모니터 또는 (예컨대, 변환기를 구비한) 다른 감지 수단으로 충전 작업동안 용기의 압력을 모니터 하는 것이 바람직하다.
충전 공정동안, 용기 및 열적 안정 탱크의 온도는 프로세스 제어를 위해 흡착 유체 온도와 함께 독립적으로 모니터될 수 있다. 압력은 충전 작업의 종료를 결정하도록 모니터 된다.
온도의 결과가 주변 분위기 또는 상술된 열적 안정액과 같은 열 전달 매체에 적어도 부분적으로 사라지도록 시스템의 등가를 허용하고 또한 용기를 흡착 유체로 채우는 것이 바람직할 수 있다.
선택적으로, 특정 압력으로 용기를 충전하여, 그 용기를 흡착 베드 및 관련 용기의 최종온도 및 압력 조건까지 냉각시키는 것이 적합하게 될 수 있다.
따라서, 흡착 유체의 도스(dose) 충전 또는 연속 충전에 실행되어, 흡착 물질에 의한 수착성 흡착을 위해 용기내로 흡착 유체를 주입할 수 있다. 충전 공정에 후속 하여, 용기는 충전 매니폴드로부터의 분해 후, 분배 위치에서 파이핑, 커플링 및 분배회로에 대한 부착에 의한 연속 분배 사용을 위해 정렬되거나, 수송되거나, 저장될 수 있다.
도 1의 시스템의 실린더(10)내의 흡착 물질은, 그 실린더에 관련하여 실린더 내부체적에서 적합한 화학 흡착 물질의 양을 적합하게 지닐 수 있다. 그 화학흡착 물질은 전술된 바와 같이 미세하게 분리된 Ⅱ족(Group Ⅱ) 금속을 포함할 수 있는바, 이들 Ⅱ족 금속은 바륨, 스트론튬, 칼슘, 마그네슘 등으로 될 수 있으며, 이들은 예컨대 저장 및 분배용기의 내부체적내의 불순물 종과 반응하기 위해 큰 표면적을 제공하는 분말 형태로 될 수 있다. 그 같은 불순물 종은 예컨대 물, 산소, 질수, 공기, 수소, 천이금속 산화물 등을 포함할 수 있는바, 이들은 초기에 저장 및 분배 용기내로 유입된 흡착 물질로부터, 또는 저장 및 분배용기의 내부벽 표면으로부터 제거되는 개스로부터, 또는 대기(포위가스) 누출로부터 나올 수 있다.
그같은 반도체 제조 물질에 있어서, 분배된 가스는 적절한 순도 특성을 지니는 것이 필수적인바, 그렇지 않으면 분배된 가스에 존재하는 불순물 종이 반도체 제품에 악영향을 미칠 수 있으며, 그 결과 의도된 목적을 위한 제품은 불만족하게 되며, 전체적으로 부적합하게 될 것이다.
그러므로, 본 발명은 저장 및 분배용기의 내부체적에 적합한 화학흡착물질의 충전을 완료해야하는바, 이 화학 흡착물질은 그 같은 불순도 가스종과 화학적으로 반응하여 그들로 하여금 용기내의 가스상으로부터 제거되게 할 것이므로, 연속적으로 분배된 가스는 고순도 특성을 지닐 수 있으며 불순물 종의 농도가 적어도 부분적으로 감소될 수 있을 것이다. 화학 수착 반응이 인식할 수 없는 증기압을 지니는 반응 고상과 종을 생산하기 때문에, 저장 및 분배 용기로부터 분배된 가스는 용기에 배치되는 본 발명의 화학 흡착 물질의 제거에 필요할 수 있는 하류 정화제와 같은 보조물 없이 유용하게 사용될 수 있다.
그 같은 가스상 불순물을 반응적으로 제거하기 위한 저장 및 분배 용기의 내부 체적에서의 게터(getter) 또는 화학 흡착 성분들로서의 전술된 Ⅱ족에 대한 선택으로서, 용기내에 이용된 화학 흡착제는 예컨대, 다음과 같은 하나 이상의 성분을 포함하는 가스상 불순물에 대한 담체와 같은 어떤 다른 적합한 화학흡착제 종을 포함할 수 있다. 즉, 담체는,
(A) 관련은 있지만 공유결합적으로 접합되지 않으며 그 같은 오염의 존재하에서 불순물의 제거를 실행하기 위해 반응하는 음이온을 제공하는 화합물을 지니는 지지체를 포함하는 담체로서, 상기 화합물은
(ⅰ) 약 22내지 약 36의 pKa 값을 갖는 상응하는 양성자 첨가 카바니온 화합물을 지니는 카바니언 소스 화합물; 및
(ⅱ) 상기 카바니언 소스 화합물과 흡착가스의 반응에 의해 형성된 음이온 소스 화합물로 이루어진 그룹의 하나 이상의 멤버들로부터 선택되는 담체; 및
(B)
(ⅰ) 그램당 약 50내지 1000 평방미터의 범위의 표면적을 지니며 적어도 약 250℃까지 열적으로 안정한 불활성 지지체; 및
(ⅱ) 지지체 1리터당 약 0.01내지 1.0몰의 농도로 상기 지지체 상에 존재하며, 소듐, 포타슘, 루비듐, 및 세슘과 그들의 혼합물 및 그들의 합금과 피로리시스(pyrolysis)로부터 선택된 ⅠA족 금속의 지지체상에서의 데포지션에 의해 형성되는 활성 담체 종을 포함하는 담체를 포함한다.
다른 실시예로서, 그 화학 흡착 물질은 트리틸리듐 및 포타슘 아르세나이드로 이루어진 그룹으로부터 선택된 담체 성분을 포함하는 것이 유리할 수 있다.
저장 및 분배 용기내에 다중 화학 흡착종을 이용하는 것은 본 발명의 범위내에 있다. 더욱이, 지지체에 화학 흡착 물질을 배치하거나, 또는 예컨대 용기의 내부벽에 스퍼터링되거나 분무된 필름 또는 코팅과 같이 용기의 내부 체적의 내표면에 화학흡착 물질이 도포되는 것은 본 발명의 범위내에 있다.
어떤 경우에 있어서, 용기에서 불순물 종을 반응적으로 제거하는데 바람직하게 사용된 화학 흡착제는 저장되어 연속해서 분배되는 가스와 반응될 것이다. 그 같은 경우, 가스가 흡수된 물리적 흡착제로 화학흡착제를 분리하거나 격리할 필요가 있으므로, 흡착 물질상에 잔존되어 유지되는 가스는 화학 흡착제와의 접촉으로부터 보호될 것이며 단지 불순물 종들만이 화학 흡착제들과의 접촉이 허용될 것이다.
그 같은 목적을 위해, 화학 흡착제는 그 화학 흡착제를 포함하는 분리 구조체에서 용기에 배치됨으로써 화합 흡착제가 분배될 흡착 가스로부터 분리되거나 차폐될 수 있는바, 여기서 분리 구조체는 불순물 종은 침투할 수 있지만 흡착제는 저장 및 분배용기로부터의 생산가스로서 연속적인 배출을 위해 흡착물질에 유지될 통과할 수 없는 멤브레인 또는 다른 물리적 배리어를 포함한다.
그러므로 화학흡착제는 내부체적에 물리적으로 배치되며 불순 가스종에만 침투할 수 있는 캡슐 또는 다른 용기에 제공될 수 있다.
예컨대, 용기의 내부 체적의 제한된 영역 또는 용기는 화학흡착제를 차폐하며 불순물 종만을 침투할 수 있는 선택침투성 멤브레인을 지닐 수 있다.
그 멤브레인은 예컨대, 폴리프로필렌, 폴리비닐리덴 플루오리드, 폴리테트라플르오토에틸렌, 폴리플루오로아세테이트, 실리콘, 표면 처리된 유리섬유, 및 노릴(R) 폴리페닐렌 산화물 필름(메사츄세츠, 피츠필드, 제네랄 일렉트릭 컴퍼니에서 구입 가능)을 포함하는 광범위하며 잠재적으로 유용한 물질로 형성될 수 있다.
그 같은 구조에 의해서, 본 발명은 흡착제 주성분 가스 저장 및 분배시스템으로부터 가스의 고순도 가스 분배를 달성할 수 있는 것인 바, 이는 저급의 흡착제를 사용하는 경우나 또는 흡착가스의 분해가 일어날 수 있는 미소량의 불순물이 (흡착용기에) 존재하는 경우에도 달성될 수 있다.
흡착가스는 예컨대, 아르신, 포스핀, 클로딘, NF3, BF3, BCl3, 디보레인(B2H6 또는 그것의 데우테리움 아날로그, B2D6), (CH3)3Sb, 텅스텐 헥사플루오리드, 하이드로젠 플루오리드, 하이드로젠 클로라이드, 하이드로젠 이오다이드, 하이드로젠 브로마이드, 게르마인, 암모니아, 스티빈, 하이드로젠 설파이드, 하이드로젠 셀레나이드, 하이드로젠 텔루리드, 브로마인, 이오딘, 플로오린 등과 같은 유기 금속성 Ⅱ족 화합물 및/또는, 하이드리드 및/또는 할라이드가스와 같은 가스를 포함할 수 있다.
저장 및 전달 시스템으로부터의 가스 유동은 저장 및 전달 시스템과 예컨대, 이온주입 진공챔버와 같은 저압 하류 공정간의 압력차를 이용하여 확립될 수 있다. 질량 유동 제어기와 같은 장치를 이용하여 흡착제 용기 압력이 감소할 때 일정할 유동이 달성될 수 있다.
본 발명의 실시에서는 소위 열적 보조된 전달에 의해 흡착 물질이 낮은 수준으로 가열됨으로써 배출 가스의 전달 속도를 증대시킬 수 있으므로, 500sccm까지의 유속 및 그 이상의 속도가 쉽게 달성될 수 있다. 그럼에도 불구하고, 고속의 가스 전달은 이온주입, 분자 빔 에피택시, 및 화학증착과 같은 반도체(또는 다른 산업 또는 제조) 공정의 감소된 압력과 흡착제 용기 사이에 존재한 압력차에 의해서만(흡착제를 갖는 흡착 매체에 열 또는 열적 에너지의 보충적인 입력없이)단열작동으로 본 발명의 광범위한 실시에서 달성될 수 있다.
본 발명의 장치는, 예컨대 함께 하나 이상의 용기로부터의 흡착 가스의 선택적 전달을 위해 각각 분기된 3개의 흡착 용기들과 같은 다중성을 포함하는 가스 캐비넷에 배치됨으로써, 단일 장치 형태로 쉽게 제공될 수 있다. 캐비넷은 독립된 열전쌍 또는 다른 온도 감지/모니터링 설비 및 구성요소들을 포함할 수 있으므로, 용기 및/또는 그것의 사용중 가스 캐비넷의 다른 내부 구성요소들의 과열을 방지할 수 있다.
캐비넷은 부가적으로 용기 및 그 내부의 흡착체의 선택적이고 증가적인 가열을 위한 가용성의 링크 히터요소; 배출 열센서; 유독가스가 감지될 때 장치를 정지시키는 작용을 하는 유해 가스 모니터; 스크루버 또는 벌크 흡착 장치; 및 고압 및 고온 제어수단을 포함할 수 있다. 그 같은 저장 및 전달 시스템 장치에 대해서, 15psig에서 500sccm의 가스의 전달 속도가 쉽게 달성될 수 있다.
그러므로 본 발명의 방식에서 화학 흡착물질을 사용하면, 어떤 물, 금속 또는 천이 금속 산화물의 흡착 물질의 현저한 존재가 흡착가스의 분해를 현저하게 높은 수준으로 추진시키는 현저한 장점이 있다. 분자체 재료를 가장 명확하게 고찰하면, 그 같은 다수의 흡착체들은 저장 및 전달 시스템 장치와 방법의 성능을 바람직하지 못하게 저하시키는 전술된 분해 촉진인자들을 포함하는 흙 또는 다른 광물성 바인더를 변함 없이 포함한다는 점이다.
도 2는 본 발명의 하나의 실시예에 따른 저장 및 분배 시스템(100)의 부분 절취사시도를 보여준다. 그 시스템은, 도시된 바와 같이, 용기의 내부체적(106)을 한정하는 벽(104)을 지니는 저장 및 분배용기(102)를 포함한다. 용기는 수소와 같은 가스 불순물 종과 반응하기 위해 화학흡착물질을 보유하는 물리흡착물질의 베드(bed)와 캡슐(110)을 포함하는바, 그 수소는 아르신 또는 포스핀과 같은 흡착 하이드리드 가스가 분해될 때 생산될 수 있다.
도 2에 도시된 용기는, 밸브를 포함하는 밸브 헤드 조립체(114)가 접합되는 상부 목부(112)를 지닌다. 밸브헤드의 밸브는 스핀들(120)에 장착되며 수동 회전에 의해 작동되도록 배치되는 수동 휠(118)에 의해 선택적으로 작동 가능하거나, 또는 예컨대 적절한 컴퓨터 또는 마이크로프로세서 및 순환 타이머 또는 다른 컴퓨터 스프트웨어 프로그램의 제어하에 공기 밸브 액튜에이터와 같은 작동 제어 수단에 의한 회전에 의해 선택적으로 제어될 수 있다.
밸브헤드는 매니폴드 또는 다른 유동 분배 조립체 수단에 연결될 수 있는 출구 포트(116)를 지닌다.
작동에 있어서, 예컨대 수소만이 전체를 통한 통과를 수용하지만 저장되어 선택적으로 분배될 하이드리드 가스는 통과할 수 없는 침투성 멤브레인을 지닐 수 있는 캡슐은 용기의 멤브레인을 통해 불순물 종 수소를 수용한다. 캡슐의 내부체적으로 입장하는 수소는 화학 흡착 물질과 접촉 및 반응하여 미세한 증기압을 갖는 고체 반응 제품들을 형성한다. 그러므로, 용기로부터 연속적으로 배출된 아르신은 현저하게 산소를 포함하지 않는다. 더욱이, 용기의 내부체적으로부터 수소가 제거되면, 불 및/또는 팽창에 관련된 리스크가 최소화되는 장점이 있다. 마지막으로, 내부체적으로부터 수소를 제거하면, 제거되지 않은 경우 허용할 수 없는 높은 수준까지 용기내의 압력을 증가시키기 위한 잠재력을 지니는 가스상의 성분이 제거된다.
그러므로, 캡슐(110)은 가스 저장 및 분배용기의 내부체적에 쉽게 배치될 수 있으며, 초기에 불활성 가스로 포위되며, 밀폐적으로 시일된 용기 또는 패키지 형태를 이루므로, 대기중의 미소량의 수소 또는 다른 대기가스와의 화학 흡착의 시기상조직인 결합을 방지하는바, 그 대기 가스는 용기에 배치될 때 적합하게 가스불순물을 제거하기 위해 화학 흡착제와 접촉하여 그것의 용량을 감소시킬 수 있는 가스이다. 도 2에 도시된 바와 같이, 캡슐은 실린더가 흡착 물질로 채워진 후 실린더의 내부 체적에 주입될 수 있으므로, 캡슐은 헤드 공간 가스에 노출된다.
캡슐의 구조가 도3에 더 상세히 도시되어 있으며, 그 캡슐은 침투 선택성 멤브레인 벽(136)에 의해 한정되는 주본체(130)를 포함하는바, 멤브레인 벽(136)에서 멤브레인은 수소와 같은 분해 제품들에 대해서는 침투 선택성이며 선택적으로 대기의 내부로의 누출 또는 가스가 제거되는 종(질소, 산소)과 같은 다른 가스에 대해서는 선택적으로 침투선택성이다. 대기 가스들은 밸브헤드 조립체를 통해 용기 내부로 침입될 수 있으며, 더 전형적으로는 용기의 내부벽 또는 그것의 흡착 물질로부터 가스가 누출될 수 있다.
캡슐의 각각의 단부에는 주본체부(130)에 결합되어 봉인되는 단부캡(132,134)이 제공되어 단일 구조체를 형성한다.
멤브리인 벽으로된 캡슐(110)에는 박막 비륨과 같이 플래쉬된 게터를 지니는 마크로프로스 매체(138)가 배치된다. 마크로프로스 매체는 큰 표면적 구조이며, 골격형 마크로포어 매트릭스, 또는 실리카, 알루미나, 분자체, 마크로에티큘레이트 폴리머 레이신(예컨대, Amberlite 레이신)등과 같은 통상의 큰 표면적 물질들 중 어느 것으로 될 수 있다.
그러므로, 게터 필름은 멤브레인 벽을 통해 침입하는 오염 개스를 최종적으로 화학 흡수하는 역할을 한다. 예컨대, 바륨의 경우, 다음 반응식이 일어난다.
Ba+H2→BaH2
2Ba+O2→2BaO
Ba+N2→BaN2
Ba+HoH→BaO+BaH2
게터 필름이 지지되는 마크로포로스 매체는 높은 특정 열특성을 지녀서 게터 필름과 오염가스의 발열반응을 수용하는 것이 바람직하다. 이 것은 캡슐내에서의 반응열을 수용할 것이다.
침입 선택성 멤브레인은 저장된 흡수가스에 침입할 수 없도록 선택된다.
캡슐(110)은 아르곤 또는 질소와 같이 불활성 분위기에서 글로브박스내에서 형성될 수 있어, 이어서 불활성 분위기에서 가스저장 및 분배 실린더에 저장되어 설치된다.
사용시, 게터갭슐이 오염수준을 제로(0)값 근처로 유지시킬 것 이므로, 분배된 가스는 고순도로 된다.
본 발명의 유체저장 및 분배수단 및 방법은, 반도체 제조공정의 다양한 단위 작업에 대한 시약들을 위해 유용하게 사용될 수 있다.
예컨대, 반도체 제조공정은 포토리토그래피 단계들을 포함할 수 있다. 전형적으로, 웨이퍼는 VLSI(Very large scale integrated) 및 ULSI(Ultra large integrated)회로의 제조동안 12 내지 20번의 포토리토그래피 단계를 거친다. HMDS, TMS, 포로레지스트 스트립퍼 및 현상액 등의 증기압은, 본 발명에 따른 저장 및 분배 시스템에 처리액을 흡수시킴으로써, 본 발명의 방법에 따라 감소될 수 있다. 처리유체들의 결과적인 웨이퍼 공급원들이 표준 웨이퍼 트랙 시스템에 사용될 수 있어, 제조 프로세스 공정에서 포토리토그래피 단계동안 웨이퍼를 코팅하고, 현상시키고 그 웨이퍼로부터 포토레지스트를 벗겨낸다.
본 발명의 저장 및 분배 시스템은 적합한 세정 또는 다른 세정작동에 이용될 수 있는바, 이때 세정유체는 본 발명의 유체저장 및 분배시스템에 저장되어 그로부터 분배된다. 적합하게 세정하면, 결점에 관련된 프로세스를 감소시키며, 유지사이클을 연장시킬 수 있어 공구의 이용성을 증가시킨다. 반도체 설비에 사용된 챔버 세척의 예로는 (1)W CVD 공구, Ti/TiN 스퍼터 공구, 및 Ti/TiN 하이브리드 스퍼터/ CVD 공구의 NF3 세정, 및 (2)로 및 단일 웨이퍼 폴리실리콘/SiO2(도핑되거나 도핑되지 않은 것 모두의)데포지션 공구의 1,1,1-트리클로로에탄(TCA), 트랜스-1,2-디클로오로에탄(t-DCE) 및 HF 세정이 있다.
세정가스가 본 발명에 따라 흡착매체에 흡수될 수 있어, 그들의 이동, 저장 및 사용동안 그 같은 가스의 위험잠재성을 현저하게 감소시키는 그같은 세정유체의 낮은 증기압 공급원을 형성한다. 본 발명의 방법은 예컨대, 공정설비의 챔버벽으로부터 고체 및/또는 화학적 오염을 제거하기 위해(알루미늄 데포지션을 위한 플라즈마가 사용된) Cl2와 같은 가스성 세정 시약으로 실행될 수 있다.
집적회로 형성을 위한 반도체 제조 방법에 의하면, 표준 실리콘 집적회로 내의 다수의 충돌이 유해한 원료물질을 사용하는 화학증착(CVD)에 의해 데포지트 된다. 그 예로는 (1) Si 공급원으로써 SiH4, Si2H6 또는 SiHx Cl4-x(x = 0 - 4)가 사용하여 데포지트 되고 폴리실리콘 또는 에피택셜실리콘의 CVD로서, 이 필름들은 종종 PH3 또는 B2H6 또는 ASH3로 개방되며, (2) Si 공급원으로서 데트라에틸오토실리케이트(TEOS) 또는 SiHx Cl4-x (x=0~1)를 이용하는 SiO2의 CVD로서, 도펀트의 범위는 보론 트리콜로라이드, 트리메틸보레이트, 트리메틸보라이트, 트리에틸보레이트, 트리에틸보라이트, 포스포로스 트리클로라이드, 트리메틸포스페이트, 트리메틸포스파이트, 트리에틸포세이트, 트리에틸포사이트, PH3 또는 B2H6를 포함하며, (3) WF6 및 때로는 공유반응제로서 알루미나와 함께 Ti 공급원으로서 테트라키스-디엘틸아미도티타늄 또는 테트라키스디메틸아미도티타늄 또는 TiCl4를 이용하는 TiN의 CVD, (5) 질소플라즈마 방출제 또는 암모니아 및 Si 공급원으로서 SiHx Cl4-x (x=0~4)로 성장되는 Si3N4의 CVD를 포함한다. 상기 프로세스의 일부는 CVD에 의해 실행되며 플라즈마 관련 CVD 프로세스로서 제어될 수 있으며; 또한 UV광과 같은 다른 보조형태가 사용될 수 있다.
이 같은 예들은 유독가스 또는 액체의 사용을 보여주는 바, 이들의 이송 및 사용시 안전성은 본 발명에 따라 처리유체의 더 안전한 소스를 형성하도록 액체 또는 유해가스의 증기압을 감소시키는 물리적 흡착물질에 그같은 유체상 처리시약들을 흡착시킴으로써 개선될 수 있다.
반도체 제조산업에서 유체사용에 대한 전술된 특정예에 부가하여, 많은 다른 유체시약 처리 단계들이 반도체 제조에 수반된다. 따라서, 상기 논의는 제한적으로 의미되지 않는 것이며, 본 발명의 흡착제 주성분 유체 저장 및 전달시스템들은 부가적으로 유독물질을 이용하는 다양한 CVD 공정뿐만 아니라 반도체 제조산업에서 실행된 다른 유체소모작동에 적용될 수 있다.
계속적인 설명에 있어서, 본 발명은 흡착유체로서 가스가 참조로 개시될 것이지만, 그러나 본 발명은 액체, 가스, 증기, 및 다중상 유체에 광범위하게 적용할 수 있으며, 또한 단일 성분 유체뿐 아니라 유체혼합물의 저장 및 분배를 의도하는 것으로 인식될 것이다.
본 발명의 유체저장 및 분배용기에는 또한 흡착유체의 방출을 열적으로 보조하는 역활을 하는 내부가열수단(도시되지 않음)이 제공될 수 있다. 그러나, 흡착유체는 압력차 조절형 방출에 의해 흡착된 유체를 포함하는 저장 및 분배 용기로부터 적어도 부분적으로 분배되는 것이 바람직하며, 그것에 의해 완전히 분배되는 것이 가장 바람직하다. 그 같은 압력차는, 한편으로 저장 및 분배용기와 다른 한편으로 외부분배분위기 또는 사용위치 사이에서의 유체연통에 의해 달성될 수 있다. 용기를 위한 분배수단은 펌프, 송풍기, 팬, 추출기, 배출기 등을 포함할 수 있거나, 또는 용기로부터 분배된 유체의 사용위치까지의 유체의 유동을 위한 어떤 다른 동력 구동기를 포함할 수 있다.
저장 및 분배용기에 설치되기 전의 흡착물질은, 유체저장 및 분배시스템의 성능에 유해한 영향을 미칠 수 있는 미량 성분들이 전혀없게되는 것을 보장하도록 적절하게 처리되거나 처리될 수 있다. 예컨대, 흡착제는 예컨대 하이드로플로오릭산으로 세정 처리하는데 적용될 수 있어 금속 및 산화적 천이금속 종과 같은 미량성분들이 충분히 제거되게 하거나, 또는 이것은 원하는 순도 및 또는 성능특성들을 보장하도록 가열되거나 처리될수 있다.
흡착제는 입자, 미립자, 추출물, 분말, 직물, 웹재료, 벌집구조 또는 다른 모놀리스 형태, 조성물, 또는 유용한 흡착재료의 다른 적합한 형태로 제공될 수 있으며, 이들은 저장되어 분배될 유체에 대한 수착 친화력을 지니며, 또한 분배작동에 대한 만족할만한 방출특성을 지닌다.
일반적으로 주변 온도 조건에서 압력차에 의해 단독으로 작동되는 것이 바람직하지만, 후속 하여 분배될 가스의 수착 및 배출의 관점에서 볼 때, 본 발명의 시스템은 어떤 경우에는 고상의 물리적 흡착매체의 선택적 가열을 위해 저장 및 분배용기에 관련하여 작동적으로 배치된 가열기를 사용함으로써, 고상의 물리적 흡착매체로부터 흡착된 유체의 열적으로 향상된 탈착을 효과적으로 달성할 수 있는 것이 바람직하다.
전술된 본 발명은 유체 저장 및 분배수단 과 반도체 제조 공정시스템의 광범위한 단위 작업에 시약의 전달을 위한 방법에 유리하게 채용할 수 있다.
도 4는 본 발명의 하나의 실시예에 따른 저장 및 분배 시스템(200)의 도식적 사시도로서, 반도체 제조 공정시스템(216)에 관련된 유체분배 방법을 보여준다.
저장 및 분배 시스템(200)은 흡착물질의 베드(204)를 보유하기 위한 저장 및 분배 용기(202)를 포함한다. 흡착물질의 베드(204)는 불순물 침투성 멤브레인(205) 상의 용기 내부에 배치되는바, 그 멤브레인(205)의 주변은 용기(202)의 내벽표면에 시일 된다. 멤브레인(205)의 하부에는 화학흡착제 베드(207)가 배치되어 있어, 용기의 사용시 불순물 종이 멤브레인을 통해 침투하여 화학적 흡착제와 반응하여 용기의 내부체적으로부터 불순물의 제거를 이룰 것이다.
용기(202)의 목 영역(206)이 밸브스템(211)을 통해 수동 조작가능한 휠(212)이 연결된 밸브헤드(208)에 결합되어 있으므로, 휠(212)을 회전시켜 용기를 개방시키면 방출가스는 가스 배출기(210)를 통해 라인(214)으로 유동하며 반도체 제조 공정(216)으로 유동된다. 그 가스는 제조공정(216)에서 사용된 후 처리를 위해 라인(218)을 통해 처리 콤플렉스(220)로 이동 될 수 있으며 이어서 라인(222)을 통해 그 시스템으로부터 배출된다.
도 4에 도시된 반도체 제조공정 시스템(216)은 VLSI 및 ULSI 회로의 제조물을 위한 웨이퍼 포토리토그래피 단계를 적절하게 포함할 수 있다. 그 같은 HMDS 및 TMS 흡착성 유체, 및 포토레지스트 스트리퍼 및 현상기들은 고체 흡착체들에 흡착될 수 있는바, 그 고체 흡착제들은 본 발명의 방법에 따라사용하기 위해 상표명 "Amberlite" 실리카, 알루미나, 알루미노실리케이트 등과 같이 론 앤 하스 케미컬 컴퍼니(팬실바니아 필라델피아 소재)로부터 상업적으로 구입가능한 형태의 마크로레티클레이트 증합체와 같은 물질을 포함하는 중합성 흡착제, 탄소흡착제와 같은 것들이다.
따라서, 본 발명의 흡착가스 저장 및 분배 시스템은, 제조공정 흐름중 포토리토그래피 단계동안 웨이퍼에 포토레지스트를 코팅하고, 현상하고 또한 그로부터 벗겨내기 위해 웨이퍼 트랙 공정에 사용될 수 있다.
반도체 제조공정 시스템(216)은 또한 세정시약의 유체저장 및 분배를 수반하여, 적절한 세정을 수행하고 처리에 관련된 결점을 감소시키고 유지사이클을 연장시켜 공구의 유효성을 증가시킬 수 있다.
실제적인 세정 시약 및 관련된 반도체 공구들은 전술된 바와 같다. 사용시, 세정시약은 NF3, 하이드로젠 플루오리드, 1,1,1-트리클로로에탄, 및 트랜스-1,2-디클로로에탄, 크로린, 하이드로젠 클로라이드 등과 같은 시약의 저장 및 선택적인 요구에 따른 분배를 위해(유체 시약에 대한 수착성 친화력을 갖는 흡착물질을 포함하는)저장 및 분배용기에 수착적으로 유지될 수 있다.
본 발명의 방법은 박막재료의 화학적 증착에 유용하게 이용될 수 있는바, 이 같은 화학적 증착은 실란스, 클로로실란스, 테트라에틸오토실리케이트, 텅스텐 헥사플루오리드, 디실란, 티타늄 테트라클로라이드, 테트라키스디메틸아미도티타늄, 테트라키스디에틸라미도티타늄, 암모니아 또는 다른 니트로제노스 물질 등과 같은 CVD 담체들과, 보론, 포스포로스, 아르세닉 및 안티모니 소스 시약과 같은 도펀트 물질들을 사용한다. 그같은 도펀트 소스 시약들의 예들은 보레인, 보론 트리클로라이드, 보론 트리풀루오리드, 트리메틸보레이트, 트리메틸보라이트, 트리에틸보레이트, 트리에틸보라이트, 포스포로스 트리클로라이드, 트리메틸포스페이트, 트리메틸포스파이트, 트리에틸포스페이트, 트리에틸포스파이트, 포스핀, 아르신, 디보레인 등을 포함하는 바, 이것들은 전술된 하이드로 젠-포함 도펀트 소스 시약들의 듀터레이티드 및 트리티에이티드 아날로그를 포함한다.
일반적으로, 본 발명의 방법은, 기판 또는 전구체 디바이스 구조체에 합체된 재료에 대한 소스물질 또는 선택적으로 에찬트(etchant), 마스크, 레지스트, 세척 또는 다른 세정유체 등과 같은 처리시약으로 반도체 디바이스 구조체들의 제조에 사용된 유체가 유체에 대한 수착 친화력을 지니는 흡착물질을 포함하는 용기에 보유될 수 있는 경우에 유용하게 사용될 수 있다. 그 유체는 가스, 증기, 액체 또는 다른 다중상 조성물로 될 수 있지만, 본 발명은 저장 및 분배 용기내의 흡착매체에 의해 수착적으로 보유되는 가스 또는 증기를 이용하는 것이 바람직하다.
본 발명의 가스 저장 및 분배방법이 유용하게 사용될 수 있는 방법의 단계들은 제한적이진 않지만, 이온주입, 에피택셜 성장, 플라즈마 에칭, 반응성 이온에칭, 금속화, 물리적 증착, 도핑 및 화학적 증착을 포함한다.
다양한 전자 디바이스 구조체는 본 발명의 저장 및 분배시스템으로부터 분배된 처리유체를 이용하는 본 발명에 따라 형성될 수 있다. 그 같은 전자 디바이스 구조체의 예들은 제한적이진 않지만, 트랜지스터, 캐패시터, 레지스터, 메모리셀, 전기재료, 매립 도핑된 기판 영역, 금속화층, 채널정지층, 공급원층, 게이트층, 드레인층, 산화물층, 필드에미터 엘레멘트, 비활성층, 인터커넥트, 폴리사이드, 전극, 트렌치 구조체, 이온 주입된 재료층, 바이어플러그, 상기와 같은 전기 디바이스 구조체에 대한 전구체 구조체, 및 상기 전자 디바이스 구조체중 하나 이상을 포함하는 디바이스 조립체들을 포함한다.
전자 디바이스 구조체는 예컨대, ROM 칩, RAM 칩, SRAM 칩, DRAM 칩, PROM 칩, EPROM 칩, EEPROM 칩, 및 플래쉬 메모리 칩 디바이스를 포함할 수 있다. 선택적으로, 전자 디바이스 구조체는 마이크로 콘트롤러 칩 또는 마이크로프로세서 칩과 같은 반도체 로직 칩을 포함할 수 있다.
본 발명의 방법에 의한 최종전자 제품들은 전자통신 장치와, 컴퓨터, 개인용 디지털 보조기, 전화기, 평판 디스플레이, 모니터, 음향시스템, 전자 게임기, 가상 실현장치, 및 유행하는 소비 기구와 같은 제품과, 요리장치, 냉장고, 냉동기, 식기세척기, 세탁기, 건조기, 가습기, 제습기, 공기조화기, 세계 위치결정 장치, 조명시스템, 및 상기 것들을 위한 원격제어기와 같은 유행성 소비자 기구들 및 가전기구를 포함한다.
하나의 바람직한 면에 있어서, 저장 및 분배용기내의 유체소스는 이온주입을 위한 반도체 제조 공정시스템에 선택적으로 공급될 수 있는바, 그 이온주입을 위한 유체소스는 예컨대, 알루미늄, 바륨, 스트론튬, 칼슘, 니오븀, 탄탈륨, 동, 플래티늄, 팔라듐, 이리듐, 로듐, 금, 텅스텐, 티타늄, 니켈, 크로뮴, 몰리브데늄, 바나듐, 및 이것들의 2개 이상의 결합물과 같은 금속인 금속 성분을 지니는 금속 유기질 합성물로 조성될 수 있다.
도 5는 저장 및 분배용기(302)를 포함하는 이온 주입 공정시스템(300)의 구성도로서, 그 용기(302)는 설명된 이온 주입 챔버(301)내의 기판(328)의 이온 주입 도핑을 위해 공급되는 아르신 가스를 보유하는 내부체적에서 흡착물질(306)을 포함한다. 흡착물질은, 용기속의 불순물 종의 흡수를 위해 화학흡착물질을 그와 관련하여 선택적으로 지닐 수 있다.
저장 및 분배용기(302)는 비드, 입자 또는 다른 미세 분리 형태로 될 수 있고 흡착물질(306)을 보유하는 내부체적을 포위하는 용기 벽(304)을 포함한다. 흡착성가스는 흡착물질상의 용기의 내부체적에 보유된다. 저장 및 분배용기(302)는 배출라인(312)과 가스유동 연통적으로 접속된 밸브헤드(308)를 포함한다. 압축센서(310)는 질량유동제어기(314)와 함께 라인(312)에 배치되며, 다른 검출 및 감지 구성요소들이 라인에 결합될 수 있으며, 또한 액튜에이터, 피드백 및 컴퓨터 제어시스템 과 같은 제어수단, 사이클 타이머 등이 연결될 수 있다.
이온 주입 챔버(301)는 라인(312)으로부터 예컨대, 아르신과 분배된 가스를 수용하여 이온 빔(305)을 발생시키는 발전기 또는 이오나이저(316)를 포함한다. 이온 빔(305)은, 필요한 이온을 선택하고 선택되지 않은 이온은 거부하는 매스분석기유닛(322)을 통과한다.
선택된 이온들은 가속 전극어레이(324) 및 이어서 편향 전극(326)을 통과한다. 결과적으로 초점화된 이온 빔은 회전 가능한 홀더(330)에 배치되고 이어서 스핀들(332)에 장착된 기판요소(328)에 집진된다. As+ 이온의 이온빔은 n-도핑된 구조체를 형성하는데 바람직하도록 그 기판을 n-도핑하는데 사용된다.
이온 주입 챔버(301)의 각각의 섹션은 펌프(320, 342, 346)에 의해 라인(318, 340, 344)을 통해 각각 비워진다.
도 6은, 도 5에 도시된 형태의 처리시스템에서 형성될 수 있는 NMOS 트랜지스터 구조체(400)로서, n-도핑소스(404) 및 n-도핑드레인(410)영역을 포함하는 구조체의 부분 단면도이다. 기판(402)은, 예컨대 게이트 층(406)을 갖는 게이트 산화물 층(408)을 지니는 p-타입 기판으로 될 수 있다. n-도핑소스 및 드레인 영역들을 예컨대, 110KeV의 적절한 에너지로 기판에 집진된 As+이온들의 주입에 의해 형성됨으로써, 원하는 최종사용 트랜지스터용으로서 예컨대, 단위 평방센티미터 당 1015 이온의 적절한 플럭스(flux)로 도핑된 영역(404, 410)을 생산해낼 수 있다.
본 발명에 따라 도 6에 도시된 구조체의 제조에 있어서, As+ 이온들은 저장 및 분배용기로부터의 아르신 또는 다른 아르세닉 전구체 가스 종의 유입에 의해 형성될 수 있는바, 그 용기에는 전구체 가스가 실제로 대기압으로 되도록 예컨대, 600 내지 750 토르(Torr)의 범위내의 적합한 온도로 수착적으로 저장된다.
도 7은 도 1에 도시된 형태의 저장 및 분배용기로부터 분배된 가스시약의 사용으로 형성된 구조적 특징을 포함하는 스태틱 랜덤 액세스 메모리(SRAM)의 부분 횡측면도 이다.
SRAM 구조체(500)는 예컨대 p-타입 실리콘을 포함할 수 있는 기판(502)을 포함하며, 그 기판위에는 본 발명에 따라 유체 저장 및 분배용기로부터 공급된 전술된 바와 같은 실리콘 소스 전구체로의 에피택셜 박막 데포지션에 의해 형성된 SiO2를 포함할 수 있는 산화물층(504)이 데포지트 된다.
선택적으로, 산화물층은 층(504)을 형성하도록 기판(502)의 산화처리에 의해 형성될 수 있는바, 이 같은 산화처리에는 본 발명의 방법에 따라 유체 저장 및 전달 용기로부터 분배되는 산화 시약을 이용한다.
산화물층(504)위에는 층 영역(508, 512)에 의해 접해진 폴리실리콘 레지스터 엘레멘트(510)가 중첩되는바, 그 층영역(508, 512)은 As+와 같은 n-도펀트, 또는 안티모니 또는 포스포로스 도펀트 종으로 적절하게 도포될 수 있어 n-도핑된 접경영역을 제공한다. 중첩 전기층(506)은, 층(504)의 형성에 관련하여 전술된 바와 같이 화학적 증착에 의해 실리카로 형성될 수 있다. 저장 및 분배용기로부터 적절하게 분배될 수 있는 유체상 에챈트에 의해 에칭됨으로써 금속화 엘레멘트(514)를 위한 트렌치(trench) 또는 웰(well)을 제공한다.
그러므로, 도 7에 도시된 SRAM셀의 폴리실리콘 레지스터 구조체에 대한 제조방법에서는, 이온주입, 화학증착, 에칭 및 금속화의 성분처리 단계에 대한 처리유체의 분배가 실행될 수 있다. 본 발명의 처리 단계들은 제조 궤적을 따라 유체 분위기에서 실행될 수 있으며, 그 같은 제조궤적에서는 전자 디바이스 구조체의 제조공정에서 분배된 유체의 이용을 중지하거나, 유지하거나 또는 촉진시키는 단계가 실행될 수 있다.
도 8은 본 발명의 방법에 따라 제조될 수 있는 집적 캐패시터를 포함하는 집적회로 구조체의 부분단면도이다.
집적회로(601)의 도시된 부분은 콘벤셔널 메탈-옥사이드-세미컨덕터 필드 이펙트 트랜지스터(MOSFET)와 같은 제 1활성 디바이스(610)와, 실리콘 기판과 같은 기판(615)에 형성된 바륨 스트로늄 티타네이트(BST)의 층과 같은 유전성 필름층을 이용하는 캐패시터(605)를 포함한다. 제 2 트랜지스터(620)의 드레인 영역이 설명된다.
이같은 구조에 사용된 활성 디바이스의 특정형태로는 집적회로의 최종제품으로 바람직하게 될 수 있는 NMOS, PMOS 또는 CMOS 구조체들을 구성할 수 있다. 그 같은 구조체에서의 다른 잠재적으로 유용한 활성 디바이스들은 예컨대, 비폴라 정션 트랜지스터 및 갈륨 아르세나이드 MESFETs를 포함한다. 트랜지스터(610, 620)는, 본 발명에 따라 흡착제 저장 및 분배 시스템으로부터 분배된 시약을 이용하는 방법들을 처리함으로써 제조될 수 있다.
도 8에 있어서, 트랜지스터(610, 620)는, 예컨대 SiO2로 형성되는 필드 옥사이드 영역(625, 630)을 포함하며, 트랜지스터(610)와 트랜지스터(620)와 같은 인접 디바이스들 사이에서 절연체로 작용한다.
트랜지스터(610)의 소스영역(635) 및 드레인영역(640)은 NMOS 구조체들에 대한 아르세닉 또는 포스포로스와 같은 n-타입 불순물로 도핑함으로써 형성된다. 실리사이드(645)의 옵션층이 소스 및 드레인 영역(635, 640)에 데포지트 되어 소스 및 드레인 저항을 감소시킴으로써, 트랜지스터(610)에 의해 더 큰 전류공급을 실행할 수 있다.
트랜지스터(610)의 게이트(650)는 예컨대, 본 발명의 방법에 따라 저장 및 분배 용기로부터 분배된 유체를 이용하여, 이온 주입 또는 증기 도핑과 같이 n-타입 불순물로 도핑된 폴리실리콘(655)을 포함한다. 게이트 폴리실리콘(655)은 SiO2 스페이서(660)에 배치된다. 실리사이드(662)의 옵션층이 게이트 폴리실리콘(655)상에 데포지트되어 게이트(650)의 전기저항을 감소시킨다. 에컨대, 포스포로스 산화물이 도핑된 p-글래스의 절연층(665)이 트랜지스터(610,620)에 데포지트되어 트랜지스터에 대한 보호를 제공하여 전기접속을 촉진시킨다.
이후, 콘택트 윈도우즈(666)가 절연층(665)에 에칭되어 디바이스 게이트(650) 및 소스영역(635) 및 드레인 영역(640)을 노출시킨다. 비록 트랜지스터(610,620)의 드레인 영역들만이 도 8에 도시된 집적회로의 횡단면에 노출되어 있지만, 게이트 및 소스는 도시된 횡단면의 외부에서 집적회로(601)의 다른 영역에 노출되는 것으로 쉽게 이해될 것이다.
도 8에 도시된 캐패시터(605)와 같은 적어도 하나의 캐패시터가 절연층 포면과 같은 집적회로상에 형성된다. 캐패시터(605)는 절연층 표면에 형성된 제1전극(670), 제 1전극(670)상의 유전성 필름영역(675), 및 제1 전극(670)에 마주하게 유전성 필름영역(675)에 형성된 제2전극(680)을 포함한다. 제 1전극(670)은, 예컨대 티타늄 니트라이드층 위에 플래티넘층이 있는 것과 같은 2층 구조체를 지닐 수 있다. 플래티넘은 적절한 전극재료이지만, 실리콘과 가역적으로 반응한다. 결과적으로, 분산 배리어는 기판(615)의 실리콘과 플래티넘간의 화학반응을 방지하도록 절연층 표면과 접촉하는 제2전극층으로 유용하게 이용된다. 2층 구조체의 각각의 층에 대한 적절한 두께는 0.01 내지 0.05 마이크로미터의 범위로 될 수 있다.
선택적으로, 도 8에 도시된 일반적인 형태의 집적회로는, 바람직한 방식으로 에칭된 영역 및 다른 회로구성요소들을 통해 전기적으로 접속되는 디바이스에 대한 종 패턴으로 절연층(665)의 표면상의 전기 도전성 상호 접속층의 데포지션으로 형성될 수 있다.
도 8에 도시된 디바이스 구조체의 다른 선택적 구성으로서, 제1전극(670)은 적합한 도전물질의 단일층 구조체로 될 수 있다. 제 1전극(670)의 적합한 전체두께는 1층 또는 2층 구조에 따라, 약 0.1 내지 0.5 마이크로미터의 범위로 될 수 있다. 제 1전극(670)은, 그 제1전극(670)에 저기접속을 제공하도록 제 2전극(680)보다 큰 것이 적합하다.
캐패시터(605)의 형성 후, 예컨대 Si02와 같은 절연물질(685)이 캐패시터(605)의 에지영역(690,691,692)에 데포지트됨으로써, 상호 접속층이 형성될 때 제 1캐패시터 전극(670)과 제 2캐패시터 전극(680)간의 단락을 방지한다. 이후, 상호 접속층(695)이 절연층에 형성되고 이에 상응하게 에칭된 접촉 윈도우즈상에 형성되어, 바람직한 방식으로 디바이스(610,620)와 캐패시터(605)를 전기적으로 접속시킨다. 상호 접속층(695)을 위한 적합한 물질은 알루미늄 및/또는 구리를 포함하는 바, 이들은 본 발명의 방법에 따라 흡착제 저장 및 분배 용기로부터 분배된 상응하는 금속유기질 전구체로부터 데포지트될 수 있다. 집적회로(601)에 있어서, 트랜지스터(610)의 드레인(640)은 캐패시터(680)의 제 1전극(670)에 전기 접속되며, 캐패시터의 제 2전극(680)은 트랜지스터(620)의 소스에 전기 접속된다.
전술된 바와 같이, 본 발명은 흡착매체의 선택을 통해 반도체 제조설비에서 광범위한 반도체 제조 시약들 중 어느 것의 전달이 실행될 수 있으며, 당업자들의 숙련된 경험 없이도 분배 모드를 쉽게 결정할 수 있으며, 또한 적합한 재료 및 처리조건들을 결정하는데 간단한 수착 및 방출 테스트를 통해 용이하게 결정될 수 있는 것으로 이해된다.
따라서, 본 발명이 특정의 구성요소, 형태 및 실시예들을 참조로 하여 개시되고 설명된 반면, 본 발명은 본원의 설명과 일치하는 광범위한 다른 실시예, 특징 및 실행이 가능할 것이다. 그러므로, 청구된 본 발명은 전술된 사상 및 범위내에서 광범위하게 추론되고 해석될 것이다.
본 발명의 고순도 가스(들)의 선택적 분배를 위한 흡착제 주성분 가스 저장 및 분배 시스템은, 요구에 유용한 처리유체(들)의 신뢰적인 소스를 필요로하는 적용 및 공정에 산업적으로 이용될 수 있다. 반도체 제조산업은, 전자 디바이스 집적도를 점진적으로 증가시키고 웨이퍼 크기를 증가시키기 때문에 높은 수준의 처리 신뢰도 및 효율을 요구하며, 본 발명은 그 같은 반도체 시스템 및 방법의 달성을 위해 고순도 유체를 분배하기 위한 신뢰적인 수단을 제공한다.

Claims (46)

  1. 흡착가스가 물리적으로 흡수된 고상(solid-phase)의 물리적 흡착제 매체(sorbent medium)를 포함하는 저장 및 분배용기;
    흡착가스의 불순물에 대한 화학적 수착성 친화력(chemisorptive affinity)을 지니며 상기 저장 및 분배용기에서 가스상의 제거를 위해 상기 불순물을 화학적으로 흡수하도록 배치되는 저장 및 분배 용기내의 화학흡착 물질; 및
    상기 저장 및 분배용기로부터 탈착된 흡착가스를 선택적으로 배출시키기위해 상기 저장 및 분배 용기에 가스 유동 연통적으로 접속되는 분배 조립체를 포함하는 흡수제 주성분 가스 저장 및 분배 시스템.
  2. 제1항에 있어서, 화학흡수물질(chemisorbent material)은 불순물은 침투할 수 있지만 흡수성 가스는 침투할 수 없는 배리어 부재(barrier member)에 의해 흡착가스와의 접촉이 차단되는 흡착제 주성분 가스 저장 및 분배 시스템.
  3. 제1항에 있어서, 상기 배리어 부재는 선택 투과막(permselective membrane)을 포함하는 흡착제 주성분 가스 저장 및 분배 시스템.
  4. 제1항에 있어서, 상기 선택 투과막은 폴리프로필렌, 폴리비닐리덴 플루오라이드, 폴리테트라플루오로에틸렌, 플라플루오로아세테이트, 실리콘, 표면처리된 유리섬유 및 노릴 필름(Noryl film)으로 이루어진 그룹으로부터 선택된 구성물질로 형성되는 흡착제 주성분 가스 저장 및 분배 시스템.
  5. 제1항에 있어서, 상기 화학흡착물질은 불순물은 침투할 수 있지만 흡수성 가스는 침투할 수 없는 메브레인을 포함하는 캡슐에 포함되는 흡착제 주성분 가스 저장 및 분배 시스템.
  6. 제5항에 있어서, 상기 화학흡착물질은 캡슐내부에서 지지 매트릭스(support matrix)에 지지되는 흡착제 주성분 가스 저장 및 분배 시스템.
  7. 제1항에 있어서, 상기 화학적 흡수성 재료는
    (A) Ⅱ족(Group Ⅱ) 금속;
    (B) 관련은 있지만 공유결합적으로 접합되지 않으며 그 같은 오염의 존재하에서 불순물의 제거를 실행하기 위해 반응하는 음이온을 제공하는 화합물을 지니는 지지체를 포함하는 담체로서, 상기 화합물은
    (ⅰ) 약 22내지 약 36의 pKa 값을 갖는 상응하는 양성자 첨가 카바니온 화합물을 지니는 카바니언 소스 화합물; 및
    (ⅱ) 상기 카바니언 소스 화합물과 흡착가스의 반응에 의해 형성된 음이온 소스 화합물로 이루어진 그룹의 하나 이상의 멤버들로부터 선택되는 담체; 및
    (C)
    (ⅰ) 그램당 약 50내지 1000 평방미터의 범위의 표면적을 지니며 적어도 약 250℃까지 열적으로 안정한 불활성 지지체; 및
    (ⅱ) 지지체 1리터당 약 0.01내지 1.0몰의 농도로 상기 지지체 상에 존재하며, 소듐, 포타슘, 루비듐, 및 세슘과 그들의 혼합물 및 그들의 합금과 피로리시스(pyrolysis)로부터 선택된 ⅠA족 금속의 지지체상에서의 데포지션에 의해 형성되는 활성 담체 종을 포함하는 담체로 이루어진 그룹으로부터 선택되는 흡착제 주성분 가스 저장 및 분배 시스템.
  8. 제1항에 있어서, 상기 화학흡착물질은 바륨, 소트론튬, 칼슘 및 마그네슘으로 이루어진 그룹으로부터 선택되는 흡착제 주성분 가스 저장 및 분배 시스템.
  9. 제1항에 있어서, 상기 화학흡착물질은 트리틸리튬 및 포타슘 아르센나이드로 이루어진 그룹으로부터 선택되는 흡착제 주성분 가스 저장 및 분배 시스템.
  10. 제1항에 있어서, 상기 화학흡착물질은 물리적 흡착제의 베드와 불순 가스 유동 연통관계로 재료의 분리 베드로 분리형 베드로 제공되는 흡착제 주성분 가스 저장 및 분배 시스템.
  11. 제1항에 있어서, 상기 화학흡착제는 저장 및 분배용기내의 물리적 흡착물질의 베드 전체를 통해 분산되는 흡착제 주성분 가스 저장 및 분배 시스템.
  12. 제1항에 있어서, 상기 고상의 물리적 흡착제 매체는 결정질 알루미노실리케이트, 알루미나, 실리카, 탄소, 마크로레티큘레이트 폴리머, 및 규조토로 이루어진 그룹으로부터 선택된 물질을 포함하는 흡착제 주성분 가스 저장 및 분배 시스템.
  13. 제1항에 있어서, 상기 화학흡착물질은 얇은 금속 필름형태로 저장 및 분배용기에 제공되는 흡착제 주성분 가스 저장 및 분배 시스템.
  14. 제1항에 있어서, 상기 화학흡착물질 형태의 얇은 금속 필름은 바륨, 스트론튬, 칼슘 및 마그네슘으로 이루어진 그룹으로부터 선택된 물질을 포함하는 흡착제 주성분 가스 저장 및 분배 시스템.
  15. 제1항에 있어서, 화학흡착물질은 저장 및 분배 용기의 내부체적 영역에서 분리되는 흡착제 주성분 가스 저장 및 분배 시스템.
  16. 불순물의 존재에 잠재적으로 민감한 분위기에서 불순 가스를 반응적으로 흡수하기 위한 캡슐에 있어서, 상기 캡슐은 그 내부에서 그 캡슐의 내부체적을 한정하는 선택 투과막; 및 화학흡착물질로서, 상기 선택 투과막을 통과할 때 화학흡착물질과 접촉하여 불순 가스와 반응하는 화학흡착물질을 포함하는 캡슐.
  17. 제16항에 있어서, 상기 화학흡착물질은 캡슐의 내부체적에서 지지 매트릭스에 지지되는 캡슐.
  18. 제16항에 있어서, 상기 화학흡착물질은
    (A) Ⅱ족 금속;
    (B) 관련은 있지만 공유역학적으로 접합되지 않으며 그 같은 오염의 존재하에서 불순물의 제거를 실행하기 위해 반응하는 음이온을 제공하는 화합물을 지니는 지지체를 포함하는 담체로서, 상기 화합물은
    (ⅰ) 약 22내지 약 36의 pKa 값을 갖는 상응하는 양성자 첨가 카바니온 화합물을 지니는 카바니언 소스 화합물; 및
    (ⅱ) 상기 카바니언 소스 혼합물과 흡착성 가스의 반응에 의해 형성된 음이온 소스 혼합물로 이루어진 그룹의 하나 이상의 멤버들로부터 선택되는 담체; 및
    (C)
    (ⅰ) 단위 그램당 약 50내지 1000 평방미터의 범위의 표면적을 지니며 적어도 약 250℃까지 열적으로 안정한 불확성 지지체; 및
    (ⅱ) 지지체 1리터당 약 0.01내지 1.0몰의 농도로 상기 지지체 상에 존재하며, 소듐, 포토슘, 루비듐, 및 세슘과 그들의 혼합물 및 그들의 합금과 피로리시스로부터 선택된 ⅠA군의 지지체상에 데포지션에 의해 형성되는 활성 담체 종을 포함하는 담체로 이루어진 그룹으로부터 선택되는 캡슐.
  19. 제16항에 있어서, 상기 화학흡착물질은 바륨, 소트론튬, 칼슘 및 마그네슘으로 이루어진 그룹으로부터 선택되는 캡슐.
  20. 제1항에 있어서, 상기 화학흡착물질은 트리틸리튬 및 포타슘 아르센나이드로 이루어진 그룹으로부터 선택되는 캡슐.
  21. 가스 시약을 이용하는 반도체 제조장치, 및 상기 반도체 제조장치에 가스 유동 연통적으로 연결되는 가스 시약 소스를 포함하는 반도체 제조설비에 있어서,
    상기 가스 시약 소스는 흡착 가스가 물리적으로 흡착된 고상의 물리적 흡착 매체를 포함하는 저장 및 분배 용기;
    상기 저장 및 분배 용기로부터 탈착된 흡착 가스를 선택적으로 배출시키기 위해, 상기 저장 및 분배 용기와 가스 유동 연통적으로 연결된 분배 조립체; 및
    선택적으로, 흡착가스의 불순물에 대해 화학흡착 친화도를 지니며, 상기 저장 및 분배 용기내에서 가스상 제거를 위해 상기 불순물을 화학흡착하도록 배열되는 상기 저장 및 분배 용기내의 화학흡착물질을 포함하는 반도체 제조 설비.
  22. 반응가스를 공급하기 위한 방법에 있어서,
    물리적으로 흡착된 시약 가스를 지니는 고상의 물리적 흡착제 매체를 포함하는 저장 및 분배 용기를 제공하는 단계;
    가스상을 제거하기 위해 상기 저장 및 분배용기에서 시약 가스의 가스상 불순물을 선택적으로 화학흡착하는 단계;
    물리적 흡착제 매체로부터 시약 가스를 탈착하는 단계; 및
    상기 저장 및 분배 용기로부터 탈착된 시약가스를 방출하는 단계를 포함하는 시약공급 방법.
  23. 기판에 전자 디바이스 구조체를 형성하기 위한 방법에 있어서,
    전자 디바이스 구조체의 형성을 위한 유체가 물리적으로 흡착된 물리적 흡착 매체를 포함하는 저장 및 분배 용기를 제공하는 단계;
    상기 물리적 흡착제 매채로부터 유체를 탈착하고 상기 저장 및 분배 용기로부터 유체를 분배하는 단계; 및
    상기 기판상에 유체 또는 그것의 성분을 이용하는데 유효한 조건하에서 상기 저장 및 분배 용기로부터 분배된 유체와 상기 기판을 접촉시키는 단계를 포함하며,
    상기 저장 및 분배 용기는 유체의 불순물에 대한 화학흡착를 선택적으로 더 포함하며, 상기 유체는 고순도 상태로 분배될 수 있는 기판에 전자 디바이스 구조체를 형성하기 위한 방법.
  24. 제23항에 있어서, 상기 접촉단계는
    (a) 이온주입;
    (b) 에피텍셜 성장;
    (c) 플라즈마 에칭;
    (d) 반응이온 에칭;
    (e) 금속화;
    (f) 물리적 증착;
    (g) 화학적 증착;
    (h) 포토리토그래피
    (i) 세정; 또는
    (j) 도핑;으로 이루어진 그룹으로부터 선택된 처리 단계를 포함하는 방법.
  25. 기판에 전자 디바이스 구조체를 형성하기 위한 방법에 있어서,
    전자 디바이스 구조체의 물질구성을 위한 소스 유체가 물리적으로 흡착된 물리적 흡착 매체를 포함하는 저장 및 분배 용기를 제공하는 단계;
    상기 물리적 흡착 매채로부터 소스유체를 탈착하고 상기 저장 및 분배 용기로부터 소스유체를 분배하는 단계; 및
    상기 기판에서의 물질성분을 데포지트하는데 유효한 조건에서, 상기 저장 및 분배 용기로부터 분배된 소스유체에 상기 기판을 접촉시키는 단계; 및
    분배된 유체의 순도를 감소시킬 수 있는 용기에 존재하는 불순물을 화학 흡착하는 선택적 단계를 포함하는 기판에 전자 디바이스 구조체를 형성하기 위한 방법.
  26. 기판에 전자 디바이스 구조체를 형성하기 위한 방법에 있어서,
    전자 디바이스 구조체의 형성에 이용되지만 그 전자 디바이스 구조체의 물질 성분을 형성하지 않는 전자 디바이스 구조체의 형성을 위한 유체가 물리적으로 흡착된 물리적 흡착 매체를 포함하는 저장 및 분배 용기를 제공하는 단계;
    상기 물리적 흡착 매채로부터 유체를 탈착하고 상기 저장 및 분배 용기로부터 유체를 분배하는 단계; 및
    상기 기판에 유체 또는 그것의 성분을 이용하는데 유효한 조건하에서 상기 저장 및 분배 용기로부터 분배된 유체에 상기 기판을 접촉시키는 단계를 포함하며,
    상기 저장 및 분배 용기는 유체의 불순물에 대한 화학흡착제를 포함하며, 상기 유체는 고순도 상태로 분배될 수 있는 기판에 전자 디바이스 구조체를 형성하기 위한 방법.
  27. 제23항에 있어서, 상기 전자 디바이스 구조체는
    (a) 트랜지스터;
    (b) 캐패시터;
    (c) 레지스터;
    (d) 메모리 셀;
    (e) 유전성 재료;
    (f) 매립 도핑된 기재 영역;
    (g) 금속화층;
    (h) 채널정지층;
    (i) 소스층;
    (j) 게이트층;
    (k) 드레인층;
    (l) 산화물층;
    (m) 필드 에미터 엘레멘트;
    (n) 비활성층;
    (o) 인터커넥트;
    (p) 폴리사이드;
    (q) 전극;
    (r) 트렌치 구조체;
    (s) 이온 주입 재료층;
    (t) 바이어 플러그;
    (y) 상기 (a)∼(t) 전기 디바이스 구조체를 위한 전구질 구조체; 및
    (v) 상기 (a)∼(t) 전자 디바이스 구조체중 하나 이상을 포함하는 디바이스 조립체들로 이루어진 그룹으로부터 선택되는 방법.
  28. 제23항에 있어서, 상기 전자 디바이스 구조체는 메모리 칩 디바이스를 포함하는 방법.
  29. 제28항에 있어서, 상기 메모리 칩 디바이스는
    (ⅰ) ROM 칩;
    (ⅱ) RAM 칩;
    (ⅲ) SRAM 칩;
    (ⅳ) DRAM 칩;
    (ⅴ) PROM 칩;
    (ⅵ) EPROM 칩;
    (ⅶ) EEPROM 칩; 및
    (ⅷ) 플래쉬 메모리 칩;으로 이루어진 그룹으로부터 선택된 디바이스를 포함하는 방법.
  30. 제23항에 있어서, 전자 디바이스 구조체는 반도체 로직 칩을 포함하는 방법.
  31. 제23항에 있어서, 전자 디바이스 구조체는 마이크로콘트롤러와 마이크로프로세서로 이루어진 그룹으로부터 선택된 반도체 로직칩을 포함하는 방법.
  32. 제23항에 있어서, 상기 전자 디바이스 구조체는 마이크로콘트롤러를 포함하는 방법.
  33. 제23항에 있어서, 상기 전자 디바이스 구조체는 마이크로프로세서를 포함하는 방법.
  34. 제23항에 있어서, 상기 접촉 단계는 이온 주입을 포함하는 방법.
  35. 제23항에 있어서, 상기 이온 주입을 위한 유체는 알루미늄, 바륨, 스트론튬, 칼슘, 니오븀, 탄탈륨, 동, 플래티늄, 팔라듐, 이리듐, 로듐, 금, 텅스텐, 티타늄, 니켈, 크로뮴, 몰리브데늄, 바나듐, 및 이것들의 결합으로 이루어진 그룹으로부터 금속 성분을 지니는 금속유기질 합성물을 포함하는 방법.
  36. 제23항에 있어서, 상기 접촉단계는 화학증착을 포함하는 방법.
  37. 제23항에 있어서, 상기 접촉단계는 폴리실리콘의 화학증착을 포함하는 방법.
  38. 제23항에 있어서, 상기 접촉단계는 도핑된 폴리실리콘 물질을 기판에 형성하는 단계를 포함하는 방법.
  39. 제23항에 있어서, 상기 물리적 흡착제 매체는 탄산질 물질, 실리카, 알루미나, 알루미노실리케이트, 규조토 및 중합성 흡착 물질로 이루어진 그룹으로부터 선택된 흡착물질을 포함하는 방법.
  40. 제23항에 있어서, 상기 접촉단계는
    실란;
    디실란;
    클로로실란;
    텅스텐 헥사플르오라이드;
    트리클로로티타늄;
    테트라키스디메틸아미도티타늄;
    테트라키스디에틸아미도티타늄;
    암모니아;
    테트라에틸오르토실리케이트;
    아르신;
    포스핀;
    보레인;
    디보레인;
    보론 트리플로오라이드;
    보론 트리클로라이드;
    트리메틸보레이트;
    트리메틸보라이트;
    트리에틸보레이트;
    트리에틸보라이트;
    포스포로스 트리클로라이드;
    트리메틸포스페이트;
    트리메틸포스파이트;
    트리에틸포스페이트; 및
    트리에틸포스파이트;로 이루어진 그룹으로부터 선택된 전구체로 수행되는 화학증착을 포함하는 방법.
  41. 전자 디바이스 구조체를 포함하는 전자제품을 형성하기 위한 방법으로서, 전자 디바이스 구조체는 소스 유체로부터 기판에 물질이 데포지션되어 형성되는 전자제품 형성방법에 있어서,
    상기 유체는 물리적 흡착 매체에 의해 수착되어 보유되는 용기에 상기 유체를 제공하는 단계;
    상기 형성공정동안 필요로하는 물리적 흡착매체로부터 상기 유체를 탈착시키고, 물리적 흡착매체를 포함하는 용기로부터 유체를 분배하는 단계; 및
    상기 물질을 상기 기판에 데포지트시키기 위해 상기 분배된 유체를 기판에 접촉시키는 단계를 포함하는 전자제품 형성방법.
  42. 제 41항에 있어서, 상기 제품은 컴퓨터, 개인용 디지털 보조기, 전화기, 평판 디스플레이, 모니터, 음향시스템, 전자 게임기, 가상 실현장치, 및 유행하는 소비 기구로 이루어진 그룹으로부터 선택되는 방법.
  43. 제 42항에 있어서, 유행성 소비자 기구들은 요리장치, 냉장고, 냉동기, 식기세척기, 세탁기, 건조기, 가습기, 제습기, 공기조화기, 세계 위치결정 시스템, 조명시스템, 및 상기 것들을 위한 원격제어기로 이루어진 그룹으로부터 선택되는 방법.
  44. 제 41항에 있어서, 상기 전자제품은 전자통신장치를 포함하는 방법.
  45. 제 41항의 방법에 따라 제조된 전자제품에 있어서,
    (a) 트랜지스터;
    (b) 캐패시터;
    (c) 레지스터;
    (d) 메모리 셀;
    (e) 유전재료;
    (f) 매립 도핑된 기판영역;
    (g) 금속화 층;
    (h) 채널 정지층;
    (i) 소스층;
    (j) 게이트 층;
    (k) 드레인 층;
    (l) 산화물 층;
    (m) 필드 에미터 엘레먼트;로 이루어진 그룹으로부터 선택된 전자 디바이스 구조체를 포함하는 전자제품.
  46. 제 23항의 방법에 따라 제조된 전자 디바이스 구조체.
KR1020007013096A 1998-05-21 1999-05-21 고순도 가스를 분배하기 위한 흡착제 주성분 가스 저장 및전달 시스템 KR100641087B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/082,596 US6132492A (en) 1994-10-13 1998-05-21 Sorbent-based gas storage and delivery system for dispensing of high-purity gas, and apparatus and process for manufacturing semiconductor devices, products and precursor structures utilizing same
US09/082,596 1998-05-21

Publications (2)

Publication Number Publication Date
KR20010043742A true KR20010043742A (ko) 2001-05-25
KR100641087B1 KR100641087B1 (ko) 2006-10-31

Family

ID=22172165

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007013096A KR100641087B1 (ko) 1998-05-21 1999-05-21 고순도 가스를 분배하기 위한 흡착제 주성분 가스 저장 및전달 시스템

Country Status (9)

Country Link
US (1) US6132492A (ko)
EP (1) EP1093395B1 (ko)
JP (1) JP4705240B2 (ko)
KR (1) KR100641087B1 (ko)
AU (1) AU4199699A (ko)
IL (1) IL139782A (ko)
MY (1) MY117506A (ko)
TW (1) TWI228260B (ko)
WO (1) WO1999059701A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102602190B1 (ko) * 2023-01-02 2023-11-15 (주)엠지케이 독성 및 유해 잔류가스 처리 시스템을 이용한 처리 방법

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287436B1 (en) 1998-02-27 2001-09-11 Innovent, Inc. Brazed honeycomb collimator
US6406519B1 (en) * 1998-03-27 2002-06-18 Advanced Technology Materials, Inc. Gas cabinet assembly comprising sorbent-based gas storage and delivery system
US6660063B2 (en) 1998-03-27 2003-12-09 Advanced Technology Materials, Inc Sorbent-based gas storage and delivery system
US6277342B1 (en) * 1999-08-23 2001-08-21 Air Products And Chemicals, Inc. Storage and safe delivery of hazardous specialty gases by acid/base reactions with ionic polymers
US6391385B1 (en) 1999-10-18 2002-05-21 Advanced Technology Materials, Inc. Method of abating of effluents from chemical vapor deposition processes using organometallic source reagents
RU2171765C1 (ru) * 2000-02-29 2001-08-10 Центр КОРТЭС Капсула для хранения газа и способ ее заправки
DE10214092B4 (de) * 2001-03-30 2012-03-15 Hoya Corp. Halbton-Phasenverschiebungsmasken-Rohling und Halbton-Phasenverschiebungsmaske
US6879876B2 (en) 2001-06-13 2005-04-12 Advanced Technology Materials, Inc. Liquid handling system with electronic information storage
US6932945B2 (en) * 2001-06-19 2005-08-23 Air Products And Chemicals, Inc. Adsorbent based gas delivery system with integrated purifier
GB0128913D0 (en) 2001-12-03 2002-01-23 Applied Materials Inc Improvements in ion sources for ion implantation apparatus
KR100460140B1 (ko) * 2001-12-12 2004-12-03 삼성전자주식회사 인젝션 밸브의 막힘을 검사할 수 있도록 한 반도체 제조용반응가스 공급장치 및 그 막힘 검사방법
US6857447B2 (en) 2002-06-10 2005-02-22 Advanced Technology Materials, Inc. Pressure-based gas delivery system and method for reducing risks associated with storage and delivery of high pressure gases
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
JP4585852B2 (ja) * 2002-07-30 2010-11-24 エーエスエム アメリカ インコーポレイテッド 基板処理システム、基板処理方法及び昇華装置
US6716271B1 (en) 2002-10-29 2004-04-06 Advanced Technology Materials, Inc. Apparatus and method for inhibiting decomposition of germane
US7105037B2 (en) * 2002-10-31 2006-09-12 Advanced Technology Materials, Inc. Semiconductor manufacturing facility utilizing exhaust recirculation
US6897102B2 (en) * 2002-12-06 2005-05-24 Lsi Logic Corporation Process to minimize polysilicon gate depletion and dopant penetration and to increase conductivity
US6991671B2 (en) * 2002-12-09 2006-01-31 Advanced Technology Materials, Inc. Rectangular parallelepiped fluid storage and dispensing vessel
US8002880B2 (en) 2002-12-10 2011-08-23 Advanced Technology Materials, Inc. Gas storage and dispensing system with monolithic carbon adsorbent
US6743278B1 (en) 2002-12-10 2004-06-01 Advanced Technology Materials, Inc. Gas storage and dispensing system with monolithic carbon adsorbent
US7494530B2 (en) * 2002-12-10 2009-02-24 Advanced Technology Materials, Inc. Gas storage and dispensing system with monolithic carbon adsorbent
DE10260149A1 (de) 2002-12-20 2004-07-01 BSH Bosch und Siemens Hausgeräte GmbH Vorrichtung zur Bestimmung des Leitwertes von Wäsche, Wäschetrockner und Verfahren zur Verhinderung von Schichtbildung auf Elektroden
US20040206239A1 (en) * 2003-02-20 2004-10-21 Laubacher Daniel B. Method for reducing gaseous contamination in a pressure vessel
US7447298B2 (en) * 2003-04-01 2008-11-04 Cabot Microelectronics Corporation Decontamination and sterilization system using large area x-ray source
US20040198892A1 (en) * 2003-04-01 2004-10-07 Cabot Microelectronics Corporation Electron source and method for making same
US20050053535A1 (en) * 2003-09-08 2005-03-10 Seh America, Inc. Gettering filter and associated method for removing oxygen from a gas
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
WO2005037421A2 (en) * 2003-10-14 2005-04-28 Advanced Technology Materials, Inc. Hydrogen generation
US7396381B2 (en) * 2004-07-08 2008-07-08 Air Products And Chemicals, Inc. Storage and delivery systems for gases held in liquid medium
US7648682B2 (en) * 2004-07-08 2010-01-19 Air Products And Chemicals, Inc. Wick systems for complexed gas technology
US7955797B2 (en) * 2004-10-25 2011-06-07 Advanced Technology Materials, Inc. Fluid storage and dispensing system including dynamic fluid monitoring of fluid storage and dispensing vessel
CN101213008B (zh) * 2005-05-03 2011-09-28 高级技术材料公司 流体储存和分配系统以及包含该系统的流体供应方法
KR100675063B1 (ko) * 2005-06-27 2007-01-29 울산화학주식회사 저온액화가스의 충전방법
EP1928746A2 (en) * 2005-08-22 2008-06-11 Advanced Technology Materials, Inc. Material containment system
JP5591470B2 (ja) 2005-08-30 2014-09-17 アドバンスト テクノロジー マテリアルズ,インコーポレイテッド 代替フッ化ホウ素前駆体を使用するホウ素イオン注入および注入のための大きな水素化ホウ素の形成
US20070157804A1 (en) * 2006-01-06 2007-07-12 Mcmanus James V Method and apparatus for decommissioning and recycling retired adsorbent-based fluid storage and dispensing vessels
CN102600808A (zh) * 2006-01-30 2012-07-25 高级技术材料公司 纳米多孔碳材料,以及利用其的系统和方法
DE102006020847A1 (de) * 2006-05-04 2007-11-08 Robert Bosch Gmbh Verfahren und Vorrichtung zur Reinigung eines Gasspeichers
KR20140023430A (ko) 2006-07-10 2014-02-26 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 정보 저장 엘리먼트를 갖는 물질 저장 용기를 관리하기 위한 시스템 및 방법
WO2008053215A1 (en) * 2006-11-02 2008-05-08 Kbig Limited Product dispensing systems
US7833353B2 (en) * 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US8539781B2 (en) * 2007-06-22 2013-09-24 Advanced Technology Materials, Inc. Component for solar adsorption refrigeration system and method of making such component
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
US8012876B2 (en) 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US9117773B2 (en) * 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US8858819B2 (en) 2010-02-15 2014-10-14 Air Products And Chemicals, Inc. Method for chemical mechanical planarization of a tungsten-containing substrate
US8404024B2 (en) * 2010-04-15 2013-03-26 Air Products And Chemicals, Inc. Recovery of NF3 from adsorption operation
US20110302933A1 (en) * 2010-06-15 2011-12-15 Gm Global Technology Operations, Inc. Storage and supply system of liquefied and condensed hydrogen
JP2012082462A (ja) * 2010-10-08 2012-04-26 Toshiba Corp イオン注入装置および方法
US8679231B2 (en) * 2011-01-19 2014-03-25 Advanced Technology Materials, Inc. PVDF pyrolyzate adsorbent and gas storage and dispensing system utilizing same
US8795411B2 (en) 2011-02-07 2014-08-05 Air Products And Chemicals, Inc. Method for recovering high-value components from waste gas streams
DE102011012734B4 (de) * 2011-02-24 2013-11-21 Mainrad Martus Verfahren zur reversiblen Speicherung von Wasserstoff und anderer Gase sowie elektrischer Energie in Kohlenstoff-, Hetero- oder Metallatom-basierten Kondensatoren und Doppelschichtkondensatoren unter Standardbedingungen (300 K, 1atm)
US8361199B2 (en) * 2011-05-27 2013-01-29 Air Liquide Electronics U.S. Lp Purification of H2Se
US9126139B2 (en) 2012-05-29 2015-09-08 Entegris, Inc. Carbon adsorbent for hydrogen sulfide removal from gases containing same, and regeneration of adsorbent
CN104871286B (zh) 2012-12-21 2018-06-26 普莱克斯技术有限公司 用于碳离子注入的掺杂物组合物的储存和负压输送
CN105190826B (zh) 2013-05-02 2019-02-15 普莱克斯技术有限公司 用于富硒离子注入的供应源和方法
WO2015056092A1 (en) * 2013-10-16 2015-04-23 Pangaea Energy Limited Polymer composite pressure vessels using absorbent technology
CN104882374B (zh) * 2014-02-27 2018-03-06 旺宏电子股份有限公司 刻蚀方法与刻蚀组成物
US9570271B2 (en) 2014-03-03 2017-02-14 Praxair Technology, Inc. Boron-containing dopant compositions, systems and methods of use thereof for improving ion beam current and performance during boron ion implantation
SG10201909490SA (en) * 2015-05-12 2019-11-28 Entegris Inc Valve assemblies and fluid storage and dispensing packages comprising same
DE102015225289A1 (de) * 2015-12-15 2017-06-22 Evonik Degussa Gmbh Dotierte Zusammensetzungen, Verfahren zu ihrer Herstellung und ihre Verwendung
RU2616140C1 (ru) * 2015-12-24 2017-04-12 Федеральное государственное бюджетное учреждение науки Институт физической химии и электрохимии им. А.Н. Фрумкина Российской академии наук (ИФХЭ РАН) Способ хранения природного газа при помощи адсорбции в промышленных газовых баллонах
WO2017172691A1 (en) * 2016-03-30 2017-10-05 University Of Pittsburgh-Of The Commonwealth System Of Higher Education System for portable gas storage and delivery
US10146132B2 (en) 2017-01-13 2018-12-04 Globalfoundries Inc. Mobile dispense device for chemicals used in micro-processing
US11143329B2 (en) 2018-09-13 2021-10-12 Entegris, Inc. Valve system with position indicator
WO2020242863A1 (en) 2019-05-24 2020-12-03 Entegris, Inc. Methods and systems for adsorbing organometallic vapor
WO2020242861A1 (en) 2019-05-24 2020-12-03 Entegris, Inc. Methods and systems for removing ammonia from a gas mixture
CN111170288A (zh) * 2020-02-07 2020-05-19 吉林大学 一种单斜相二氮烯钡的高温高压制备方法
TWI817379B (zh) * 2021-03-22 2023-10-01 美商曼瑟森三汽油公司 用於閥門內部毒性氣體之吸氣劑匣
CN113960924B (zh) * 2021-08-28 2024-05-07 江苏昆仑互联科技有限公司 一种循环流化床法脱硫物料平衡边缘智控系统
KR20240060817A (ko) * 2021-09-15 2024-05-08 엔테그리스, 아이엔씨. 복합 흡착제-함유 바디 및 관련 방법

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US240423A (en) * 1881-04-19 Alexander james
US1608155A (en) * 1920-08-02 1926-11-23 American Solvent Recovery Corp Means for transporting and storing gases
US1714245A (en) * 1927-12-23 1929-05-21 American Signs Corp Gas-purifying trap and method of restoring same
US2356334A (en) * 1941-12-18 1944-08-22 Hooker Electrochemical Co Means for storing and concentrating anhydrous hydrogen chloride
US2450289A (en) * 1944-04-15 1948-09-28 Little Inc A Gas treating apparatus
US2663626A (en) * 1949-05-14 1953-12-22 Pritchard & Co J F Method of storing gases
NL225733A (ko) * 1953-09-25 1900-01-01
US3287432A (en) * 1957-04-11 1966-11-22 Texaco Inc Selective sorption process
US3093564A (en) * 1957-10-21 1963-06-11 Westinghouse Electric Corp Gas handling systems for radioactive gases
US3080307A (en) * 1957-10-21 1963-03-05 Westinghouse Electric Corp Radioactive fluid handling system
NL241272A (ko) * 1958-07-14
US2997371A (en) * 1958-12-01 1961-08-22 Standard Oil Co Recovering of bf3 from admixture with hydrocarbons
US3116132A (en) * 1960-01-22 1963-12-31 Olin Mathieson Process for the adsorption and desorption of diborane
US3006153A (en) * 1960-08-29 1961-10-31 Union Carbide Corp Method and apparatus for storing and transporting ozone
US3144200A (en) * 1962-10-17 1964-08-11 Clyde E Taylor Process and device for cryogenic adsorption pumping
US3264803A (en) * 1963-01-21 1966-08-09 Gen Electric Sorption vacuum pump
US3415069A (en) * 1966-10-31 1968-12-10 Nasa High pressure helium purifier
US3469375A (en) * 1967-10-16 1969-09-30 Nasa Sorption vacuum trap
US3675392A (en) * 1970-01-30 1972-07-11 Ite Imperial Corp Adsorption-desorption method for purifying sf{11
GB1385922A (en) * 1971-03-31 1975-03-05 Yatsurugi Y Kuratomi T Preparation and use of 4-5a zeolite
US3713273A (en) * 1971-05-03 1973-01-30 R Coffee Method and apparatus for storing gases and fueling internal combustion engines
US3719026A (en) * 1971-06-01 1973-03-06 Zeochem Corp Selective sorption of non-polar molecules
US3788036A (en) * 1972-07-26 1974-01-29 D Stahl Pressure equalization and purging system for heatless adsorption systems
US4023701A (en) * 1974-03-04 1977-05-17 Dockery Denzel J Breathing apparatus for underwater use
JPS5272373A (en) * 1975-12-15 1977-06-16 Chiyoda R & D Adsorption and separation apparatus
US4343770A (en) * 1977-12-19 1982-08-10 Billings Energy Corporation Self-regenerating system of removing oxygen and water impurities from hydrogen gas
US4263018A (en) * 1978-02-01 1981-04-21 Greene & Kellogg Pressure swing adsorption process and system for gas separation
US4322311A (en) * 1978-08-04 1982-03-30 Damon Corporation Process for producing controlled porosity microcapsules
BE878084R (fr) * 1978-08-04 1979-12-03 Damon Corp Procede d'encapsulation d'une matiere biologique labile.
NL8005645A (nl) * 1980-10-13 1982-05-03 Euratom Werkwijze voor het omkeerbaar opsluiten van gassen of dampen in een natuurlijk of synthetisch zeoliet.
DE3139781A1 (de) * 1981-10-07 1983-04-21 Nyby Uddeholm AB, 64480 Torshälla Verfahren und vorrichtung zur reinigung eines dampffoermige schadstoffe enthaltenden gases
JPS6071040A (ja) * 1983-09-27 1985-04-22 Takeda Chem Ind Ltd 有害ガス吸着剤
SU1181692A1 (ru) * 1983-11-14 1985-09-30 Московский ордена Ленина и ордена Трудового Красного Знамени химико-технологический институт им.Д.И.Менделеева Способ очистки газов от примесей фосфина и арсина
JPS61133116A (ja) * 1984-11-30 1986-06-20 Nippon Paionikusu Kk ガス精製装置
FR2580947B1 (fr) * 1985-04-25 1989-09-01 Air Liquide Procede et installation d'epuration par adsorption sur charbon actif, et pot adsorbeur correspondant
JPH06104177B2 (ja) * 1986-10-02 1994-12-21 大阪瓦斯株式会社 高純度ガス貯蔵用圧力容器
US4761395A (en) * 1987-03-24 1988-08-02 Advanced Technology Materials, Inc. Process and composition for purifying arsine, phosphine, ammonia, and inert gases to remove Lewis acid and oxidant impurities therefrom
US5015411A (en) * 1987-03-24 1991-05-14 Advanced Technology Materials, Inc. Process, composition, and apparatus for purifying inert gases to remove Lewis acid and oxidant impurities therefrom
US5151395A (en) * 1987-03-24 1992-09-29 Novapure Corporation Bulk gas sorption and apparatus, gas containment/treatment system comprising same, and sorbent composition therefor
US4749384A (en) * 1987-04-24 1988-06-07 Union Carbide Corporation Method and apparatus for quick filling gas cylinders
US4738693A (en) * 1987-04-27 1988-04-19 Advanced Technology Materials, Inc. Valve block and container for semiconductor source reagent dispensing and/or purification
US4723967A (en) * 1987-04-27 1988-02-09 Advanced Technology Materials, Inc. Valve block and container for semiconductor source reagent dispensing and/or purification
US4744221A (en) * 1987-06-29 1988-05-17 Olin Corporation Zeolite based arsine storage and delivery system
DE3729517A1 (de) * 1987-09-03 1989-03-16 Siemens Ag Adsorptionseinrichtung zur gastrennung
SU1544475A1 (ru) * 1987-12-07 1990-02-23 Предприятие П/Я Г-4567 Способ получени сорбента дл очистки газов
SU1583151A1 (ru) * 1988-05-23 1990-08-07 Государственный научно-исследовательский и проектный институт редкометаллической промышленности "Гиредмет" Способ очистки газов от арсина
US4830643A (en) * 1988-07-13 1989-05-16 W. L. Gore & Associates, Inc. Expanded polytetrafluoroethylene tubular container
DE3843313A1 (de) * 1988-12-22 1990-06-28 Wacker Chemitronic Verfahren zur entfernung von gasfoermigen kontaminierenden, insbesondere dotierstoffverbindungen aus halogensilanverbindungen enthaltenden traegergasen
FR2652346B1 (fr) * 1989-09-22 1991-11-29 Air Liquide Procede de preparation de disilane.
JPH03127606A (ja) * 1989-10-09 1991-05-30 Hitachi Ltd 充填塔構造
US5202096A (en) * 1990-01-19 1993-04-13 The Boc Group, Inc. Apparatus for low temperature purification of gases
FR2659030B1 (fr) * 1990-03-02 1993-01-08 Air Liquide Enceinte et installation d'absorption pour separation des melanges gazeux.
US5637544A (en) * 1991-06-06 1997-06-10 Arizona Board Of Regents On Behalf Of The University Of Arizona Reactive membrane for filtration and purification of gases of impurities and method utilizing the same
US5238469A (en) * 1992-04-02 1993-08-24 Saes Pure Gas, Inc. Method and apparatus for removing residual hydrogen from a purified gas
GB9220975D0 (en) * 1992-10-06 1992-11-18 Air Prod & Chem Apparatus for supplying high purity gas
US5346518A (en) * 1993-03-23 1994-09-13 International Business Machines Corporation Vapor drain system
US5385689A (en) * 1993-06-29 1995-01-31 Novapure Corporation Process and composition for purifying semiconductor process gases to remove Lewis acid and oxidant impurities therefrom
EP0711202B1 (en) * 1993-07-27 1999-12-22 The University Of Arizona Reactive membrane for filtration and purification of gases of impurities and method utilizing the same
US5417742A (en) * 1993-12-03 1995-05-23 The Boc Group, Inc. Removal of perfluorocarbons from gas streams
AU1334295A (en) * 1993-12-22 1995-07-10 Acma Limited Method and apparatus for release of sorbed gas
FR2714595B1 (fr) * 1993-12-30 1996-02-02 Oreal Emulsion eau dans huile contenant du rétinol, son utilisation et son conditionnement.
US5417743A (en) * 1994-01-21 1995-05-23 W. L. Gore & Associates, Inc. Self-adhesive vent filter and adsorbent assembly with a diffusion tube
US5518528A (en) * 1994-10-13 1996-05-21 Advanced Technology Materials, Inc. Storage and delivery system for gaseous hydride, halide, and organometallic group V compounds
US5704967A (en) * 1995-10-13 1998-01-06 Advanced Technology Materials, Inc. Fluid storage and delivery system comprising high work capacity physical sorbent
US5707424A (en) * 1994-10-13 1998-01-13 Advanced Technology Materials, Inc. Process system with integrated gas storage and delivery unit
US5761910A (en) * 1996-05-20 1998-06-09 Advanced Technology Materials, Inc. High capacity gas storage and dispensing system
CN1128652C (zh) * 1996-05-20 2003-11-26 高级技术材料公司 包含高工作量的物理吸附剂的流体贮藏和分送系统
US6146608A (en) * 1997-11-24 2000-11-14 Advanced Technology Materials, Inc. Stable hydride source compositions for manufacture of semiconductor devices and structures
US6101816A (en) * 1998-04-28 2000-08-15 Advanced Technology Materials, Inc. Fluid storage and dispensing system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102602190B1 (ko) * 2023-01-02 2023-11-15 (주)엠지케이 독성 및 유해 잔류가스 처리 시스템을 이용한 처리 방법

Also Published As

Publication number Publication date
EP1093395A1 (en) 2001-04-25
TWI228260B (en) 2005-02-21
JP2002515570A (ja) 2002-05-28
US6132492A (en) 2000-10-17
JP4705240B2 (ja) 2011-06-22
KR100641087B1 (ko) 2006-10-31
IL139782A0 (en) 2002-02-10
AU4199699A (en) 1999-12-06
MY117506A (en) 2004-07-31
EP1093395B1 (en) 2014-06-11
EP1093395A4 (en) 2006-11-15
WO1999059701A1 (en) 1999-11-25
IL139782A (en) 2004-03-28

Similar Documents

Publication Publication Date Title
KR100641087B1 (ko) 고순도 가스를 분배하기 위한 흡착제 주성분 가스 저장 및전달 시스템
US6204180B1 (en) Apparatus and process for manufacturing semiconductor devices, products and precursor structures utilizing sorbent-based fluid storage and dispensing system for reagent delivery
KR100199885B1 (ko) 기상 화합물용 저장 및 분배 시스템
KR100858077B1 (ko) 가스 공급 장치, 이온 주입 장치, 가스 시약 공급 방법, 흡탈착 방법 및 반도체 제조 설비
TWI413202B (zh) 半導體製造系統,界面系統,承載器,半導體晶圓容器,吸附裝置
JP2011099562A (ja) 吸着剤前処理を利用した吸着型気体貯蔵及び計量分配システムの製造方法
CN102395705A (zh) 成膜装置和成膜方法
KR20200000444A (ko) 전자 가스 저장을 위한 금속 유기 프레임워크
US6027547A (en) Fluid storage and dispensing vessel with modified high surface area solid as fluid storage medium
EP1237815A1 (en) Abatement of effluents from chemical vapor deposition processes using organometallicsource reagents
EP3398200B1 (en) Method for use of tin-containing dopant compositions in ion implantation systems
WO2021090724A1 (ja) 基板を処理する装置、原料カートリッジ、基板を処理する方法、及び原料カートリッジを製造する方法
JP5705751B2 (ja) low−kシリル化用の環式アミノ化合物
JPS624168B2 (ko)
US20220128196A1 (en) Adsorbent-type storage and delivery vessels with high purity delivery of gas, and related methods
US20210348723A1 (en) Apparatus and method for dispensing gas from a storage vessel
JP3153644B2 (ja) 薄膜形成方法
KR100587054B1 (ko) 반도체 소자의 비아 매립 방법
KR20060105073A (ko) 가스 제공 장치 및 이를 이용한 가스 제공 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120927

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130927

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140924

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150924

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160923

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20181015

Year of fee payment: 13

EXPY Expiration of term