JP2002515570A - 高純度ガスを放出するための収着型ガス貯蔵および放出システム - Google Patents

高純度ガスを放出するための収着型ガス貯蔵および放出システム

Info

Publication number
JP2002515570A
JP2002515570A JP2000549358A JP2000549358A JP2002515570A JP 2002515570 A JP2002515570 A JP 2002515570A JP 2000549358 A JP2000549358 A JP 2000549358A JP 2000549358 A JP2000549358 A JP 2000549358A JP 2002515570 A JP2002515570 A JP 2002515570A
Authority
JP
Japan
Prior art keywords
gas
storage
release
fluid
sorbent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000549358A
Other languages
English (en)
Other versions
JP4705240B2 (ja
Inventor
ハルトクイスト,スティーブン,ジェイ.
トム,グレン,エム.
キルリン,ピーター,エス.
マクマナス,ジェイムス,ヴイ.
Original Assignee
アドバンスド.テクノロジー.マテリアルズ.インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アドバンスド.テクノロジー.マテリアルズ.インコーポレイテッド filed Critical アドバンスド.テクノロジー.マテリアルズ.インコーポレイテッド
Publication of JP2002515570A publication Critical patent/JP2002515570A/ja
Application granted granted Critical
Publication of JP4705240B2 publication Critical patent/JP4705240B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/02Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by adsorption, e.g. preparative gas chromatography
    • B01D53/04Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by adsorption, e.g. preparative gas chromatography with stationary adsorbents
    • B01D53/0407Constructional details of adsorbing systems
    • B01D53/0446Means for feeding or distributing gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/02Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by adsorption, e.g. preparative gas chromatography
    • B01D53/04Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by adsorption, e.g. preparative gas chromatography with stationary adsorbents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/22Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by diffusion
    • B01D53/229Integrated processes (Diffusion and at least one other process, e.g. adsorption, absorption)
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/34Chemical or biological purification of waste gases
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C11/00Use of gas-solvents or gas-sorbents in vessels
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2253/00Adsorbents used in seperation treatment of gases and vapours
    • B01D2253/10Inorganic adsorbents
    • B01D2253/102Carbon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2253/00Adsorbents used in seperation treatment of gases and vapours
    • B01D2253/10Inorganic adsorbents
    • B01D2253/104Alumina
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2253/00Adsorbents used in seperation treatment of gases and vapours
    • B01D2253/10Inorganic adsorbents
    • B01D2253/106Silica or silicates
    • B01D2253/108Zeolites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2253/00Adsorbents used in seperation treatment of gases and vapours
    • B01D2253/20Organic adsorbents
    • B01D2253/202Polymeric adsorbents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2256/00Main component in the product gas stream after treatment
    • B01D2256/26Halogens or halogen compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2258/00Sources of waste gases
    • B01D2258/02Other waste gases
    • B01D2258/0216Other waste gases from CVD treatment or semi-conductor manufacturing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2259/00Type of treatment
    • B01D2259/45Gas separation or purification devices adapted for specific applications
    • B01D2259/4525Gas separation or purification devices adapted for specific applications for storage and dispensing systems
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C2223/00Handled fluid before transfer, i.e. state of fluid when stored in the vessel or before transfer from the vessel
    • F17C2223/01Handled fluid before transfer, i.e. state of fluid when stored in the vessel or before transfer from the vessel characterised by the phase
    • F17C2223/0107Single phase
    • F17C2223/0123Single phase gaseous, e.g. CNG, GNC
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C2270/00Applications
    • F17C2270/05Applications for industrial use
    • F17C2270/0518Semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/31701Ion implantation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S95/00Gas separation: processes
    • Y10S95/90Solid sorbent
    • Y10S95/901Activated carbon
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S95/00Gas separation: processes
    • Y10S95/90Solid sorbent
    • Y10S95/902Molecular sieve

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Analytical Chemistry (AREA)
  • Environmental & Geological Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Biomedical Technology (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Filling Or Discharging Of Gas Storage Vessels (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • Solid-Sorbent Or Filter-Aiding Compositions (AREA)

Abstract

(57)【要約】 収着型ガス貯蔵および放出システムには、収着ガスをその上に物理的に吸着させる固相物理収着媒(17)を含む貯蔵および放出容器(10)が含まれる。化学収着物質(138)は、容器(10)内にあって、不純物を化学収着してガス相除去する。脱着された収着ガスは、容器(10)からこれに結合する放出マニホールド(12)を経て放出される。化学収着物質(138)は、不純物透過性かつ収着ガス非透過性の膜を含むカプセル(136)内にあって、収着媒(17)を充填する際に容器(10)内に設置されてもよい。半導体製造プロセスおよびこのプロセスにより製造される製品も、また開示される。

Description

【発明の詳細な説明】
【0001】 技術分野 本発明は、概して、ガスが物理収着媒(そこにガスが収着され、そこから放出
運転に際してガスが脱着される)を含む貯蔵および放出容器から選択的に放出さ
れるための貯蔵および放出システムに関する。本発明は、さらに半導体製造シス
テムおよびプロセスに関し、ここではこのような貯蔵および放出システムが用い
られて、このような半導体製造プロセスで得られる電子機器構造体、およびこの
ような電子機器構造体を含むエンドユース製品に試薬が供給される。
【0002】 技術背景 広範囲の工業プロセスおよび用途においては、プロセス流体の信頼にたる供給
源(プロセス流体を必要に応じて供給するのにコンパクトであり、軽便性があり
、また入手可能である)が必要である。このような工業プロセスおよび用途には
、半導体の製造、イオン注入、平面パネルディスプレーの製造、医療、水処理、
緊急呼吸装置、溶接操作、気体およびガスの供給を伴なう航空宇宙用途などが含
まれる。前記した必要性は、半導体製造産業においては、電子機器の集積密度が
次第に増大しまたウェハーサイズ(高レベルのプロセス信頼性および効率の良さ
が求められる)が増大することから特に深刻である。
【0003】 Karl O.Knollmuellerによる米国特許第4,744,22
1号(1988年5月17日発行)には、アルシンの貯蔵およびこれに続く放出
方法が開示されている。この発明の開示方法によれば、アルシンを、約−30℃
〜約+30℃の温度で、約5〜約15Åの範囲の細孔サイズを有するゼオライト
と接触させてアルシンをゼオライトに吸着させる。アルシンは、次いでゼオライ
トを約175℃まで昇温しかつアルシンがゼオライト物質から放出されるのに十
分な時間をかけて加熱することによって放出される。
【0004】 Knollmueller特許に開示された方法には、ゼオライト物質に対す
る加熱手段の設備、すなわちゼオライトを十分な温度に加熱して、先に収着した
アルシンをゼオライトから所望の品質で脱着するように構成しまた配置すること
が必要であるという欠点がある。
【0005】 アルシンを収着したゼオライトを収納する容器の外側に加熱ジャケットまたは
他の手段を用いることは、容器が典型的には実質的な熱容量を有し、それにより
放出運転に実質的な時間遅れを生じるという問題がある。さらに、アルシンを加
熱することにより、その分解が引き起こされ、結果として水素ガスが形成される
が、これは爆発の危険性をプロセスシステムにもたらす。加えて、アルシンのこ
のような熱介在分解は、プロセスシステム内のガス圧の実質的上昇に影響をもた
らすが、このことはシステムの寿命や運転効率の点で顕著な欠点であろう。
【0006】 ゼオライト床自体の内部に配置された加熱コイルまたは他の加熱要素の設備に
は、このような手段に関して、ゼオライト床を均一に加熱してアルシンガス放出
する際に所望の均一性を達成するのが困難であるという問題がある。
【0007】 加熱されたキャリヤーガス流(収納容器内のゼオライト床を通る)を用いるこ
とにより、前記の欠陥が克服されよう。しかし、アルシンが加熱されたキャリヤ
ーガスで脱着されるのに必要な温度は、望ましくなく高いか、さもなければアル
シンガスを最終使用するには不適切であるので、冷却または他の処理は、放出ガ
スを最終使用するために条件付けされることが求められる。
【0008】 Glenn M.TomおよびJames V.McManusによる米国特
許第5,518,528号(1996年5月21日発行)には、ガス(例えば水
素化物ガス、ハロゲン化物ガス、有機金属第V族化合物など)を貯蔵しまた放出
するためのガス貯蔵および放出システムが開示されるが、これはKnollmu
eller特許に開示されたガス供給プロセスの種々の欠点を克服するものであ
る。
【0009】 Tomらの特許によるガス貯蔵および放出システムには、ガスを貯蔵しまた放
出するための吸脱着装置が含まれるが、これには固相物理収着媒が収納され、ま
たガスを選択的に容器の内外に流すように配置された貯蔵および放出容器が含ま
れる。収着ガスは、収着媒上に物理的に吸着される。放出装置は、貯蔵および放
出容器とガス流で連結され、容器外部に容器の内圧以下のガスが付与されて、固
相物理収着媒から収着質が効果的に脱着され、また脱着されたガスが放出装置を
通して効果的に流される。加熱手段は、脱着プロセスを促進するのに用いられる
が、上述されるように、加熱は、収着/脱着システムに対して種々の欠点を必然
的に伴なうが、それゆえTomらのシステムを運転し、また収着ガスを収着媒か
ら圧力差を介在させて放出することによって、脱着が少なくとも部分的に行われ
ることが好ましい。
【0010】 Tomらの特許の貯蔵および放出容器には、高圧ガスシリンダー(例えばプロ
セスガスを提供するのに通常の半導体製造産業で用いられるような)を用いる先
行技術の使用に比べて、実質的な技術的進歩が含まれる。通常の高圧ガスシリン
ダーは、圧力調整装置の損傷または不具合によりもれ易く、同様にシリンダー内
の内部圧力が許容限度を超える場合に、破裂およびシリンダーからの望ましくな
い大量のガス漏れを起こし易い。このような過圧は、例えばガスが内部で分解し
てシリンダーの内部ガス圧が急激に上昇することによってもたらされる。
【0011】 Tomらによる特許のガス貯蔵および放出システムにおいては、したがって貯
蔵された収着ガスの圧力は、ガスがキャリアー収着媒(例えばゼオライト、活性
炭および/または他の吸着物質)に可逆的に吸着する容器を提供することによっ
て低減される。
【0012】 ここで半導体製造をさらに詳しく見ると、半導体製造で用いられる多くのプロ
セスでは、有害ガス(例えば毒性、引火性または自然性)が蒸気状態で用いられ
る。製造プロセスの安全性は、種々の場合に時下に用いられるガス源を代替する
ことによって実質的に改良されよう。特に、ヘキサメチルジシラザン(HMDS
)およびクロロトリメチルシラン(ClTMS)は、プライマーとして用いられ
てフォトレジストのウェハーへの接着性を向上させる。HMDSおよびClTM
Sは、ウェハー上にスピンすることができるが、典型的には噴霧または蒸気のい
ずれかで付与される。フォトレジストの展開剤および剥離剤は、通常液体で用い
られるが、また蒸気で用いることができる。すなわち、これらの物質は酸か塩基
(有機または無機)であり、また芳香族機能を有することができる。これらすべ
ての物質を用いる際の安全性は、半導体製造設備における供給および使用の現在
方式から改善されるであろう。
【0013】 一般に、半導体製造では、非常に低い混入物レベルが要求される。典型的な製
造設備においては、欠陥密度が数十分の一/cmの完全なウェーハーがもたら
される。工作機具類の清浄性を維持することは、プロセスの流れを競合できるコ
ストで実現するのに不可欠である。そのままでチャンバーを清浄化することは、
殆どのプロセス手段について今や常識である。この清浄化で用いられるガスまた
は高蒸気圧の液体は、多くが有害であり、次の特性を一種以上有する。すなわち
、毒性、引火性、自然性および/またはオゾン層に対する悪影響(いわゆる地球
温暖化ガスによる)である。清浄化プロセスの安全性は、現在用いられるガス源
を代替することによって、実質的に向上されるであろう
【0014】 前記の清浄化試薬に加えて、半導体製造で用いられる多くの他のプロセスガス
は、有害でありまた次の特性を一種以上有する。すなわち、毒性、引火性または
自然性である。特に、化学蒸着プロセス(CVD)は、ガス状または液体供給原
料(多くの場合、重要な健康および安全の問題を付随する)を用いて行われる。
このようなガスは、半導体構造体を製造する個々層を生成するのに重要であるが
、半導体製造プロセスの安全性は、現在の通常の半導体製造実施の際に用いられ
る流体源を代替することによって実質的に向上されるであろう。
【0015】 米国特許第5,518,528号に記載される一般的なタイプの貯蔵および放
出システムについて、一連の問題は、水素化物ガス種などの貯蔵されたガスの分
解である。‘528特許では、アルシンを分解して水素ガスを形成するような分
解反応に触媒作用を及ぼし、または分解反応を介在する痕跡物質を非常に低レベ
ルで含む収着物質を用いることによって、貯蔵ガスの分解生成物の生成に付随す
る問題を最少にすることが試みられている。水素ガスは、引火性であり、また安
全の危険性を付随し、同様に貯蔵ガスの圧力を望ましいレベル(大気圧または近
大気圧)以上に高める。
【0016】 収着物質を換えることは、製造、貯蔵条件などの結果としてもたらされようこ
とから、またいくつかの場合にはより経済的なグレードの収着物質を用いること
が望まれるようことから、これらの柔軟性を有し、またガス分解を引き起こす悪
影響をもたらさないガス貯蔵および放出システムを提供することが望まれよう。
【0017】 したがって、本発明の目的は、前記した技術上の欠点を克服することができる
ガス貯蔵および放出システム、ならびに付随する半導体製造装置、システム、お
よび方法を提供することである。
【0018】 本発明の他の目的および利点は、次の開示事項および付随する請求項によりさ
らに完全に明らかとなるであろう。
【0019】 発明の開示 本発明は、例えば水素化物ガス、ハロゲン化物ガス、有機金属第V族化合物な
どのガスを、貯蔵および放出するための吸脱着装置およびその方法を意図するも
のである。
【0020】 装置に関しては、本発明は、 収着ガスをその上に物理的に吸着させる固相物理収着媒を含む貯蔵および放出
容器と、 貯蔵および放出容器内にあって収着ガスに対して不純物の化学吸着性を有し、
また貯蔵および放出容器内で該不純物を化学収着してガス相除去するように配置
された化学収着物質と、 貯蔵および放出容器とガス流で連結され、脱着された収着ガスを貯蔵および放
出容器から選択的に放出する放出装置と を含む。
【0021】 前記システムにおける不純物には、例えば水、金属、酸化物の遷移金属種(例
えば酸化物、亜硫酸塩および/または硝酸塩)などの痕跡成分が含まれてもよい
が、これらの痕跡成分は、貯蔵および放出容器において化学収着して除去されな
い場合には、貯蔵および放出容器において収着ガスを分解するであろう。化学収
着媒は、別にまたは追加的に、それらの分解生成物自体に関して化学収着媒であ
ってもよい。
【0022】 一般に、ガスが収着および脱着され、続いて放出される点に関して、単に差圧
によって運転するのが好ましいものの、本発明のシステムにおいては、いくつか
の場合に、貯蔵および放出容器に関して機能的に配置されたヒーターが効果的に
用いられて、固相収着媒が選択的に加熱され、収着ガスの固相収着媒からの熱的
脱着性が効果的に高められる。
【0023】 好ましい固相物理収着媒には、例えば約4〜約13Åの範囲の細孔サイズを有
する結晶性アルミノシリケートが含まれ、一方大細孔を有する結晶性アルミノシ
リケート組成物、例えば約20〜40Åの範囲の細孔サイズを有するいわゆるメ
ソポア組成物は、また本発明を広範囲に実施する際に潜在的に有効に用いられる
。このような結晶性アルミノシリケート組成物の例としては、5Åモレキュラー
シーブ、好ましくはバインダレスモレキュラーシーブが含まれる。結晶性アルミ
ノシリケートおよび炭素モレキュラーシーブなどのモレキュラーシーブ物質は、
多くの場合好ましいものであるが、固相物理収着媒としては、シリカ、アルミナ
、巨大網目状ポリマー、珪藻土、炭素などの他の物質が有効に含まれてもよい。
収着物質は、適切に処理されて、ガスの貯蔵および放出システムの性能に悪影響
を及ぼす痕跡成分が全くないことを確実にされてもよい。例えば、炭素収着媒を
、例えばフッ化水素酸による洗浄処理に供して、金属、および酸化物の遷移金属
種などの痕跡成分が十分にないようにしてもよい。潜在的に有用な炭素物質には
、高度に均一な球形の微粒子形状のいわゆる粒状活性炭が含まれる。例えば、B
AC−MP、BAC−LP、およびBAC−G−70Rであり、米国(New
York、N.Y.)のKreha Corporationから入手可能であ
る。
【0024】 潜在的に適切な化学収着物質の例には、バリウム、ストロンチウム、カルシウ
ム、マグネシウムなどの微細第II族金属が含まれる。
【0025】 別に、化学吸収媒には、これらの不純物に対するスカカベンジャーが含まれて
もよく、これには一種以上の下記のものが含まれる。 (A)これらの混入物が存在する際に、これらの混入物を除去するのに効果的に
反応するアニオンをもたらす化合物と会合するものの、共有結合しない支持体を
含むスカベンジャー、ここでこのような化合物は、下記(i)および(ii)か
らなる群の一種以上の成分から選択される。 (i)対応するプロトン化カルボアニオン化合物が約22〜約36のpKa値 を有するカルボアニオン源化合物 (ii)カルボアニオン源化合物を収着ガスと反応させることによって形成さ れるアニオン源化合物 (B)下記(i)および(ii)を含むスカベンジャー (i)約50〜約1000平方米/グラムの範囲の表面積を有し、また少なくと
も約250℃まで熱的に安定な不活性支持体 (ii)約0.01〜約1.0モル/支持体リットルの濃度で支持体上に存在し
、またナトリウム、カリウム、ルビジウム、セシウムから選択される第IA族金
属、ならびにその混合物および合金の支持体上に析出し、ついで支持体上で熱分
解して形成される活性スカベンジャー種
【0026】 実施例にしたがって、このような化学収着物質には、トリチルリチウムおよび
砒化カリウムからなる群から選択されるスカベンジャー成分が含まれるのが有利
である。
【0027】 放出される収着ガスの汚染物質に対するこのような化学収着物質に関して、い
かなるスカベンジャーおよび化学収着物質も広範囲に用いることができるが、こ
れらには、Glenn M.Tomらによる米国特許第4,761,395号(
1988年8月2日発行)に開示され請求されているタイプのスカベンジャー組
成物が含まれる。
【0028】 化学収着物質は、使用する際には物理収着媒床とガスで連結した分離した床と
して用いられてもよく、あるいは別に化学収着媒は、貯蔵および放出容器におい
て物理吸着物質床に亘って無作為または選択的に分散されてもよい。
【0029】 本発明は、他の観点において、ガス試薬を用いる半導体製造装置(例えばイオ
ン注入装置)を含む半導体製造設備、およびこのような半導体製造装置とガス流
で連結したそのためのガス試薬源に関し、その際ガス試薬源には、上記した一般
的なタイプのガス貯蔵および放出システムが含まれる。
【0030】 本発明は、さらに他の点で、試薬ガスを提供するプロセスに関し、これには、
試薬ガスをその上に物理的に吸着させる固相物理収着媒を含む貯蔵および放出容
器を提供すること、 貯蔵および放出容器において試薬ガスのガス相不純物を化学収着して、それを
ガス相除去すること、 試薬ガスを物理収着媒から脱着すること、および 脱着された試薬ガスを貯蔵および放出容器から放出すること が含まれる。
【0031】 本発明は、他の観点においては、半導体または他の電子機器構造体を製造する
ための、またそれを含むエンドユース製品を製造するための装置またはプロセス
に関する。このような観点においては、本発明では、貯蔵および放出システムが
用いられ、これは流体を供給してこれらの機器構造体を製造するための処理運転
をするように配置される。
【0032】 一つの観点では、本発明は、基板上または内部に電子機器構造体を製造するプ
ロセスに関し、これには、 流体(例えば電子機器構造体の構成物質のための流体源、または別にエッチン
グ液、洗浄剤またはマスキング剤などの試薬)をその上に物理的に吸着させる物
理収着媒を含む貯蔵および放出容器を提供して電子機器構造体を製造し、その際
それは電子機器構造体を製造するのに用いられるものの、電子機器構造体の構成
物質を形成しないこと、 流体を物理収着媒から脱着して、流体を貯蔵および放出容器から放出すること
、および 基板を、基板上または内部で流体またはその成分を用いるのに効果的な条件下
で、貯蔵および放出容器から放出された流体と接触させ、その際貯蔵および放出
容器は、任意にさらに流体の不純物に対する化学収着媒を含み、それによって流
体が高純度条件で放出されることができること が含まれる。
【0033】 本発明のプロセスにおいては、接触工程には例えば下記のようなプロセス工程
が含まれる。 (a)イオン注入 (b)エピタキシャル生長 (c)プラズマエッチング (d)反応イオンエッチング (e)金属化 (f)物理蒸着 (g)化学蒸着 (h)写真平板 (i)クリーニング (j)ドーピング
【0034】 好ましい観点においては、本発明は基板上または内部に電子機器構造体を製造
するプロセスに関し、これには、 電子機器構造体の構成物質のために、流体源をその上に物理的に吸着する物理
収着媒を含む貯蔵および放出容器を提供すること、 流体源を物理収着媒から脱着して流体源を貯蔵および放出容器から放出するこ
と、および 基板を、基板上または内部で構成物質を析出するのに効果的な条件下で、貯蔵
および放出容器から放出された流体源と接触させ、任意にさもなければ放出され
る流体の純度を低減するであろう容器内の不純物を化学収着すること が含まれる。
【0035】 本明細書で用いられるように、本発明の貯蔵および放出容器に貯蔵されまたそ
こから放出される流体に関して、「成分」という用語は、放出される流体のいな
る成分を包含し、同様にその生成物(例えば反応または分解生成物)をも包含し
て解釈されることを意味する。流体は、したがって有機金属試薬、もしくは金属
または他の物質成分をもたらして、例えば化学蒸着、イオン注入などの処理工程
によって基板上または内部に析出する他の前駆物質を含んでもよい。
【0036】 「基板」という用語は、また電子機器構造体用のすべての物理的構造体(ウェ
ーハー、ウェーハー基材、支持体、基材構造体などを含み、同様に電子機器構造
体用の物理的構造体(既に部分的に形成され、化学処理され、または加工処理さ
れているか、あるいは前記の前駆構造体である)を含んで広く解釈されることを
意味する。したがって、基板は、例えばウェーハーそれ自体であってもよい。別
に、基板は、例えば一部組み込まれた機器組立て物(更なる製造運転において放
出されるプロセス流体と接触される)であってもよい。
【0037】 「高純度条件」という用語は、貯蔵および放出容器に貯蔵されまたそこから放
出される流体中の化学収着可能な不純物が、このような流体が用いられるエンド
ユース用途に対して実質的にゼロレベルにあることを意味する。実施例に基けば
、半導体製造用途においては、水、酸素、および遷移金属などのこのような不純
物は、重量で100ppm未満であることが必要であろう。
【0038】 一般に、広範な種類のガスが、貯蔵および放出容器から放出され、製造運転で
用いられてもよい。例えば、VLSIおよびULSI回路を製造する際の写真平
板工程、放出されたSi源ガスからのケイ素などのフィルム材のエピタキシャル
生長、イオン注入、ならびにCMOS、NMOS、BiMOSおよび他の構成物
を製造する際およびDRAM,SRAM,FeRAMなどの機器を製造する際の
ドーピングである。
【0039】 本発明のプロセスは、例えば、以下のような電子機器構造体を製造するために
採用することもできる。 (a)トランジスタ、 (b)コンデンサ、 (c)抵抗器、 (d)メモリセル、 (e)誘電体材料、 (f)埋め込みドープ基板領域、 (g)金属化層; (h)チャネルストップ層、 (i)ソース層、 (j)ゲート層、 (k)ドレイン層、 (l)酸化物層、 (m)電界エミッタ素子、 (n)パッシベーション層、 (o)相互接続子、 (p)ポリサイド、 (q)電極、 (r)トレンチ構造体、 (s)イオン注入材料層、 (t)ビアプラグ、 (u)上記(a)から(t)の電子機器構造体の前駆構造体、 (v)上記(a)から(t)の電子機器構造体を複数含む機器組立品。
【0040】 更に特殊な例として、本発明のプロセスによって作成された電子構造体は、以
下のようなメモリチップデバイスを含むこともできる。 (i)ROMチップ、 (ii)RAMチップ、 (iii)SRAMチップ、 (iv)DRAMチップ、 (v)PROMチップ、 (vi)EPROMチップ、 (vii)EEPROMチップ、 (viii)フラッシュメモリチップ。
【0041】 本発明の一好適実施態様において、マイクロ電子機器構造体は、半導体論理チ
ップ(例えば、マイクロコントローラまたはマイクロプロセッサ)を含む。
【0042】 別の好適実施態様において、連絡工程にイオン注入を含む。更に別の好適実施
形態において、連絡工程に、シランやジシランなどのシリコン前駆体を使用した
、例えばポリシリコンの化学蒸着を含み、ポリシリコンにボロン、リン、アルシ
ン等といったドーパント種を添加することもできる。
【0043】 イオン注入、化学蒸着、および本発明の他の半導体機器製造プロセスにおいて
、半導体製造工程の流体ソースは、アルミニウム、バリウム、ストロンチウム、
カルシウム、ニオビウム、タンタル、銅、プラチナ、パラジウム、イリジウム、
ロジウム、金、タングステン、チタン、ニッケル、クロム、モリブデン、バナジ
ウム、およびそれらの組合せからなる群から金属部分が選択される金属有機組成
物を含むこともできる。
【0044】 本願明細書で使用されている「電子機器構造体」という用語は、マイクロ電子
機器構造体、そのような機器の前駆構造体、またはそのような機器の構成構造部
分すなわちサブアセンブリのことを言う。前駆構造体として、例えば、コンデン
サトレンチ、埋込型ドープ領域、不活性化処理面、エミッタ先端構成用の食刻ウ
ェル、ウエハベース上のバリヤ層すなわち中間層、セラミック封入用の集積回路
、または最終用途製品として最終的に望まれる完成機器に達しない他の構造物品
などがある。
【0045】 本発明による多工程プロセスの中の1つの処理工程で形成される電子機器構造
体は、当該処理工程完了時に、多工程プロセス全体の中の次の後続処理工程に適
した基板構造となっていることを理解されたい。
【0046】 本発明の別の側面において、そのためのソース流体から基板上または基板内に
材料を蒸着させることによって電子機器構造体を製造する、電子機器構造体を含
む電子製品を製造するプロセスが利用され、当該プロセスは、 物理的吸収媒体によって流体を吸収保持する容器に前記流体を提供する工程と
、 製造プロセス中に必要に応じて物理的吸収媒体から前記流体を脱着させて、該
物理的吸収媒体を収容している容器から同を取り出す工程と、 前記取り出した流体を前記基板に接触させて、前記材料を前記基板上または基
板内に蒸着させる工程と、 この容器内で該流体の不純物を必要に応じて化学吸着し、それによって、その
ような不純物を実質的に含まない流体を供給する工程と、を含む。
【0047】 前述プロセスに製品は、コンピュータ、パーソナルデジタルアシスタント、電
話、フラットパネルディスプレイ、モニタ、音響システム、電子ゲーム、バーチ
ャルリアリティ装置、またはスマート消費者器具などの製品であってもよい。ス
マート消費者器具は、例えば、調理器具、冷蔵庫、冷凍庫、食器洗浄機、洗濯機
、衣類乾燥機、加湿器、除湿器、空調装置、総合位置決め装置、照明システム、
および前述各装置のための遠隔制御装置などである。
【0048】 本発明の前述以外の側面、特徴、および実施態様は、以下の開示内容および添
付請求項から更に十分に明らかになるであろう。
【0049】 本発明の詳細な説明および好ましい実施の形態 以下の米国特許および特許出願の開示内容はその全体がここに参考文献として
組み込まれる。1996年5月21日発行の米国特許第5,518,528号、
1998年1月6日発行の米国特許第5,704,965号、1998年1月6
日発行の米国特許第5,704,967号、1998年1月13日発行の米国特
許第5,707,424号、1997年4月11日出願の米国特許出願第08/
809,019号、1997年5月20日出願の米国特許出願第08/859,
172号および1997年12月31日出願の米国特許出願第09/002,2
78号。
【0050】 図面を参照してみると、図1は、本発明の一実施形態による貯蔵および送出シ
ステムの概略図である。
【0051】 図1に示した概略の貯蔵および送出システムには気体貯蔵シリンダー10があ
り、好適な物理吸着材料、例えば、ビーズ活性カーボン物理吸着剤媒体または貯
蔵され後にシリンダー10から放出される気体に吸着親和性を有するその他の好
適な吸着剤材料の床17が充填されたている。
【0052】 吸着剤材料は、例えば、顆粒、粉末、ペレット、マトリックス構造またはその
他好適な形状や形態とすることができる。好ましくは、吸着剤材料は、微粉砕形
態で、貯蔵され後に放出される流体を保持するための効率と容量を最大にするた
めに表面積が広いことを特徴としている。
【0053】 吸着剤材料の床17を含有する気体シリンダー10において、吸着剤材料は、
その表面(吸着剤媒体の孔内部表面および外部表面を含む)に吸着したアルシン
やホスフィンのような気体成分を物理的に吸着する。
【0054】 気体シリンダーは、好適なサイズおよび形状とし、好適な材料の構成により形
成することができる。好ましくは、シリンダーは金属材料の構成により形成され
、貯蔵および放出容器を手で持ち運びできるよう約0.25〜約40リットルの
内部容積を有するサイズとする。
【0055】 シリンダー10はマニホルド12に連結されていて、そこにはシリンダー10
からの気体を制御しながら放出するシリンダー弁14があり、上流には気体シリ
ンダー遮断弁16がある。これが、シリンダー10を選択的に閉じてマニホルド
12と連通させる。
【0056】 マニホルドは分岐管継手18を備えており、これによってマニホルド12が、
不活性ガスパージ隔離弁22を有する分岐パージライン20と連通する気体の流
れと連結され、シリンダー10からの気体の送出操作を始める前にマニホルドを
不活性ガスでパージすることができる。
【0057】 管継手18の下流で、マニホルドには2つの連続したガスフィルタ28および
30があり、その中間には、例えば、圧力操作範囲約0〜約25psiaの圧力
変換器32がある。
【0058】 マニホルド12は、側管隔離弁38を有する側管36に連結された分岐管継手
34を備えたガスフィルタ30の下流に連結されている。管継手34のマニホル
ド12下流には、気体流れ開閉弁40があり、その下流には、マニホルド12を
流れる放出された水素化物または岩塩(halite)気体の流量を制御調整するため
の質量流量制御部42がある。質量流量制御部42の末端下流で、マニホルド1
2は連結継手44により流量制御弁48を備えた放出ライン46に連結され、ま
た連結継手50を介して側管ライン36と連通する気体流れに連結している。排
出ライン46は、図示するように、エレメント52として概略が図示されている
イオン源発生手段に連結されている。排出ライン46の他端54は、図1の貯蔵
および送出システム装置の最終用途において望ましい、または必要な他の気体送
出手段と連通する気体流れと好適に連結することができる。
【0059】 イオン源発生手段は半導体製造設備の一部とすることができ、貯蔵および放出
システムにより放出された気体は、後により詳しく述べる半導体材料、半導体装
置および半導体前駆体構造、およびこれらを含む製品の製造設備に用いられる。
【0060】 図1の実施形態の貯蔵および放出容器10の任意の特徴として示されているの
は、吸着剤材料の床17の中を垂直に上方に延びている熱交換路11である。熱
交換路は、各下端および上端で、熱交換流体供給物入口ライン13と熱交換流体
流出液排出ライン15につながれている。
【0061】 熱交換流体供給物入口ライン13はまた、流体を容器10から放出したいとき
に吸着剤材料の床17を選択的に加熱する働きをするバーナ、抵抗加熱またはそ
の他加熱手段と協働して作動する熱交換流体の好適な源(図示せず)につながれ
ていてもよい。
【0062】 熱的脱離は、このように、熱交換回路を通る再循環(例えば、ポンプやリザー
バ手段による、図示せず)のために、供給物入口ライン13を通る好適な熱交換
流体路、熱交換路11および流体留出液排出ライン15によりなされる。かかる
加熱手段は、熱の補助による脱離が生じるような十分な高温まで床17の中の吸
着剤媒体を加熱する役割を果たす。
【0063】 図1に概略された構成によって、当業者であれば実験することなく容易に選択
、かつ/または判断される特定の脱離様態を選択して、収着流体の圧力差を用い
た放出の代わりに、またはこれと組み合わせて、収着流体の熱の補助による脱離
および放出が行われる。
【0064】 本発明の貯蔵および放出システムの製造において、必要であれば、貯蔵および
放出容器を清浄にして、容器の壁に付いた放出気体種を始めとする、後に容器を
用いて行われる貯蔵および放出操作に悪影響を及ぼす恐れのある汚染物質または
種を確実に取り去る。このため、容器およびその内部表面を焼く、溶かす、脱脂
する等して清浄、除去または処理工程を行って、後に吸着剤材料を入れるのに適
切な清浄度の容器とするのが望ましい。
【0065】 次に、吸着剤材料を貯蔵および放出容器の内部容積に充填し、最後に容器を組
み立てて密閉する。吸着剤媒体の吸着能を最大にするために、容器に導入する前
に吸着剤材料を清浄にする、または何らかの処理をしてもよい。さらに、または
この代わりに、吸着媒体は、最大の吸着能を確保するために、例えば、異物の収
着種の吸着剤材料を脱離および清浄にするのに十分な高温で十分な時間、吸着剤
を含有する容器を焼く等、イン・サイチュで清浄化または処理してもよい。例え
ば、容器は、長い間、例えば、48時間にわたって、好適な高温、例えば、20
0〜400℃で適切な真空ポンプまたはその他真空手段を用いて真空に(脱気)
してもよい。真空にした後、容器を好適な間、例えば、6〜12時間かけて室温
まで冷やす。
【0066】 真空/脱気手順後、真空にしたカーボン吸着剤容器を収着流体充填マニホルド
に連結する。流体種の吸着には、吸着による熱のために大きな発熱を伴うことが
認識されており、従って、容器および吸着剤材料を好適な温度に維持して、吸着
剤材料の初期の吸い上げ後、収着流体がかかる熱により脱離されないようにする
のが望ましい。
【0067】 適正な等温状態を維持するために、シリンダーを例えば、25℃の一定温度に
保たれた水性エチレングリコール混合物のような熱安定性液体に含浸させてもよ
い。
【0068】 収着流体充填マニホルドを、収着流体の放出の前に、適切な低圧、例えば、1
−3トル未満の真空にして、充填マニホルドの流路に存在する非凝縮性気体を
除去してもよい。かかる真空化の後、所望の圧力レベルに到達するまで、吸着剤
含有容器に好適な速度で収着流体を充填してもよい。効率の点から、充填操作中
の容器の圧力を好適な圧力モニターまたはその他(変換器などによる)検出手段
により監視するのが望ましい。充填プロセス中、収着流体温度に加えて、容器と
熱安定タンクの温度は、制御の目的から独立に監視してもよい。圧力を監視して
充填プロセスの終点を決める。
【0069】 周囲環境または上述の熱安定性液体のような熱伝達媒体に対して温度の影響が
少なくとも部分的に失われるよう、複数の段で容器に収着流体を充填し、システ
ムを平衡させるのが望ましい。
【0070】 この代わりに、容器に特定の圧力を与えて、吸着剤床およびその容器の最終温
度および圧力条件まで容器を冷やしてもよい。
【0071】 このように、収着流体の一定量充填または連続充填を行って、中に入れる吸着
剤材料による吸着吸い上げのために収着流体を容器に導入する。充填手順後、充
填マニホルドから取り外した容器は、放出場所で配管、結合および放出回路に取
り付けることにより後の放出に用いるために、出荷、貯蔵または準備される。
【0072】 図1のシステムのシリンダー10内の吸着剤材料は、そのシリンダー内部容積
に好適な量の化学吸着剤材料を有している。上述したかかる化学吸着剤材料は、
微粉砕された、バリウム、ストロンチウム、カルシウム、マグネシウム等のよう
なII族金属を、例えば、貯蔵および放出容器の内部容積内の不純物種と反応さ
せるために表面積の大きな粉末形態で含んでいてもよい。かかる不純物種は、例
えば、水、酸素、窒素、空気、水素、遷移金属酸化物等を含んでいてもよく、こ
れらは、貯蔵および放出容器に最初に導入された吸着剤材料、または貯蔵および
放出容器の内部壁表面からの放出気体、または大気(周囲気体)の漏れ(inleak
age)由来のものである。
【0073】 半導体製造等の材料において、放出された気体は、好適な純度特性を有してい
ることが不可欠であり、そうでないと、放出された気体に存在する不純物種が半
導体製品に悪影響を及ぼして、意図する目的には満足のいかないものとしたり、
あるいは完全に不適切なものとなってしまう。
【0074】 本発明は、従って、貯蔵および放出容器の内部容積に好適な化学吸着材料を含
めることを意図するものである。この材料は、かかる不純物気体種と化学的に反
応して、容器中の気相からこれらを除去する。すると、放出された気体の純度が
高くなり、少なくとも部分的に不純物種の濃度が下がる。化学吸着反応により、
感知できる蒸気圧を持たない固相種が反応生成物として生成されるため、貯蔵お
よび放出容器から放出された気体を、容器に本発明の化学吸着剤を入れないと必
要とされるような、補助または下流での精製なしに有用に用いることができる。
【0075】 かかる気相不純物を反応により除去する貯蔵および放出容器の内部容積中のゲ
ッタまたは化学吸着剤成分のような上述のII族金属の代替として、容器で用い
る化学吸着剤は、例えば、以下のうち1種類以上を含む気相不純物用のスカベン
ジャーのようなその他の好適な化学吸着剤種を含んでいてもよい。
【0076】 対応のプロトン化カルボアニオン化合物のpKa値が約22〜約36であるカ
ルボアニオン源化合物(i)と、該カルボアニオン源化合物の収着ガスとの反応
により形成されたアニオン源化合物(ii)とからなる群のうち1つ以上の構成
要素より選択される化合物であって、かかる汚染物質の存在下、反応してかかる
汚染物質を除去するアニオンを与える化合物と共通結合していないが、関連の支
持体を含むスカベンジャー(A)、および1グラム当たり約50〜約1000平
方メートルの表面積を有し、少なくとも約250℃まで熱安定性のある不活性支
持体(i)と、該支持体1リットル当たり約0.01〜約1.0モルの濃度で存
在し、該支持体上のナトリウム、カリウム、ルビジウム、セシウムおよびこれら
の混合物、合金、熱分解物から選ばれたIA族の金属の支持体上に付着すること
により形成された活性スカベンジング種(ii)とを含むスカベンジャー(B)
【0077】 さらに、かかる化学吸着剤材料は、トリチリチウムおよび砒化カリウムからな
る群より選択されるスカベンジャー成分を含むと有利である。
【0078】 貯蔵および放出容器において複数の化学吸着剤種を用いることも本発明の範囲
内である。さらに、化学吸着剤材料を支持体上に、または容器の内部容積の内部
表面に、例えば、容器の内部壁へのスパッタまたはスプレーフィルムまたはコー
ティングとして用いることも本発明の範囲内である。
【0079】 場合によっては、容器内の不純物種を反応により除去するのに用いられる化学
吸着剤はまた貯蔵され後に放出される気体とも反応性があるのが望ましい。かか
る場合、気体が吸着された物理吸着剤から化学吸着剤を隔離または分離して、吸
着剤材料の在庫調査の際に保持された気体が、化学吸着剤と接触するのを防ぎ、
不純物種のみを化学吸着剤と接触させる必要がある。
【0080】 かかる目的について、化学吸着剤を、化学吸着剤を含有する隔離構造の容器に
入れることによって、放出される収着ガスから隔離または遮蔽してもよい。この
隔離構造には、不純物種は透過するが、貯蔵および放出容器から生成気体として
後に排出される吸着剤材料上に保持される吸着剤は透過しない。
【0081】 従って、化学吸着剤は、内部容積に物理的に配置され、不純物気体種のみ透過
するカプセルまたはその他容器に入れてもよい。
【0082】 例えば、容器または容器の内部容積の限られた範囲には、化学吸着剤を遮蔽し
、不純物種のみ透過する選択性透過膜があってもよい。
【0083】 この膜は、例えば、ポリプロピレン、ポリフッ化ビニリデン、ポリテトラフル
オロエチレン、ポリフルオロアセテート、シリコーン、表面処理ガラス布および
Norylフィルム(ジェネラルエレクトリックカンパニー(マサチューセッツ
州、ピッツフィールド))をはじめとする様々な潜在的に有用な材料から形成し
てよい。
【0084】 かかる構成により、本発明は、たとえ等級の低い吸着剤を用いても、あるいは
収着ガスを間接的に分解するような微量不純物が(吸着剤容器内に)あっても、
吸着剤ベースの気体貯蔵および放出システムから高純度の気体を放出することを
可能とするものである。
【0085】 収着ガスは、例えば、水酸化物および/またはハロゲン化物基体および/または
V族有機金属、例えば、アルシン、ホスフィン、塩素、NF、BF、BCl 、ジボラン(Bまたはその重水素類似体B)、HCl、HBr、
HF、HI、六フッ化タングステンまたは(CHSb、六フッ化タングス
テン、フッ化水素、塩化水素、ヨウ化水素、臭化水素、ゲルマン、アンモニア、
スチビン、硫化水素、セレン化水素、テルル化水素、臭素、ヨウ素、フッ素等の
ような気体を含んでいてよい。
【0086】 貯蔵および送出システムからの気体流れは、貯蔵および送出システムと、低圧
下流プロセス、例えば、イオン注入真空チャンバとの間に存在する圧力差を用い
て作ってもよい。質量流量制御器のようなデバイスを利用し、吸着剤容器の圧力
を減らすと一定流量を得ることができる。
【0087】 本発明において、吸着剤材料を、いわゆる熱補助の送出により低レベルでしか
加熱しないと、脱離のための気体の送出速度を速めて、500sccm以上とい
う流速を容易に達成することが可能となる。それにも関わらず、高速の気体送出
は、吸着剤容器と、イオン注入、分子線エピタキシや化学蒸着のような減圧半導
体(またはその他工業や製造)プロセスとの間に存在する圧力差だけで、断熱操
作(収着含有吸着剤媒体に熱や熱的エネルギーを補助的に与えない)で本発明を
実施することにより行うことができる。
【0088】 本発明の装置は、複数の、例えば、3つの吸着剤容器を有する気体キャビネッ
トに配置された一体型装置の形態で容易に提供することができる。この容器のう
ち1つ以上から収着ガスを選択的に送出するために複数が多岐連結されている。
このキャビネットにはさらに、独立した熱電対またはその他の温度検出/監視装
置、そして用いる気体キャビネットの容器および/またはその他内部部品の過熱
を防ぐための部品を含んでいてもよい。
【0089】 キャビネットはさらに、容器および中に入っている吸着剤を選択的に付加加熱
するための易融性の結合ヒーター部材、スプリンクラシステム、排熱センサ、毒
性のある気体を検知したときに装置をシャットダウンする機能を持つ毒性気体モ
ニタ、スクラバまたはバルク吸着デバイス、および余剰圧力および温度制御手段
を含んでいてもよい。かかる貯蔵および送出システム装置だと、15psigで
500sccmの気体の送出速度が容易に得られる。
【0090】 水、金属または遷移金属酸化物のいずれかが吸着剤材料中に存在すると、収着
ガスの望ましくない高度の分解を促すということに対して、本発明の方法で化学
吸着剤材料を用いると非常に有利である。当該のモレキュラーシーブ材料、とり
わけ、大量のかかる吸着剤は、上述の分解促進物質を含有するクレイまたはその
他鉱物バインダーを一定量含有しており、これが、貯蔵および送出システム装置
およびその方法の性能を下げてしまう。
【0091】 図2に、本発明の一実施形態による貯蔵および放出システム100の概略図を
示す。このシステムは、容器の内部容積106の境界となっている壁104を有
する貯蔵および放出容器102を備えている。この容器は中に、アルシンやホス
フィンのような収着水酸化物気体の分解時に生成される可能性のある水素のよう
な気体不純物種と反応する化学吸着剤材料を保持するカプセル110を有してい
る。
【0092】 図2に示した容器は、弁を含む弁頭アセンブリ114が取り付けられた上首部
112を有している。弁頭の弁は、スピンドル120上に搭載されたはずみ車1
18により選択的に作動可能であり、はずみ車の手動回転または、例えば、好適
なコンピュータまたはマイクロプロセッサおよびサイクルタイマーまたはその他
コンピュータソフトウェアプログラムの制御下の空気圧弁アクチュエータのよう
な自動制御手段による変換により作動するように構成されている。
【0093】 弁頭は、マニホルドまたはその他流れ放出アセンブリ手段に連結されていても
よい出口ポート116を有している。
【0094】 操作中、例えば、水素のみを通し、貯蔵され選択的に放出される水酸化物気体
は通さない経路を持つ透過膜を有していてもよいカプセルは、容器の膜を通して
不純物種である水素を受け取る。カプセルの内部容積に侵入する水素は、化学吸
着材料と接触および反応して、僅かな蒸気圧で固体反応生成物を形成する。容器
から放出されたアルシンはこのため大量の水素は含んでいない。さらに、容器の
内部容積からの水素の除去は、火災および/または爆発の危険性を最小にすると
いう利点を有している。さらに、内部容積から水素を除去すれば、除去しない場
合に容器の圧力を許容できない高レベルにまで増大する可能性のある気相の成分
を除去する。
【0095】 カプセル110は従って、気体貯蔵および放出容器の内部容積に容易に配置さ
れて、最初は不活性にされ、気密密閉された容器またはパッケージとされて、化
学吸着剤と接触すると、容器内に配置されたときにイン・サイチュで気体不純物
を除去する性能を損なう恐れのある少量の水素または大気気体と化学吸着剤との
早期の混合を防ぐ。図2に示す通り、カプセルをヘッドスペース気体に晒すべく
、シリンダーに吸着剤材料を充填した後にカプセルをシリンダーの内部容積に導
入してもよい。
【0096】 カプセルの構造をより詳しく図3に示す。これには、選択透過性壁136の境
界となる本体部分130が含まれ、この膜は水素、そして任意で、大気中に漏れ
た、または放出気体種(窒素、酸素)のようなその他の気体のような分解生成物
に対して選択透過性がある。大気気体は、弁頭アセンブリを通して容器内部へと
漏れ、より一般的には内部容器壁または吸着剤材料そのものから気体放出される
【0097】 カプセルの各端には、エンドキャップ132と134があり、これらを組み合
わせて本体部分130を封止して一体型構造が形成される。
【0098】 膜壁カプセル110には、バリウムの薄膜のようなフラッシュゲッタを上に有
するマクロポーラス媒体138がある。マクロポーラス媒体は高表面積構造であ
り、骨組みマクロポアマトリックスまたはシリカ、アルミナ、モレキュラーシー
ブ、マクロ網目状ポリマー樹脂(例えば、アンバーライト(登録商標)樹脂)等
のような通常の高表面積材料とすることができる。ゲッタフィルムは、従って、
膜壁を透過する汚染気体を不可逆的に化学吸着する働きを持つ。例えば、バリウ
ムの場合には、次のような反応が起きる。Ba+H→BaH、2Ba+O →2BaO、Ba+N→BaN、Ba+HOH→BaO+BaH
【0099】 ゲッタフィルムが支持されるマクロポーラス媒体は、ゲッタフィルムの汚染気
体との発熱反応を調整する高い比熱特性を有しているのが好ましい。これが、カ
プセル内の熱反応を調整する。
【0100】 選択透過性膜は、貯蔵された収着ガスを透過しないものを選ぶ。
【0101】 カプセル110は、アルゴンや窒素のような不活性雰囲気下でグローブボック
スにおいて製造して、貯蔵し、気体貯蔵および放出シリンダーに不活性雰囲気下
で据え付けることができる。
【0102】 使用する際、ゲッタカプセルはほぼゼロの値の汚染物質レベルを保つため、放
出された気体は高純度である。
【0103】 本発明の流体貯蔵および放出手段および方法は、半導体製造プロセスの様々な
単位操作のための試薬送出に有用に用いることができる。
【0104】 例えば、半導体製造プロセスにはフォトリソグラフィー工程が含まれる。一般
に、大規模集積(VLSI)および超大規模集積(ULSI)回路の製造中、ウ
エハには12〜20のフォトリソグラフィー工程が行われる。HMDS、TMS
、フォトレジストストリッパおよび現像剤の蒸気圧は、本発明による貯蔵および
放出システムに保持される固体吸着剤上の処理液体を吸着することにより、本発
明のプロセスに従って減じることができる。得られるより安全な処理流体源を標
準のウエハ軌道システムにおいて用いると、製造プロセスフローにおけるフォト
リソグラフィー工程中、ウエハにフォトレジストをコートし、これを現像し、そ
して剥がすことができる。
【0105】 本発明の貯蔵および放出システムはまた、清浄流体を本発明の流体貯蔵および
放出システムに貯蔵し、放出するイン・サイチュでの清浄またはその他清浄操作
にも利用することができる。イン・サイチュでの清浄により、プロセスに関連の
欠陥が減じ、保守サイクルを延ばすことによりツールの寿命を増大させる。半導
体ツールに用いられるチャンバーの清浄は(1)W CVDツール、Ti/Ti
NスパッタツールおよびTi/TiNハイブリッドスパッタ/CVDツールには
NF清浄、(2)炉および単一ウエハポリシリコン/SiO(ドープおよび
未ドープの両方)蒸着ツールには1,1,1−トリクロロエタン(TCA)、ト
ランス−1,2−ジクロロエタン(t−DCE)およびHF清浄である。
【0106】 清浄化気体を、本発明の吸着剤媒体に吸着させて、かかる清浄化流体の低蒸気
圧源を形成することができる。これは、かかる気体の運搬、貯蔵および使用中の
危険性を大幅に減じるものである。本発明のプロセスを例えば、Cl(Al蒸
着のプラズマで用いる)のような気体状清浄化剤で実施して、固体および/また
は化学汚染物質をプロセス装置のチャンバー壁から除去してもよい。
【0107】 集積回路製造のための半導体製造プロセスに関して、標準のケイ素集積回路に
数多くの層が、有害な原材料を用いた化学蒸着(CVD)により蒸着される。(
1)Si源としてSiH、SiまたはSiHCl4x(x=0〜4)
を用いて蒸着されるポリシリコンまたはエピタキシャルシリコンのCVD、これ
らのフィルムはPHまたはBまたはAsHでドープされることが多い
、(2)Si源としてSiHCl4x(x=0〜4)またはテトラエチルオル
トシリケート(TEOS)を用い、三塩化ホウ素、トリメチルボレート、トリメ
チルボライト、トリエチルボレート、トリエチルボライト、三塩化リン、トリメ
チルホスフェート、トリメチルホスファイト、トリエチルホスフェート、トリエ
チルホスファイト、PHまたはBをはじめとするドープ剤を用いるSi
のCVD、(3)WFおよび時によっては共反応物質としてSiHまた
はSiにより実施されるWのCVD、(4)Ti源としてTiClまた
はテトラキスジメチルアミドチタンまたはテトラキス−ジエチルアミドチタンを
、共反応物質としてアンモニアと共に用いるTiNのCVD、(5)Si源とし
てSiHCl4x(x=0〜4)およびアンモニアまたは窒素プラズマ放電に
より成長するSiのCVDが挙げられる。上述のプロセスのうちいくつか
は熱CVDにより実施され、この多くがプラズマ支援CVDプロセスとして行わ
れるが、UV光のようなその他の形態の支援もまた用いてもよい。
【0108】 これらの例は、危険な気体または液体の蒸気圧を減少して、本発明に従ってよ
り安全な源の処理流体を形成する物理吸着剤材料にかかる流体相プロセス試薬を
吸着させることにより、運搬および使用における安全性を改善することのできる
危険な気体または液体の使用について説明するものである。
【0109】 半導体製造工業における流体利用の上述の特定の例に加えて、その他の多くの
流体試薬のプロセス工程が半導体製造には含まれる。従って、上記の記載は包括
的なものではなく、本発明の吸着剤ベースの流体貯蔵および送出システムはさら
に、危険な材料を利用する様々なCVDプロセスおよび半導体製造工業において
実施されるその他の流体消費操作に適用可能である。
【0110】 続く開示において、本発明は、収着流体として気体を参照して記載するが、本
発明は液体、気体、蒸気および多相流体に広く適用でき、流体混合物および単一
成分流体の貯蔵および放出を意図したものと理解される。
【0111】 本発明の流体貯蔵および放出容器にもまた、収着流体の脱離を熱的に補助する
働きを持つ内部加熱手段(図示せず)を与えてもよい。しかしながら、好ましく
は、収着流体は少なくとも部分的に、最も好ましくは完全に、圧力差を用いた脱
離により吸着流体を含有する貯蔵および放出容器から放出される。かかる圧力差
は、一方は貯蔵および放出容器、他方は外部放出環境または場所の間の流れの連
通により得られる。容器の放出手段として、ポンプ、送風機、ファン、エダクタ
、エジェクタ等または容器から放出された流体を用いる場所まで流体を流すその
他動力駆動装置が挙げられる。
【0112】 貯蔵および放出容器に入れる前に、吸着剤材料を好適に処理する、または取り
扱って流体貯蔵および放出システムの性能に悪影響を及ぼす恐れのある微量成分
を確実に除去する。例えば、吸着剤に例えば、フッ酸で洗浄処理を行って、金属
や酸性遷移金属種のような微量成分を十分に取り去るか、あるいは加熱または処
理を施して所望の純度および/または性能特性を得る。
【0113】 吸着剤は、貯蔵され後に放出される流体に吸着親和性を有し、放出操作につい
て十分な脱離特性を持った粒子、顆粒、押出し物、粉末、布、ウェブ材料、ハニ
カムまたはその他モノリシック形態、複合体または有用な吸着剤材料のその他好
適なコンフォメーションの形態で提供することができる。
【0114】 後に放出される気体の吸着および脱離に関して、周囲温度条件での圧力差によ
ってのみ操作するのが一般に好ましいが、本発明のシステムは場合によっては、
吸着流体の固相物理吸着媒体からの熱的に向上された脱離を行うために、固相物
理吸着剤媒体の選択的加熱用の貯蔵および放出システムに関して操作されるよう
構成されたヒーターを用いると有利である。
【0115】 上述した本発明は、半導体製造プロセスシステムの様々な単位操作における、
流体貯蔵および放出手段および試薬送出方法に有用に用いることができる。
【0116】 図4は、半導体製造プロセスシステム216と流体放出との関係を示す、本発
明の一実施形態による貯蔵および放出システム200の概略透視図である。
【0117】 貯蔵および放出システム200は、吸着剤材料の床204を保持する貯蔵およ
び放出容器202を備えている。吸着剤材料の床204は、不純物透過可能な膜
205の容器内部に配置され、この膜は容器202の内部壁表面に対してその周
囲が封止されている。膜205の下は化学吸着剤の床207であり、容器使用中
、不純物種がこの膜を透過して、化学吸着剤と反応し、容器の内部容積から不純
物を除去する。
【0118】 容器202の首領域206は、弁頭208に結合されていて、これは弁棒21
1を介して手動で調整可能な車212に結合されており、車212の回転により
容器が開き、脱離気体が、気体放出部210からライン214を通って半導体製
造操作部216に流れる。半導体製造操作部216にて用いられた後、使用済み
の気体はライン218から処理部220を通過してそこで処理されて、ライン2
22を通ってシステムから放出される。
【0119】 図4に示す半導体製造プロセスシステム216は、VLSIおよびULSI回
路を製造するためのウエハフォトリソグラフィー工程を好適には含む。HMDS
およびTMSおよびフォトレジストストリッパおよび現像剤のような好適な流体
を、本発明のプロセスに用いられるカーボン吸着剤、ローム&ハースケミカルカ
ンパニー(ペンシルバニア州、フィラデルフィア)より「アンバーライト」とい
う商品名で市販されているタイプのマクロ網目状ポリマー、シリカ、アルミナ、
アルミノシリケート等のような材料をはじめとするポリマー吸着剤のような固体
吸着剤上に吸着させることができる。
【0120】 本発明の収着ガスおよび放出システムは従って、製造プロセスフローにおいて
フォトリソグラフィー工程中ウエハにフォトレジストをコーティングし、現像し
、剥離する目的でウエハ軌道プロセスに用いることができる。
【0121】 半導体製造プロセスシステム216にはまた、清浄化試薬の流体貯蔵および放
出も含まれ、イン・サイチュでの清浄化を行い、プロセス関連の欠陥を減じ、保
守サイクルを延ばすことによりツールの寿命を増大させる。
【0122】 清浄化試薬および関連の半導体ツールについては上記に例示してある。使用に
際して、清浄化試薬は、NF、フッ化水素、1,1,1−トリクロロエタンお
よびトランス−1,2−ジクロロエタン、塩素、塩化水素等のような試薬の貯蔵
および選択的オン・デマンドの放出のために、貯蔵および放出容器(流体試薬に
吸着親和性を有する吸着剤材料を含有)に吸着保持される。
【0123】 本発明のプロセスは、シラン、クロロシラン、テトラエチルオルトシリケート
、六フッ化タングステン、ジシラン、四塩化チタン、テトラキスジメチルアミド
チタン、テトラキスジエチルアミドチタン、アンモニアまたはその他窒素材料等
のようなCVD前駆体と、ホウ素、リン、ヒ素およびアンチモン源試薬のような
ドープ剤材料とを用いた薄膜材料の化学蒸着に有用に用いることができる。かか
るドープ剤源試薬としては、ボラン、三塩化ホウ素、三フッ化ホウ素、トリメチ
ルボレート、トリメチルボライト、トリエチルボレート、トリエチルボライト、
三塩化リン、トリメチルホスフェート、トリメチルホスファイト、トリエチルホ
スフェート、トリエチルホスファイト、ホスフィン、アルシン、ジボラン等が例
示され、上述の水素含有ドープ剤源試薬の重水素物およびトリチウム標識類似体
も含まれる。
【0124】 一般に、本発明のプロセスは、基板または前駆デバイス構造体の表面または内
部に組み込まれる材料のソース材料として、またはエッチング、マスク、レジス
ト、洗浄または他のクリーニング液等といったプロセス反応剤として、半導体デ
バイス構造体の作製に使用される流体が、当該流体に対する収着親和力を有する
収着材料が入っている容器内に保持可能である任意の場合に、有用な働きをする
。流体は、気体、蒸気、液体、または他の多相構成であってもよいが、本発明で
は、貯蔵/放出容器内の収着媒体によって収着保持される蒸気または気体流体を
利用することが好ましい。
【0125】 本発明のガス貯蔵および放出方法を有意義に利用できるプロセス工程は、イオ
ン注入、エピタクシャル成長、プラズマエッチング、活性イオンエッチング、メ
タライゼーション、物理蒸着、ドーピング、および化学蒸着を含むが、それらに
限定されるものではない。
【0126】 本発明の貯蔵/放出システムによって放出されるプロセス流体を利用して、本
発明による種々の電子デバイス構造体を形成することもできる。そのような電子
デバイス構造体の例として、トランジスタ、コンデンサ、抵抗器、メモリセル、
誘電体材料、種々の不純物添加基板領域、メタライズ層、チャネルストップ層、
ソース層、ゲート層、ドレイン層、酸化物層、電界エミッタ素子、パッシベーシ
ョン層、相互接続子、ポリサイド、電極、トレンチ構造体、イオン注入材料層、
ビアプラグ、および前述電子デバイス構造体の前駆構造体、ならびに、前述電子
デバイス構造体を複数含むデバイス組立品を、それに限らず含む。
【0127】 電子デバイス構造体は、例えば、ROM、 RAM、 SRAM、 DRAM
、 PROM、 EPROM、 EEPROM、およびフラッシュメモリチップ
といったメモリチップデバイスであってもよい。あるいは、電子デバイス構造体
は、マイクロコントローラチップまたはマイクロプロセッサチップなどの半導体
論理チップであってもよい。
【0128】 本発明のプロセスの最終用途電子製品として、電気通信装置や、コンピュータ
、パーソナルデジタルアシスタンス、電話、フラットパネルディスプレイ、モニ
ター、音響システム、電子ゲーム、バーチャルリアリティ装置、およびスマート
消費者装置などの製品、ならびに調理器具、冷蔵庫、冷凍庫、食器洗浄機、洗濯
機、衣類乾燥機、加湿器、除湿器、空調装置、総合位置決め装置、照明システム
、および前述各装置のための遠隔制御装置などの消費者装置が含まれる。
【0129】 一実施形態において、貯蔵/放出容器内の流体源は、イオン注入用の半導体製
造プロセスシステムに選択的に供給される。イオン注入用の流体源は、例えば、
半導体製造工程の流体ソースは、金属部分が、アルミニウム、バリウム、ストロ
ンチウム、カルシウム、ニオビウム、タンタル、銅、プラチナ、パラジウム、イ
リジウム、ロジウム、金、タングステン、チタン、ニッケル、クロム、モリブデ
ン、バナジウム、またはそれらのうちの2つ以上を組合せたものからなる群など
の金属である金属有機組成物によって構成することもできる。
【0130】 図5に図示のイオン注入室301で基板328のイオン注入ドーピングを行
うために供給されるアルシンガスを保持する収着材料306をその内容積に収容
している貯蔵/放出容器302を含むイオン注入プロセスシステム300の概略
図である。収着材料は、必要に応じて容器内の不純物種を吸収する化学吸着材料
と協働させることもできる。
【0131】 貯蔵/放出容器302は、ビーズ、粒子、または他の微細な分割形態であって
もよい収着材料306を保持する内容積を囲む容器壁306を備えている。収着
済気体は、収着材料の容器内部に保持される。
【0132】 貯蔵/放出容器302は、排出管路312と気体が流れる連通状態に連結され
たバルブヘッド308を含んでいる。管路312には、流量コントローラ314
と一緒に圧力センサ310が配置されているが、他の監視および感知構成要素を
管路と連結して、アクチュエータ、フィードバックおよびコンピュータ制御シス
テム、サイクルタイマー等といった制御手段と連係させることもできる。
【0133】 イオン注入室301は、管路312から放出されるガス、例えばアルシンを受
け入れてイオンビーム305を発生させるイオンビーム発生器すなわちイオナイ
ザ316を収容している。イオンビーム305は、必要なイオンを選択し、選択
されなかったイオンを排除する質量分析装置322を通過する。
【0134】 選択されたイオンは加速電極アレイ32を通過し、その後、偏向電極326を
通過する。そのようにして集束させられたイオンビームは、軸332に取り付け
られた回転可能ホルダ表面に配置された基板素子328に衝突する。Asイオ
ンのイオンビームを使用して、希望通りに基板をnドープして、nドープ型の構
造体を形成する。
【0135】 イオン注入室301の各部は、管路318、340、および344を通じて、
それぞれポンプ320、342,および346によって排出が行われる。
【0136】 図6は、図5記載タイプのプロセスシステムによって形成することができ、n
ドープ型のソース領域404とドレイン領域410とを含むNMOSトランジス
タ構造体400の正面略断面図である。基板402は、例えば、上にゲート層4
06が形成されたゲート酸化物層408を有するp型の基板であってもよい。n
ドープ型のソースおよびドレイン領域は、所望の最終用途トランジスタ構造体に
適した電束、例えば1平方センチメートル当たりのイオン数1015個でドーピ
ングした領域404および410が生成されるようにAsイオンを適切なエネ
ルギー、例えば110KeVで基板に衝突させて注入することによって形成する
こともできる。
【0137】 本発明による図6記載の構造体の作製に際し、Asイオンは、例えば、ほぼ
大気圧となるように600〜740Torrの範囲の適切な圧力で前駆体ガスを
収着貯蔵している貯蔵/放出容器から、アルシンまたは他のヒ素前駆体ガス種を
導入することによって生成することもできる。
【0138】 図7は、図1記載のタイプの貯蔵/放出容器から放出される気体反応剤を利用
して形成される構造特徴を有するスタティックランダムアクセスメモリ(SRA
M)構造体500の一部の正面断面図である。
【0139】 SRAM構造体500は、例えばp型シリコンを含む基板502を有し、該基
板502の上に、本発明による流体貯蔵/放出容器から供給される前述のものの
ようなシリコンソース前駆体からエピタキシャル薄膜積層によって形成された、
SiOを含む酸化物層504が積層されている。
【0140】 あるいは、本発明のプロセスにより流体貯蔵/放出容器から放出される酸化剤
を利用して基板502を酸化することによってその上に酸化物層504を形成す
ることもできる。 酸化物層504を重ねることにより、Asのようなnドー
パント、またはアンチモニまたはリンドーパント種によって適切にドープされて
nドープ型の隣接領域になりうる層領域508および512によって、ポリシリ
コン抵抗素子510が挟まれる。上に重ねっている誘電層506は、層504の
形成に関連して前述したように化学蒸着によってシリカから形成することもでき
る。図示のシリカ層506は、本発明のプロセスにより貯蔵/放出容器から適切
に放出することもできる流体層エッチング液によって食刻され、メタライズ素子
514のウェルまたはトレンチとなる。
【0141】 したがって、図7記載のSRAMセルのポリシリコン抵抗構造体の作製プロセ
スは、イオン注入、化学蒸着、エッチング、およびメタライゼーションから成る
構成プロセス工程でプロセス流体を放出することによって実施することもできる
。本発明のプロセス工程は、作製場所において、電子デバイス構造体の作製プロ
セスで放出される流体利用と相互作用する、支援する、またはこれを容易にする
流動環境で実施することもできることが分かるであろう。
【0142】 図8は、本発明のプロセスにより作製することもできる、一体式コンデンサを
含む集積回路構造体の一部分の概略図である。
【0143】 集積回路601の図示部分は、従来の金属酸化物半導体フィールド効果トラン
ジスタ(MOSFET)などの第1の活性デバイス610と、シリコン基板など
の基板615上に形成されたチタン酸バリウムストロンチウム(BST)の層な
どの誘電体膜の層を採用しているコンデンサ605とを含んでいる。第2のトラ
ンジスタ610のドレイン領域も記載されている。
【0144】 この構造体に採用されている特定タイプの活性デバイスは、集積回路の最終用
途に望ましいNMOS、PMOS、またはCMOS構造体を構成することもでき
る。そのような構造体の潜在的に有用な他の活性デバイスとして、例えば、バイ
ポーラ接合トランジスタや砒化ガリウムMESFETなどがある。トランジスタ
610と620は、本発明のプロセスによる収着剤貯蔵/放出システムから放出
された反応剤を利用する処理方法によって作製できる。
【0145】 図8において、トランジスタ610と620は、例えばSiOによって形成
されて、トランジスタ610と、トランジスタ620のような隣接デバイスとの
間で絶縁体として作用する、電界酸化物領域625および630を含んでいる。
【0146】 トランジスタ610のソースおよびドレイン領域635および640は、NM
OS構造体にヒ素またはリンなどのn型の不純物を注入することによって形成さ
れる。ソースおよびドレインの提供を減少させて、トランジスタ610による、
より大きな電流供給を可能にするために、ソースおよびドレイン領域635およ
び640の上に任意のシリサイド層645が積層されている。
【0147】 トランジスタ610のゲート650は、例えば、本発明のプロセスにより貯蔵
/放出容器から放出される流体を利用して、イオン注入または蒸気ドーピングな
どによってn型の不純物が注入されたポリシリコン655を含んでいる。ゲート
ポリシリコン655は、SiOスペーサ650の表面に設けられる。ゲート6
50の電気抵抗を減少させるために、ゲートポリシリコン655を覆うように任
意のシリサイド層655の上にも任意のシリサイド層662が積層される。次に
、トランジスタを保護し、電気接続を容易にするために、トランジスタ610お
よび620の上に、例えばリンを添加した酸化物であるPガラスから成る絶縁層
665が積層される。
【0148】 その後、絶縁層665を食刻して接点窓666を形成して、デバイスのゲート
650と、領域635ならびに640のようなソースおよびドレイン領域とを露
出させる。図8記載の集積回路の断面図では、トランジスタ610および620
のドレイン領域だけが露出しているが、記載断面図の外側で、集積回路601の
他の部分に対してゲートおよびソースが露出していることが容易に分かるであろ
う。
【0149】 絶縁層表面などの集積回路表面には、図8記載のコンデンサ605など、少な
くとも1つのコンデンサが形成されている。コンデンサ605は、絶縁層表面に
形成された第1の電極670、第1の電極670の上の誘電体薄膜領域675、
および該第1の電極670と反対側の誘電体薄膜領域675の表面に形成された
第2の電極680を含んでいる。第1の電極670は、2層構造、例えば窒化チ
タン層の上にプラチナを重ねた層など、を有することができる。プラチナは電極
材料として適しているが、シリコンと反応して不都合である。そのため、プラチ
ナと基板615のシリコンとの間のそのような化学反応を防止するために、絶縁
層表面と接触状態にある第2の電極層として、通常、拡散障壁が利用される。こ
の2層構造の各層の適切な厚さは、約0.01〜約0.5μmである。
【0150】 あるいは、図8に記載の一般的なタイプの集積回路は、食刻領域を介して絶縁
層665の表面に電気接続デバイスに合った特定パターンの導電性相互接続層を
蒸着によって形成し、他の回路構成要素を所望の方法で形成してもよい。
【0151】 図8記載のデバイス構造体の更に別の構造として、第1の電極670は、適切
な導電性材料の単層構造であってもよい。単層構造または2層構造の第1の電極
670の適切な全体厚は、約0.1〜約0.5μmにすることもできる。第1の
電極670は、第1の電極670と電気的に接続する第2の電極680より適宜
に大きく構成されている。
【0152】 相互接続層が形成されたときの第1および第2のコンデンサ電極670と68
0の間の短絡を防止するために、コンデンサ605の形成後にコンデンサ605
の縁領域690、691、692に、例えばSiOなどの絶縁材料685が堆
積される。次に、絶縁層の表面に相互接続層695が形成され、デバイス610
と620およびコンデンサ605を電気的に接続するために、所望の方法により
相応に接触ウィンドウが食刻される。相互接続層695に適した材料は、本発明
のプロセスにより収着剤貯蔵/放出容器から放出される対応金属有機前駆体から
堆積することもできる、アルミニウムおよび/または銅などである。集積回路6
01において、トランジスタ610のドレイン640は、コンデンサ680の第
1の電極に電気的に接続され、コンデンサの第2の電極は、トランジスタ620
のソースに電気的に接続されている。
【0153】 以上の説明から、本発明は、収着媒体を選択することによって半導体製造プラ
ントの広範囲な半導体製造用反応剤の中から任意のものを送出するように実施す
ることができ、簡単な収着および脱離試験で適切な材料およびプロセス条件を求
めることにより、熟練技術者が過度の実験を行わなくとも容易に放出モードを決
定できることが明らかになるであろう。
【0154】 以上、特定の特徴、側面、および実施形態を参照しながら本発明を図示および
説明してきたが、本発明は、本願明細書の開示内容と矛盾しない広範囲の他の実
施形態、特徴、および実施方式も可能であることを理解されたい。従って、請求
項に記載の本発明は、前述開示内容の精神および範囲内で広く解釈および判断さ
れるものとする。
【0155】 産業上の適用可能性 本発明の高純度のガスを選択的に放出するための収着剤使用ガス貯蔵/送出シス
テムは、要求に応じて利用できる信頼性のあるプロセス流体源を必要とする用途
およびプロセスに工業的に使用することもできる。半導体製造業は、漸進的な電
子装置集積密度増加とウェハサイズ増大により、高レベルのプロセス信頼性と効
率を必要としている。本発明は、そのような半導体システムおよびプロセスを作
成する際に高純度の流体を放出するための確実な手段を提供するものである。
【図面の簡単な説明】
【図1】 本発明の一実施形態による貯蔵/送出システムの概略図である。
【図2】 本発明の一側面による化学吸着カプセルが内部に配置されている
ことを特徴とする、本発明の一実施形態による貯蔵/放出装置の部分切欠き略正
面図である。
【図3】図2記載のタイプの化学吸着カプセルの部分切欠き略正面図である
【図4】 半導体製造プロセスシステムに対して流体放出関係にあることを
示す、本発明の一実施形態による貯蔵/放出容器の略透視図である。
【図5】 図示のイオン注入室で基板のイオン注入ドーピングを行うために
供給されるガスを収容している貯蔵/放出容器を含むイオン注入プロセスシステ
ムの概略図である。
【図6】 図3記載のプロセスシステムによって形成され、n型にドープさ
れたソースおよびドレイン領域を含むNMOSトランジスタ構造体の正面略断面
図である。
【図7】 図1記載のタイプの貯蔵/放出容器から放出されるガス反応剤を
利用して形成される構造特徴を有するスタティックランダムアクセスメモリ(S
RAM)構造体の一部の正面断面図である。
【図8】 本発明のプロセスにより製造することもできる、一体式コンデン
サを備えた集積回路の一部分の概略図である。
【手続補正書】特許協力条約第34条補正の翻訳文提出書
【提出日】平成11年5月21日(1999.5.21)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正内容】
【特許請求の範囲】
【0023】 好ましい固相物理収着媒には、例えば約4〜約13Åの範囲の細孔サイズを有
する結晶性アルミノシリケートが含まれ、一方大細孔を有する結晶性アルミノシ
リケート組成物、例えば約20〜40Åの範囲の細孔サイズを有するいわゆるメ
ソポア組成物は、また本発明を広範囲に実施する際に潜在的に有効に用いられる
。このような結晶性アルミノシリケート組成物の例としては、5Åモレキュラー
シーブ、好ましくはバインダレスモレキュラーシーブが含まれる。結晶性アルミ
ノシリケートおよび炭素モレキュラーシーブなどのモレキュラーシーブ物質は、
多くの場合好ましいものであるが、固相物理収着媒としては、シリカ、アルミナ
、巨大網目状ポリマー、珪藻土、炭素などの他の物質が有効に含まれてもよい。
収着物質は、適切に処理されて、ガスの貯蔵および放出システムの性能に悪影響
を及ぼす痕跡成分が全くないことを確実にされてもよい。例えば、炭素収着媒を
、例えばフッ化水素酸による洗浄処理に供して、金属、および酸化物の遷移金属
種などの痕跡成分が十分にないようにしてもよい。潜在的に有用な炭素物質には
、高度に均一な球形の微粒子形状のいわゆる粒状活性炭が含まれる。例えば、B
AC−MP、BAC−LP、およびBAC−G−70Rであり、米国(New
York、N.Y.)のKureha Corporationから入手可能で
ある。
【0083】 この膜は、例えば、ポリプロピレン、ポリフッ化ビニリデン、ポリテトラフル
オロエチレン、ポリフルオロアセテート、シリコーン、表面処理ガラス布および
Noryl(R) polyphenylene oxideフィルム(ジェネ
ラルエレクトリックカンパニー(マサチューセッツ州、ピッツフィールド))を
はじめとする様々な潜在的に有用な材料から形成してよい。
【0085】 収着ガスは、例えば、水酸化物および/またはハロゲン化物基体および/または
V族有機金属、例えば、アルシン、ホスフィン、塩素、NF、BF、BCl 、ジボラン(Bまたはその重水素類似体B)、(CHSb
、六フッ化タングステン、フッ化水素、塩化水素、ヨウ化水素、臭化水素、ゲル
マン、アンモニア、スチビン、硫化水素、セレン化水素、テルル化水素、臭素、
ヨウ素、フッ素等のような気体を含んでいてよい。
【0131】 貯蔵/放出容器302は、ビーズ、粒子、または他の微細な分割形態であって
もよい収着材料306を保持する内容積を囲む容器壁304を備えている。収着
済気体は、収着材料の容器内部に保持される。
【0143】 集積回路601の図示部分は、従来の金属酸化物半導体フィールド効果トラン
ジスタ(MOSFET)などの第1の活性デバイス610と、シリコン基板など
の基板615上に形成されたチタン酸バリウムストロンチウム(BST)の層な
どの誘電体膜の層を採用しているコンデンサ605とを含んでいる。第2のトラ
ンジスタ620のドレイン領域も記載されている。
【0147】 トランジスタ610のゲート650は、例えば、本発明のプロセスにより貯蔵
/放出容器から放出される流体を利用して、イオン注入または蒸気ドーピングな
どによってn型の不純物が注入されたポリシリコン655を含んでいる。ゲート
ポリシリコン655は、SiOスペーサ660の表面に設けられる。ゲート6
50の電気抵抗を減少させるために、ゲートポリシリコン655を覆うように任
意のシリサイド層655の上にも任意のシリサイド層662が積層される。次に
、トランジスタを保護し、電気接続を容易にするために、トランジスタ610お
よび620の上に、例えばリンを添加した酸化物であるPガラスから成る絶縁層
665が積層される。
【手続補正書】
【提出日】平成13年7月17日(2001.7.17)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正内容】
【特許請求の範囲】
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,SD,SL,SZ,UG,ZW),E A(AM,AZ,BY,KG,KZ,MD,RU,TJ ,TM),AL,AM,AT,AU,AZ,BA,BB ,BG,BR,BY,CA,CH,CN,CU,CZ, DE,DK,EE,ES,FI,GB,GE,GH,H U,IL,IS,JP,KE,KG,KP,KR,KZ ,LC,LK,LR,LS,LT,LU,LV,MD, MG,MK,MN,MW,MX,NO,NZ,PL,P T,RO,RU,SD,SE,SG,SI,SK,SL ,TJ,TM,TR,TT,UA,UG,UZ,VN, YU,ZW (72)発明者 キルリン,ピーター,エス. アメリカ合衆国,コネティカット州 06470, ニュータウン,イクウェストリ アン リッジ ロード 25 (72)発明者 マクマナス,ジェイムス,ヴイ. アメリカ合衆国,コネティカット州 06811, ダンバリー,パダナラム ロー ド 25 Fターム(参考) 3E072 AA10 EA10

Claims (46)

    【特許請求の範囲】
  1. 【請求項1】 収着型ガス貯蔵および放出システムであって、 収着ガスをその上に物理的に吸着させる固相物理収着媒を含む貯蔵および放出
    容器と、 貯蔵および放出容器内にあって収着ガスに対して不純物の化学収着性を有し、
    また貯蔵および放出容器内で該不純物を化学収着してガス相除去するように配置
    された化学収着物質と、 貯蔵および放出容器とガス流で連結され、脱着された収着ガスを貯蔵および放
    出容器から選択的に放出する放出装置と を備える収着型ガス貯蔵および放出システム。
  2. 【請求項2】 化学収着物質は、不純物に透過性であるが収着ガスに非透過
    性であるバリア部によって収着ガスと接触しないように保護される請求項1記載
    の収着型ガス貯蔵および放出システム。
  3. 【請求項3】 バリア部は、選択透過性膜を含む請求項1記載の収着型ガス
    貯蔵および放出システム。
  4. 【請求項4】 選択透過性膜は、ポリプロピレン、ポリフッ化ビニリデン、
    ポリテトラフルオロエチレン、ポリフルオロアセテート、シリコーン、表面処理
    ガラス織物およびノリルフィルムからなる群から選択される構成物質から形成さ
    れる請求項1記載の収着型ガス貯蔵および放出システム。
  5. 【請求項5】 化学収着物質は、不純物に透過性であるが収着ガスに非透過
    性である膜を含むカプセルに収納される請求項1記載の収着型ガス貯蔵および放
    出システム。
  6. 【請求項6】 化学収着物質は、カプセル内の支持母材上に担持される請求
    項5記載の収着型ガス貯蔵および放出システム。
  7. 【請求項7】 化学収着物質は、 (A)第II族金属、 (B)これらの混入物が存在する際に、これらの混入物を除去するのに効果的に
    反応するアニオンをもたらす化合物と会合するものの、共有結合しない支持体を
    含むスカベンジャーであり、 (i)対応するプロトン化カルボアニオン化合物が約22〜約36のpKa
    値を有するカルボアニオン源化合物、 (ii)カルボアニオン源化合物を収着ガスと反応させることによって形成
    されるアニオン源化合物、からなる群の一種以上の成分から選択され、および (C)(i)約50〜約1000平方米/グラムの範囲の表面積を有し、また少
    なくとも約250℃まで熱的に安定な不活性支持体、および (ii)約0.01〜約1.0モル/支持体リットルの濃度で支持体上に存
    在し、またナトリウム、カリウム、ルビジウム、セシウムから選択される第IA
    族金属、ならびにその混合物および合金の支持体上に析出し、ついで支持体上で
    熱分解して形成される活性スカベンジャー種、 を含むスカベンジャーからなる群から選択される請求項1記載の収着型ガス貯
    蔵および放出システム。
  8. 【請求項8】 化学収着物質は、バリウム、ストロンチウム、カルシウムお
    よびマグネシウムからなる群から選択される請求項1記載の収着型ガス貯蔵およ
    び放出システム。
  9. 【請求項9】 化学収着物質は、トリチルリチウムおよび砒化カリウムから
    なる群から選択される請求項1記載の収着型ガス貯蔵および放出システム。
  10. 【請求項10】 化学収着物質は、物理吸着媒床と不純物のガス流で連結し
    た別個の物質床として提供される請求項1記載の収着型ガス貯蔵および放出シス
    テム。
  11. 【請求項11】 化学収着媒は、貯蔵および放出容器において、物理吸着物
    質床に亘って分散される請求項1記載の収着型ガス貯蔵および放出システム。
  12. 【請求項12】 固相物理収着媒は、結晶性アルミノシリケート、アルミナ
    、シリカ、炭素、巨大網目状ポリマー、および珪藻土からなる群から選択される
    物質を含む請求項1記載の収着型ガス貯蔵および放出システム。
  13. 【請求項13】 化学収着物質は、貯蔵および放出容器において、薄い金属
    フィルムの形態で含まれる請求項1記載の収着型ガス貯蔵および放出システム。
  14. 【請求項14】 化学収着物質の薄い金属フィルムは、バリウム、ストロン
    チウム、カルシウムおよびマグネシウムからなる群から選択される物質を含む請
    求項1記載の収着型ガス貯蔵および放出システム。
  15. 【請求項15】 化学収着物質は、貯蔵および放出容器の内部領域において
    、分離される請求項1記載の収着型ガス貯蔵および放出システム。
  16. 【請求項16】 不純物ガスを、それに潜在的に敏感な環境中で反応的に収
    着するカプセルであって、カプセルの内部を限定する選択透過性膜の壁、および
    不純物ガスが選択透過性膜を透過して化学収着物質と接触した際に不純物ガスと
    反応性がある化学収着物質を含むカプセル。
  17. 【請求項17】 化学収着物質は、カプセルの内部において、支持母材上に
    担持される請求項16記載のカプセル。
  18. 【請求項18】 化学収着物質は、 (A)第II族金属、 (B)これらの混入物が存在する際に、これらの混入物を除去するのに効果的に
    反応するアニオンをもたらす化合物と会合するものの、共有結合しない支持体を
    含むスカベンジャーであり、 (i)対応するプロトン化カルボアニオン化合物が約22〜約36のpKa
    値を有するカルボアニオン源化合物、 (ii)カルボアニオン源化合物を収着ガスと反応させることによって形成
    されるアニオン源化合物、 からなる群の一種以上の成分から選択され、および (C)下記(i)および(ii)を含むスカベンジャー (i)約50〜約1000平方米/グラムの範囲の表面積を有し、また少な
    くとも約250℃まで熱的に安定な不活性支持体、 (ii)約0.01〜約1.0モル/支持体リットルの濃度で支持体上に存
    在し、またナトリウム、カリウム、ルビジウム、セシウムから選択される第IA
    族金属、ならびにその混合物および合金の支持体上に析出し、ついで支持体上で
    熱分解して形成される活性スカベンジャー種、 からなる群から選択される請求項16記載のカプセル。
  19. 【請求項19】 化学収着物質は、バリウム、ストロンチウム、カルシウム
    およびマグネシウムからなる群から選択される請求項16記載のカプセル。
  20. 【請求項20】 化学収着物質は、トリチルリチウムおよび砒化カリウムか
    らなる群から選択される請求項1記載のカプセル。
  21. 【請求項21】 ガス試薬を用いる半導体製造装置、および半導体製造装置
    とガス流で連結したそのためのガス試薬源を含む半導体製造設備であって、該ガ
    ス試薬源は、 収着ガスをその上に物理的に吸着させる固相物理収着媒を含む貯蔵および放出
    容器、 貯蔵および放出容器とガス流で連結し、脱着された収着ガスを貯蔵および放出
    容器から選択的に放出する放出装置、および 任意に、収着ガスの不純物に対して化学収着性を有し、また貯蔵および放出容
    器において該不純物を化学収着してガス相除去する貯蔵および放出容器の化学収
    着物質 を含む半導体製造設備。
  22. 【請求項22】 試薬ガスの供給プロセスであって、 試薬ガスをその上に物理的に吸着させる固相物理収着媒を含む貯蔵および放出
    容器を提供すること、 任意に、貯蔵および放出容器において試薬ガスのガス相不純物を化学収着して
    、それをガス相除去すること、 試薬ガスを物理収着媒から脱着すること、および 脱着された試薬ガスを貯蔵および放出容器から放出すること を含む試薬ガスの供給プロセス。
  23. 【請求項23】 基板上または内部に電子機器構造体を製造するプロセスで
    あって、 流体をその上に物理的に吸着させる物理収着媒を含む貯蔵および放出容器を提
    供して電子機器構造体を製造すること、 流体を物理収着媒から脱着して流体を貯蔵および放出容器から放出すること、
    および 基板を、基板上または内部で流体またはその成分を用いるのに効果的な条件下
    で、貯蔵および放出容器からの放出された流体と接触させ、その際貯蔵および放
    出容器は、任意にさらに流体の不純物に対する化学収着媒を含み、それにより流
    体が高純度条件で放出され得ること を含む基板上または内部に電子機器構造体を製造するプロセス。
  24. 【請求項24】 接触工程は、下記(a)〜(j)からなる群から選択され
    るプロセス工程を含む請求項23記載のプロセス。 (a)イオン注入 (b)エピタキシャル生長 (c)プラズマエッチング (d)反応性イオンエッチング (e)金属化 (f)物理蒸着 (g)化学蒸着 (h)写真平板 (i)クリーニング (j)ドーピング
  25. 【請求項25】 基板上または内部に電子機器構造体を製造するプロセスで
    あって、 電子機器構造体の構成物質のために、流体源をその上に物理的に吸着する物理
    収着媒を含む貯蔵および放出容器を提供すること、 流体源を物理収着媒から脱着して流体源を貯蔵および放出容器から放出するこ
    と、および 基板を、基板上または内部で構成物質を析出するのに効果的な条件下で、貯蔵
    および放出容器から放出された流体源と接触させ、任意にさもなければ放出され
    る流体の純度を低減するであろう容器内の不純物を化学収着すること を含む基板上または内部に電子機器構造体を製造するプロセス。
  26. 【請求項26】 基板上または内部に電子機器構造体を製造するプロセスで
    あって、 流体をその上に物理的に吸着させる物理収着媒を含む貯蔵および放出容器を提
    供して電子機器構造体を製造し、その際それは電子機器構造体を製造するのに用
    いられるものの、電子機器構造体の構成物質を形成しないこと、 流体を物理収着媒から脱着して流体を貯蔵および放出容器から放出すること、
    および 基板を、基板上または内部で流体またはその成分を用いるのに効果的な条件下
    で、貯蔵および放出容器から放出された流体と接触させ、その際貯蔵および放出
    容器は、流体の不純物に対する化学収着媒を含み、それにより流体が高純度条件
    で放出され得ること を含む基板上または内部に電子機器構造体を製造するプロセス。
  27. 【請求項27】 電子機器構造体は、下記(a)〜(v)からなる群から選
    択される請求項23記載のプロセス。 (a)トランジスタ (b)コンデンサ (c)抵抗器 (d)メモリセル (e)誘電体材料 (f)埋め込みドープ基板領域 (g)金属化層 (h)チャネルストップ層 (i)ソース層 (j)ゲート層 (k)ドレイン層 (l)酸化物層 (m)電界エミッタ素子 (n)パッシベーション層 (o)相互接続子 (p)ポリサイド (q)電極 (r)トレンチ構造体 (s)イオン注入材料層 (t)ビアプラグ (u)前記(a)〜(t)の電子機器構造体用の前駆構造体 (v)前記(a)〜(t)の電子機器構造体の二種以上を含む機器構造体
  28. 【請求項28】 電子機器構造体は、メモリーチップ機器を含む請求項23
    記載のプロセス。
  29. 【請求項29】 メモリーチップ機器は、下記(i)〜(viii)からな
    る群から選択される機器を含む請求項28記載のプロセス。 (i)ROMチップ (ii)RAMチップ (iii)SRAMチップ (iv)DRAMチップ (v)PROMチップ (vi)EPROMチップ (vii)EEPROMチップ (viii)フラッシュメモリーチップ
  30. 【請求項30】 電極機器構造体は、半導体論理チップを含む請求項23記
    載のプロセス。
  31. 【請求項31】 電極機器構造体は、マイクロコントローラーおよびマイク
    ロプロセッサーからなる群から選択される半導体論理チップを含む請求項23記
    載のプロセス。
  32. 【請求項32】 電極機器構造体は、マイクロコントローラーを含む請求項
    23記載のプロセス。
  33. 【請求項33】 電極機器構造体は、マイクロプロセッサーを含む請求項2
    3記載のプロセス。
  34. 【請求項34】 接触工程は、イオン注入を含む請求項23記載のプロセス
  35. 【請求項35】 イオン注入のための流体は、金属有機組成物を含み、該金
    属有機組成物の金属部分は、アルミニウム、バリウム、ストロンチウム、カルシ
    ウム、ニオブ、タンタル、銅、白金、パラジウム、イリジウム、ロジウム、金、
    タングステン、チタン、ニッケル、クロム、モリブデン、バナジウムおよび上記
    の組合せ物からなる群から選択される請求項23記載のプロセス。
  36. 【請求項36】 接触工程は、化学蒸着を含む請求項23記載のプロセス。
  37. 【請求項37】 接触工程は、ポリシリコンの化学蒸着を含む請求項23記
    載のプロセス。
  38. 【請求項38】 接触工程は、ドープポリシリコン物質を基板上に形成する
    ことを含む請求項23記載のプロセス。
  39. 【請求項39】 物理収着媒は、炭素質物質、シリカ、アルミナ、アルミノ
    シリケート、珪藻土および高分子収着物質からなる群から選択される収着物質を
    含む請求項23記載のプロセス。
  40. 【請求項40】 接触工程は、下記からなる群から選択される前駆物質によ
    って行われる化学蒸着を含む請求項23記載のプロセス。 シラン、 ジシラン、 クロロシラン、 六フッ化タングステン、 トリクロロチタン、 テトラキスジメチルアミドチタン、 テトラキスジエチルアミドチタン、 アンモニア、 テトラエチルオルソシリケート、 アルシン、 ホスフィン、 ボラン、 ジボラン、 三フッ化ホウ素、 三塩化ホウ素、 ホウ酸トリメチル、 トリメチルボライト、 ホウ酸トリエチル、 トリエチルボライト、 三塩化リン、 リン酸トリメチル、 亜リン酸トリメチル、 リン酸トリエチル、および 亜リン酸トリエチル、
  41. 【請求項41】 電子機器構造体を含む電子製品を製造し、その際電子機器構
    造体は物質が基板上または内部に流体源から析出して製造されるプロセスであっ
    て、 該流体を容器に提供して、そこで流体を物理収着媒により収着して保持し、 該流体を製造プロセスに必要なだけ物理収着媒から脱着して、それを物理収着
    媒を含む容器から放出し、 放出された流体を基板と接触させて基板上または内部に該物質を析出させる 工程を含む電子機器構造体を含む電子製品を製造するプロセス。
  42. 【請求項42】 製品は、コンピュータ、パーソナルデジタルアシスタント
    、電話、フラットパネルディスプレイ、モニタ、音響システム、電子ゲーム、バ
    ーチャルリアリティ装置および高性能消費者機器からなる群から選択される請求
    項41記載のプロセス。
  43. 【請求項43】 高性能消費者機器は、調理器具、冷蔵庫、冷凍庫、食器洗
    浄器、洗濯機、衣類乾燥機、加湿器、除湿器、空調装置、衛星航法機器、照明機
    器および前記機器用のリモートコントローラーからなる群から選択される請求項
    42記載のプロセス。
  44. 【請求項44】 電子製品は、遠距離通信機器を含む請求項41記載のプロ
    セス。
  45. 【請求項45】 下記(a)〜(m)からなる群から選択される電子機器構
    造体を含む、請求項41記載のプロセスで作製された電子製品。 (a)トランジスター (b)コンデンサー (c)抵抗器 (d)メモリーセル (e)誘電物質 (f)埋め込みドープ基板領域 (g)金属化層 (h)チャンネルストップ層 (i)素材層 (j)ゲート層 (k)ドレーン層 (l)酸化物層 (m)電界エミッター素子
  46. 【請求項46】 請求項23記載のプロセスで作製された電子機器構造体。
JP2000549358A 1998-05-21 1999-05-21 高純度ガスを放出するための収着型ガス貯蔵および放出システム Expired - Lifetime JP4705240B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/082,596 US6132492A (en) 1994-10-13 1998-05-21 Sorbent-based gas storage and delivery system for dispensing of high-purity gas, and apparatus and process for manufacturing semiconductor devices, products and precursor structures utilizing same
US09/082,596 1998-05-21
PCT/US1999/011420 WO1999059701A1 (en) 1998-05-21 1999-05-21 Sorbent-based gas storage and delivery system for dispensing high-purity gas

Publications (2)

Publication Number Publication Date
JP2002515570A true JP2002515570A (ja) 2002-05-28
JP4705240B2 JP4705240B2 (ja) 2011-06-22

Family

ID=22172165

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000549358A Expired - Lifetime JP4705240B2 (ja) 1998-05-21 1999-05-21 高純度ガスを放出するための収着型ガス貯蔵および放出システム

Country Status (9)

Country Link
US (1) US6132492A (ja)
EP (1) EP1093395B1 (ja)
JP (1) JP4705240B2 (ja)
KR (1) KR100641087B1 (ja)
AU (1) AU4199699A (ja)
IL (1) IL139782A (ja)
MY (1) MY117506A (ja)
TW (1) TWI228260B (ja)
WO (1) WO1999059701A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006520443A (ja) * 2002-10-29 2006-09-07 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ゲルマンの分解を抑制する装置及び方法
JP2018523061A (ja) * 2015-05-12 2018-08-16 インテグリス・インコーポレーテッド バルブ組立体、および、そのバブル組立体を備える流体貯蔵・分配パッケージ

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287436B1 (en) 1998-02-27 2001-09-11 Innovent, Inc. Brazed honeycomb collimator
US6406519B1 (en) * 1998-03-27 2002-06-18 Advanced Technology Materials, Inc. Gas cabinet assembly comprising sorbent-based gas storage and delivery system
US6660063B2 (en) 1998-03-27 2003-12-09 Advanced Technology Materials, Inc Sorbent-based gas storage and delivery system
US6277342B1 (en) * 1999-08-23 2001-08-21 Air Products And Chemicals, Inc. Storage and safe delivery of hazardous specialty gases by acid/base reactions with ionic polymers
US6391385B1 (en) 1999-10-18 2002-05-21 Advanced Technology Materials, Inc. Method of abating of effluents from chemical vapor deposition processes using organometallic source reagents
RU2171765C1 (ru) * 2000-02-29 2001-08-10 Центр КОРТЭС Капсула для хранения газа и способ ее заправки
US7060394B2 (en) * 2001-03-30 2006-06-13 Hoya Corporation Halftone phase-shift mask blank and halftone phase-shift mask
US6879876B2 (en) 2001-06-13 2005-04-12 Advanced Technology Materials, Inc. Liquid handling system with electronic information storage
US6932945B2 (en) * 2001-06-19 2005-08-23 Air Products And Chemicals, Inc. Adsorbent based gas delivery system with integrated purifier
GB0128913D0 (en) 2001-12-03 2002-01-23 Applied Materials Inc Improvements in ion sources for ion implantation apparatus
KR100460140B1 (ko) * 2001-12-12 2004-12-03 삼성전자주식회사 인젝션 밸브의 막힘을 검사할 수 있도록 한 반도체 제조용반응가스 공급장치 및 그 막힘 검사방법
US6857447B2 (en) 2002-06-10 2005-02-22 Advanced Technology Materials, Inc. Pressure-based gas delivery system and method for reducing risks associated with storage and delivery of high pressure gases
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
JP4585852B2 (ja) * 2002-07-30 2010-11-24 エーエスエム アメリカ インコーポレイテッド 基板処理システム、基板処理方法及び昇華装置
US7105037B2 (en) * 2002-10-31 2006-09-12 Advanced Technology Materials, Inc. Semiconductor manufacturing facility utilizing exhaust recirculation
US6897102B2 (en) * 2002-12-06 2005-05-24 Lsi Logic Corporation Process to minimize polysilicon gate depletion and dopant penetration and to increase conductivity
US6991671B2 (en) 2002-12-09 2006-01-31 Advanced Technology Materials, Inc. Rectangular parallelepiped fluid storage and dispensing vessel
US7494530B2 (en) * 2002-12-10 2009-02-24 Advanced Technology Materials, Inc. Gas storage and dispensing system with monolithic carbon adsorbent
US6743278B1 (en) 2002-12-10 2004-06-01 Advanced Technology Materials, Inc. Gas storage and dispensing system with monolithic carbon adsorbent
US8002880B2 (en) 2002-12-10 2011-08-23 Advanced Technology Materials, Inc. Gas storage and dispensing system with monolithic carbon adsorbent
DE10260149A1 (de) * 2002-12-20 2004-07-01 BSH Bosch und Siemens Hausgeräte GmbH Vorrichtung zur Bestimmung des Leitwertes von Wäsche, Wäschetrockner und Verfahren zur Verhinderung von Schichtbildung auf Elektroden
US20040206239A1 (en) * 2003-02-20 2004-10-21 Laubacher Daniel B. Method for reducing gaseous contamination in a pressure vessel
TWI287940B (en) * 2003-04-01 2007-10-01 Cabot Microelectronics Corp Electron source and method for making same
US7447298B2 (en) * 2003-04-01 2008-11-04 Cabot Microelectronics Corporation Decontamination and sterilization system using large area x-ray source
US20050053535A1 (en) * 2003-09-08 2005-03-10 Seh America, Inc. Gettering filter and associated method for removing oxygen from a gas
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US7780747B2 (en) * 2003-10-14 2010-08-24 Advanced Technology Materials, Inc. Apparatus and method for hydrogen generation from gaseous hydride
US7396381B2 (en) * 2004-07-08 2008-07-08 Air Products And Chemicals, Inc. Storage and delivery systems for gases held in liquid medium
US7648682B2 (en) * 2004-07-08 2010-01-19 Air Products And Chemicals, Inc. Wick systems for complexed gas technology
US7955797B2 (en) 2004-10-25 2011-06-07 Advanced Technology Materials, Inc. Fluid storage and dispensing system including dynamic fluid monitoring of fluid storage and dispensing vessel
KR20080009739A (ko) * 2005-05-03 2008-01-29 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 유체 저장 및 분배 시스템, 및 이를 포함하는 유체 공급방법
KR100675063B1 (ko) * 2005-06-27 2007-01-29 울산화학주식회사 저온액화가스의 충전방법
WO2007024987A2 (en) * 2005-08-22 2007-03-01 Advanced Technology Materials, Inc. Material containment system
KR101297917B1 (ko) 2005-08-30 2013-08-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 대안적인 불화 붕소 전구체를 이용한 붕소 이온 주입 방법,및 주입을 위한 대형 수소화붕소의 형성 방법
US20070157804A1 (en) * 2006-01-06 2007-07-12 Mcmanus James V Method and apparatus for decommissioning and recycling retired adsorbent-based fluid storage and dispensing vessels
WO2007136887A2 (en) * 2006-01-30 2007-11-29 Advanced Technology Materials, Inc. Nanoporous carbon materials, and systems and methods utilizing same
DE102006020847A1 (de) * 2006-05-04 2007-11-08 Robert Bosch Gmbh Verfahren und Vorrichtung zur Reinigung eines Gasspeichers
KR101722362B1 (ko) 2006-07-10 2017-04-11 엔테그리스, 아이엔씨. 정보 저장 엘리먼트를 갖는 물질 저장 용기를 관리하기 위한 시스템 및 방법
EP2094584A1 (en) * 2006-11-02 2009-09-02 Kbig Limited Product dispensing systems
US7833353B2 (en) * 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
CN101688705B (zh) * 2007-06-22 2012-09-05 高级技术材料公司 用于太阳能式吸附制冷系统的部件及其制造方法
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
US8012876B2 (en) 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US9117773B2 (en) * 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US8858819B2 (en) 2010-02-15 2014-10-14 Air Products And Chemicals, Inc. Method for chemical mechanical planarization of a tungsten-containing substrate
US8404024B2 (en) * 2010-04-15 2013-03-26 Air Products And Chemicals, Inc. Recovery of NF3 from adsorption operation
US20110302933A1 (en) * 2010-06-15 2011-12-15 Gm Global Technology Operations, Inc. Storage and supply system of liquefied and condensed hydrogen
JP2012082462A (ja) * 2010-10-08 2012-04-26 Toshiba Corp イオン注入装置および方法
US8679231B2 (en) 2011-01-19 2014-03-25 Advanced Technology Materials, Inc. PVDF pyrolyzate adsorbent and gas storage and dispensing system utilizing same
US8795411B2 (en) 2011-02-07 2014-08-05 Air Products And Chemicals, Inc. Method for recovering high-value components from waste gas streams
DE102011012734B4 (de) * 2011-02-24 2013-11-21 Mainrad Martus Verfahren zur reversiblen Speicherung von Wasserstoff und anderer Gase sowie elektrischer Energie in Kohlenstoff-, Hetero- oder Metallatom-basierten Kondensatoren und Doppelschichtkondensatoren unter Standardbedingungen (300 K, 1atm)
US8361199B2 (en) * 2011-05-27 2013-01-29 Air Liquide Electronics U.S. Lp Purification of H2Se
US9126139B2 (en) 2012-05-29 2015-09-08 Entegris, Inc. Carbon adsorbent for hydrogen sulfide removal from gases containing same, and regeneration of adsorbent
US9552990B2 (en) 2012-12-21 2017-01-24 Praxair Technology, Inc. Storage and sub-atmospheric delivery of dopant compositions for carbon ion implantation
WO2014179585A1 (en) 2013-05-02 2014-11-06 Praxair Technology, Inc. Supply source and method for enriched selenium ion implantation
US20160265724A1 (en) * 2013-10-16 2016-09-15 Pangaea Energy Limited Polymer composite pressure vessels using absorbent technology
CN104882374B (zh) * 2014-02-27 2018-03-06 旺宏电子股份有限公司 刻蚀方法与刻蚀组成物
US9570271B2 (en) 2014-03-03 2017-02-14 Praxair Technology, Inc. Boron-containing dopant compositions, systems and methods of use thereof for improving ion beam current and performance during boron ion implantation
DE102015225289A1 (de) * 2015-12-15 2017-06-22 Evonik Degussa Gmbh Dotierte Zusammensetzungen, Verfahren zu ihrer Herstellung und ihre Verwendung
RU2616140C1 (ru) * 2015-12-24 2017-04-12 Федеральное государственное бюджетное учреждение науки Институт физической химии и электрохимии им. А.Н. Фрумкина Российской академии наук (ИФХЭ РАН) Способ хранения природного газа при помощи адсорбции в промышленных газовых баллонах
US11984312B2 (en) * 2016-03-30 2024-05-14 University of Pittsburgh—of the Commonwealth System of Higher Education System for portable gas storage and delivery
US10146132B2 (en) 2017-01-13 2018-12-04 Globalfoundries Inc. Mobile dispense device for chemicals used in micro-processing
US11143329B2 (en) * 2018-09-13 2021-10-12 Entegris, Inc. Valve system with position indicator
EP3976231A4 (en) 2019-05-24 2023-10-11 Entegris, Inc. METHOD AND SYSTEMS FOR ADSORPTION OF ORGANOMETALLIC VAPORS
JP2022533234A (ja) 2019-05-24 2022-07-21 インテグリス・インコーポレーテッド ガス混合物からアンモニアを除去するための方法およびシステム
CN111170288A (zh) * 2020-02-07 2020-05-19 吉林大学 一种单斜相二氮烯钡的高温高压制备方法
TWI817379B (zh) * 2021-03-22 2023-10-01 美商曼瑟森三汽油公司 用於閥門內部毒性氣體之吸氣劑匣
CN113960924B (zh) * 2021-08-28 2024-05-07 江苏昆仑互联科技有限公司 一种循环流化床法脱硫物料平衡边缘智控系统
TW202325398A (zh) * 2021-09-15 2023-07-01 美商恩特葛瑞斯股份有限公司 包含複合吸附劑之本體及其相關方法
KR102602190B1 (ko) * 2023-01-02 2023-11-15 (주)엠지케이 독성 및 유해 잔류가스 처리 시스템을 이용한 처리 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5544387A (en) * 1978-08-04 1980-03-28 Damon Corp Preparation of semipermeable microocapsule
JPH04501229A (ja) * 1988-07-13 1992-03-05 ダブリュ.エル.ゴア アンド アソシエイツ,インコーポレイティド 延伸ポリテトラフルオロエチレン製チューブ状容器
JPH09503428A (ja) * 1993-07-27 1997-04-08 ザ・ユニバーシティ・オブ・アリゾナ 不純物を含むガスを濾過および精製するための反応性膜およびそれを使用する方法
JPH09508061A (ja) * 1994-01-21 1997-08-19 ダブリュ.エル.ゴア アンド アソシエイツ,インコーポレイティド 拡散チューブを備えた自己接着性通気フィルター及び吸着剤のアセンブリー
WO1997044118A1 (en) * 1996-05-20 1997-11-27 Advanced Technology Materials, Inc. Fluid storage and delivery system comprising high work capacity physical sorbent

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US240423A (en) * 1881-04-19 Alexander james
US1608155A (en) * 1920-08-02 1926-11-23 American Solvent Recovery Corp Means for transporting and storing gases
US1714245A (en) * 1927-12-23 1929-05-21 American Signs Corp Gas-purifying trap and method of restoring same
US2356334A (en) * 1941-12-18 1944-08-22 Hooker Electrochemical Co Means for storing and concentrating anhydrous hydrogen chloride
US2450289A (en) * 1944-04-15 1948-09-28 Little Inc A Gas treating apparatus
US2663626A (en) * 1949-05-14 1953-12-22 Pritchard & Co J F Method of storing gases
NL112548C (ja) * 1953-09-25 1900-01-01
US3287432A (en) * 1957-04-11 1966-11-22 Texaco Inc Selective sorption process
US3093564A (en) * 1957-10-21 1963-06-11 Westinghouse Electric Corp Gas handling systems for radioactive gases
US3080307A (en) * 1957-10-21 1963-03-05 Westinghouse Electric Corp Radioactive fluid handling system
NL241272A (ja) * 1958-07-14
US2997371A (en) * 1958-12-01 1961-08-22 Standard Oil Co Recovering of bf3 from admixture with hydrocarbons
US3116132A (en) * 1960-01-22 1963-12-31 Olin Mathieson Process for the adsorption and desorption of diborane
US3006153A (en) * 1960-08-29 1961-10-31 Union Carbide Corp Method and apparatus for storing and transporting ozone
US3144200A (en) * 1962-10-17 1964-08-11 Clyde E Taylor Process and device for cryogenic adsorption pumping
US3264803A (en) * 1963-01-21 1966-08-09 Gen Electric Sorption vacuum pump
US3415069A (en) * 1966-10-31 1968-12-10 Nasa High pressure helium purifier
US3469375A (en) * 1967-10-16 1969-09-30 Nasa Sorption vacuum trap
US3675392A (en) * 1970-01-30 1972-07-11 Ite Imperial Corp Adsorption-desorption method for purifying sf{11
GB1385922A (en) * 1971-03-31 1975-03-05 Yatsurugi Y Kuratomi T Preparation and use of 4-5a zeolite
US3713273A (en) * 1971-05-03 1973-01-30 R Coffee Method and apparatus for storing gases and fueling internal combustion engines
US3719026A (en) * 1971-06-01 1973-03-06 Zeochem Corp Selective sorption of non-polar molecules
US3788036A (en) * 1972-07-26 1974-01-29 D Stahl Pressure equalization and purging system for heatless adsorption systems
US4023701A (en) * 1974-03-04 1977-05-17 Dockery Denzel J Breathing apparatus for underwater use
JPS5272373A (en) * 1975-12-15 1977-06-16 Chiyoda R & D Adsorption and separation apparatus
US4343770A (en) * 1977-12-19 1982-08-10 Billings Energy Corporation Self-regenerating system of removing oxygen and water impurities from hydrogen gas
US4263018A (en) * 1978-02-01 1981-04-21 Greene & Kellogg Pressure swing adsorption process and system for gas separation
US4322311A (en) * 1978-08-04 1982-03-30 Damon Corporation Process for producing controlled porosity microcapsules
NL8005645A (nl) * 1980-10-13 1982-05-03 Euratom Werkwijze voor het omkeerbaar opsluiten van gassen of dampen in een natuurlijk of synthetisch zeoliet.
DE3139781A1 (de) * 1981-10-07 1983-04-21 Nyby Uddeholm AB, 64480 Torshälla Verfahren und vorrichtung zur reinigung eines dampffoermige schadstoffe enthaltenden gases
JPS6071040A (ja) * 1983-09-27 1985-04-22 Takeda Chem Ind Ltd 有害ガス吸着剤
SU1181692A1 (ru) * 1983-11-14 1985-09-30 Московский ордена Ленина и ордена Трудового Красного Знамени химико-технологический институт им.Д.И.Менделеева Способ очистки газов от примесей фосфина и арсина
JPS61133116A (ja) * 1984-11-30 1986-06-20 Nippon Paionikusu Kk ガス精製装置
FR2580947B1 (fr) * 1985-04-25 1989-09-01 Air Liquide Procede et installation d'epuration par adsorption sur charbon actif, et pot adsorbeur correspondant
JPH06104177B2 (ja) * 1986-10-02 1994-12-21 大阪瓦斯株式会社 高純度ガス貯蔵用圧力容器
US4761395A (en) * 1987-03-24 1988-08-02 Advanced Technology Materials, Inc. Process and composition for purifying arsine, phosphine, ammonia, and inert gases to remove Lewis acid and oxidant impurities therefrom
US5151395A (en) * 1987-03-24 1992-09-29 Novapure Corporation Bulk gas sorption and apparatus, gas containment/treatment system comprising same, and sorbent composition therefor
US5015411A (en) * 1987-03-24 1991-05-14 Advanced Technology Materials, Inc. Process, composition, and apparatus for purifying inert gases to remove Lewis acid and oxidant impurities therefrom
US4749384A (en) * 1987-04-24 1988-06-07 Union Carbide Corporation Method and apparatus for quick filling gas cylinders
US4738693A (en) * 1987-04-27 1988-04-19 Advanced Technology Materials, Inc. Valve block and container for semiconductor source reagent dispensing and/or purification
US4723967A (en) * 1987-04-27 1988-02-09 Advanced Technology Materials, Inc. Valve block and container for semiconductor source reagent dispensing and/or purification
US4744221A (en) * 1987-06-29 1988-05-17 Olin Corporation Zeolite based arsine storage and delivery system
DE3729517A1 (de) * 1987-09-03 1989-03-16 Siemens Ag Adsorptionseinrichtung zur gastrennung
SU1544475A1 (ru) * 1987-12-07 1990-02-23 Предприятие П/Я Г-4567 Способ получени сорбента дл очистки газов
SU1583151A1 (ru) * 1988-05-23 1990-08-07 Государственный научно-исследовательский и проектный институт редкометаллической промышленности "Гиредмет" Способ очистки газов от арсина
DE3843313A1 (de) * 1988-12-22 1990-06-28 Wacker Chemitronic Verfahren zur entfernung von gasfoermigen kontaminierenden, insbesondere dotierstoffverbindungen aus halogensilanverbindungen enthaltenden traegergasen
FR2652346B1 (fr) * 1989-09-22 1991-11-29 Air Liquide Procede de preparation de disilane.
JPH03127606A (ja) * 1989-10-09 1991-05-30 Hitachi Ltd 充填塔構造
US5202096A (en) * 1990-01-19 1993-04-13 The Boc Group, Inc. Apparatus for low temperature purification of gases
FR2659030B1 (fr) * 1990-03-02 1993-01-08 Air Liquide Enceinte et installation d'absorption pour separation des melanges gazeux.
US5637544A (en) * 1991-06-06 1997-06-10 Arizona Board Of Regents On Behalf Of The University Of Arizona Reactive membrane for filtration and purification of gases of impurities and method utilizing the same
US5238469A (en) * 1992-04-02 1993-08-24 Saes Pure Gas, Inc. Method and apparatus for removing residual hydrogen from a purified gas
GB9220975D0 (en) * 1992-10-06 1992-11-18 Air Prod & Chem Apparatus for supplying high purity gas
US5346518A (en) * 1993-03-23 1994-09-13 International Business Machines Corporation Vapor drain system
US5385689A (en) * 1993-06-29 1995-01-31 Novapure Corporation Process and composition for purifying semiconductor process gases to remove Lewis acid and oxidant impurities therefrom
US5417742A (en) * 1993-12-03 1995-05-23 The Boc Group, Inc. Removal of perfluorocarbons from gas streams
AU1334295A (en) * 1993-12-22 1995-07-10 Acma Limited Method and apparatus for release of sorbed gas
FR2714595B1 (fr) * 1993-12-30 1996-02-02 Oreal Emulsion eau dans huile contenant du rétinol, son utilisation et son conditionnement.
US5707424A (en) * 1994-10-13 1998-01-13 Advanced Technology Materials, Inc. Process system with integrated gas storage and delivery unit
US5704967A (en) * 1995-10-13 1998-01-06 Advanced Technology Materials, Inc. Fluid storage and delivery system comprising high work capacity physical sorbent
US5518528A (en) * 1994-10-13 1996-05-21 Advanced Technology Materials, Inc. Storage and delivery system for gaseous hydride, halide, and organometallic group V compounds
US5761910A (en) * 1996-05-20 1998-06-09 Advanced Technology Materials, Inc. High capacity gas storage and dispensing system
US6146608A (en) * 1997-11-24 2000-11-14 Advanced Technology Materials, Inc. Stable hydride source compositions for manufacture of semiconductor devices and structures
US6101816A (en) * 1998-04-28 2000-08-15 Advanced Technology Materials, Inc. Fluid storage and dispensing system

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5544387A (en) * 1978-08-04 1980-03-28 Damon Corp Preparation of semipermeable microocapsule
JPH04501229A (ja) * 1988-07-13 1992-03-05 ダブリュ.エル.ゴア アンド アソシエイツ,インコーポレイティド 延伸ポリテトラフルオロエチレン製チューブ状容器
JPH09503428A (ja) * 1993-07-27 1997-04-08 ザ・ユニバーシティ・オブ・アリゾナ 不純物を含むガスを濾過および精製するための反応性膜およびそれを使用する方法
JPH09508061A (ja) * 1994-01-21 1997-08-19 ダブリュ.エル.ゴア アンド アソシエイツ,インコーポレイティド 拡散チューブを備えた自己接着性通気フィルター及び吸着剤のアセンブリー
WO1997044118A1 (en) * 1996-05-20 1997-11-27 Advanced Technology Materials, Inc. Fluid storage and delivery system comprising high work capacity physical sorbent

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006520443A (ja) * 2002-10-29 2006-09-07 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ゲルマンの分解を抑制する装置及び方法
KR101097577B1 (ko) 2002-10-29 2015-11-24 인티그리스, 인코포레이티드 게르마늄수소화물의 분해를 억제하기 위한 장치 및 방법
JP2018523061A (ja) * 2015-05-12 2018-08-16 インテグリス・インコーポレーテッド バルブ組立体、および、そのバブル組立体を備える流体貯蔵・分配パッケージ

Also Published As

Publication number Publication date
US6132492A (en) 2000-10-17
KR100641087B1 (ko) 2006-10-31
AU4199699A (en) 1999-12-06
EP1093395A4 (en) 2006-11-15
KR20010043742A (ko) 2001-05-25
WO1999059701A1 (en) 1999-11-25
JP4705240B2 (ja) 2011-06-22
IL139782A (en) 2004-03-28
EP1093395B1 (en) 2014-06-11
MY117506A (en) 2004-07-31
EP1093395A1 (en) 2001-04-25
TWI228260B (en) 2005-02-21
IL139782A0 (en) 2002-02-10

Similar Documents

Publication Publication Date Title
JP4705240B2 (ja) 高純度ガスを放出するための収着型ガス貯蔵および放出システム
US6204180B1 (en) Apparatus and process for manufacturing semiconductor devices, products and precursor structures utilizing sorbent-based fluid storage and dispensing system for reagent delivery
US6500487B1 (en) Abatement of effluent from chemical vapor deposition processes using ligand exchange resistant metal-organic precursor solutions
KR100858077B1 (ko) 가스 공급 장치, 이온 주입 장치, 가스 시약 공급 방법, 흡탈착 방법 및 반도체 제조 설비
KR102179776B1 (ko) 전자 가스 저장을 위한 금속 유기 프레임워크
KR100199885B1 (ko) 기상 화합물용 저장 및 분배 시스템
US6749671B2 (en) Abatement of effluents from chemical vapor deposition processes using organometallic source reagents
JP2011099562A (ja) 吸着剤前処理を利用した吸着型気体貯蔵及び計量分配システムの製造方法
JP2001510546A (ja) 流体貯蔵および供給システム
US6027547A (en) Fluid storage and dispensing vessel with modified high surface area solid as fluid storage medium
WO2021090724A1 (ja) 基板を処理する装置、原料カートリッジ、基板を処理する方法、及び原料カートリッジを製造する方法
WO2021090723A1 (ja) 基板を処理する装置、処理ガスを濃縮する装置、及び基板を処理する方法
US20220128196A1 (en) Adsorbent-type storage and delivery vessels with high purity delivery of gas, and related methods
KR100587054B1 (ko) 반도체 소자의 비아 매립 방법
JPH0117736B2 (ja)

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060511

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090701

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090930

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091007

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091030

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091109

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091112

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091119

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100527

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100827

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100903

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100927

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110210

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110311

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term