KR102159209B1 - 3층 제거를 위한 화학적 조성물 - Google Patents

3층 제거를 위한 화학적 조성물 Download PDF

Info

Publication number
KR102159209B1
KR102159209B1 KR1020200062915A KR20200062915A KR102159209B1 KR 102159209 B1 KR102159209 B1 KR 102159209B1 KR 1020200062915 A KR1020200062915 A KR 1020200062915A KR 20200062915 A KR20200062915 A KR 20200062915A KR 102159209 B1 KR102159209 B1 KR 102159209B1
Authority
KR
South Korea
Prior art keywords
layer
intermediate layer
forming
upper layer
chemical solution
Prior art date
Application number
KR1020200062915A
Other languages
English (en)
Other versions
KR20200063117A (ko
Inventor
리-민 첸
지안-조우 리안
치아-웨이 우
넹-제 양
쿠오-빈 황
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20200063117A publication Critical patent/KR20200063117A/ko
Application granted granted Critical
Publication of KR102159209B1 publication Critical patent/KR102159209B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

방법은 3층을 형성하는 단계를 포함한다. 3층은 하부층; 하부층 위의 중간층; 중간층 위의 상부층을 포함한다. 상부층은 포토 레지스트를 포함한다. 방법은 상부층을 제거하는 단계; 및 화학 용액을 사용하여 중간층을 제거하는 단계를 포함한다. 화학 용액은 칼륨 수산화물(KOH)을 포함하지 않고, 4차 암모늄 수산화물 및 4차 암모늄 플루오르화물 중 적어도 하나를 포함한다.

Description

3층 제거를 위한 화학적 조성물{CHEMICAL COMPOSITION FOR TRI-LAYER REMOVAL}
우선권 주장 및 상호 참조
본 출원은 다음의 가출원된 미국 특허 출원: 2017년 8월 30일자로 출원되고 "3층 제거를 위한 화학적 조성물"이라는 명칭의 출원 제62/551,985호의 이익을 주장하며, 이 출원은 본원에 참조에 의해 통합된다.
집적 회로의 형성에서, 집적 회로 디바이스의 컴포넌트는 바람직한 형상을 형성하도록 패터닝될 필요가 있다. 전형적인 패터닝 공정은, 패터닝될 타겟층 위에 포토 레지스트를 코팅하는 단계, 리소그래피 마스크를 사용하여 포토 레지스트를 노광하는 단계, 포토 레지스트를 현상하는 단계, 및 현상된 포토 레지스트를 에칭 마스크로서 사용하여 타겟층을 에칭하는 단계를 포함하는, 포토 리소그래피 공정을 포함할 수 있다. 결과적으로, 현상된 포토 레지스트의 레이아웃은 아래 놓인 층으로 전이된다. 그 다음, 포토 레지스트가 제거된다.
일부 상황에서, 포토 레지스트가 패터닝된 후에, 패터닝된 포토 레지스트가 결함을 갖고 있음이 발견될 수 있다. 따라서, 패터닝된 포토 레지스트가 제거되고, 새로운 포토 레지스트가 도포되고 다시 패터닝된다.
본 발명개시의 양상은 첨부 도면과 함께 판독될 때 다음의 상세한 설명으로부터 가장 잘 이해된다. 산업상 표준 시행에 따라 다양한 피처들이 일정한 비율로 그려지지 않았음이 주목된다. 실제, 다양한 피처들의 치수는 설명의 명료함을 위해 임의로 확대 또는 축소될 수 있다.
도 1 내지 도 11은 일부 실시예에 따른 금속 라인 및 비아의 형성에서의 중간 단계의 단면도를 예시한다.
도 12는 일부 실시예에 따른 실록산의 다이아그램을 예시한다.
도 13은 일부 실시예에 따른 해리된 테트라메틸 암모늄 수산화물(TMAH)을 예시한다.
도 14는 일부 실시예에 따른 해리된 콜린 수산화물을 예시한다.
도 15는 일부 실시예에 따른 TMAH의 예시적인 실록산 가수분해를 예시한다.
도 16은 일부 실시예에 따른 화학 용액의 온도의 함수로서 중간층의 에칭 속도를 예시한다.
도 17은 일부 실시예에 따른 해리된 테트라메틸 암모늄 플루오르화물(TMAF)을 예시한다.
도 18은 일부 실시예에 따른 해리된 테트라부틸 암모늄 테트라플루오로 보레이트를 예시한다.
도 19는 일부 실시예에 따라 TMAF가 실록산과 반응하는 예시적인 공정을 예시한다.
도 20은 일부 실시예에 따른 예시적인 중간층의 일부의 다이어그램을 예시한다.
도 21은 일부 실시예에 따른 공정 흐름을 예시한다.
아래의 발명개시는 본 발명의 여러 특징들을 구현하는 많은 여러 실시예들 또는 예시들을 제공한다. 본 발명개시를 단순화하기 위해 컴포넌트 및 장치의 특정예들이 아래에서 설명된다. 물론, 이것들은 단지 예시들에 불과하며, 한정적인 것으로 의도된 것은 아니다. 예를 들어, 이후의 상세설명에서 제 2 피처상의 또는 그 위의 제 1 피처의 형성은 제 1 및 제 2 피처들이 직접적으로 접촉하여 형성되는 실시예를 포함할 수 있으며, 또한 제 1 및 제 2 피처들이 직접적으로 접촉하지 않을 수 있도록 추가적인 피처들이 제 1 및 제 2 피처들 사이에서 형성될 수 있는 실시예를 포함할 수 있다. 또한, 본 발명개시는 다양한 예시들에서 참조 부호들 및/또는 문자들을 반복할 수 있다. 이러한 반복은 간략화 및 명료화를 위한 것이고, 그 자체가 개시된 다양한 실시예들 및/또는 구성들 사이의 관계를 설명하는 것은 아니다.
또한, 도면들에 예시된 바와 같은 하나의 엘리먼트 또는 피처에 대한 다른 엘리먼트(들) 또는 피처(들)의 관계를 설명하기 위해서 "아래 놓인", "밑", "하부", "위에 놓인", "상부" 등과 같은 공간 상대적 용어들이 설명의 용이성을 위해 여기서 이용될 수 있다. 공간 상대적인 용어는 도면에 도시된 배향에 더하여 이용 또는 동작에서의 디바이스의 상이한 배향을 포함하도록 의도된다. 장치는 이와 다르게 배향될 수 있고(90° 회전되거나 또는 다른 배향에 있음), 여기서 이용되는 공간 상대적 기술어들은 그에 따라 해석될 수 있다.
포토 리소그래피 공정에 사용되는 3층에 재작업(rework)을 수행하는 방법, 및 재작업에 사용되는 화학 용액이 다양한 예시적인 실시예에 따라 제공된다. 재작업의 중간 단계는 일부 실시예에 따라 예시된다. 일부 실시예의 몇몇 변형이 논의된다. 본 발명개시의 다양한 견해 및 예시적인 실시예에 걸쳐, 동일한 참조 번호가 동일한 요소를 지정하는데 사용된다.
도 1 내지 도 11은 본 발명개시의 일부 실시예에 따른 금속 라인 및 비아의 형성에서의 중간 단계의 단면도를 예시한다. 도 1 내지 도 11에 도시된 단계들은 또한 도 21에 도시된 바와 같은 공정 흐름(200)에 개략적으로 반영된다. 도 1 내지도 11에 도시된 공정은 3층의 포토 리소그래피 재작업을 수행하기 위한 예시적인 실시예이고, 실시예는 반도체 기판, 금속층 등을 포함하고, 이에 한정되지는 않는 다른 피처를 에칭하기 위한 포토 리소그래피 공정 상에 적용될 수 있다. 예를 들어, 본 발명개시의 실시예에 따른 포토 리소그래피 공정은, 핀 전계 효과 트랜지스스터(Fin Field-Effect Transistor, FinFET)가 형성되는, 반도체 핀의 형성에 사용될 수 있다.
도 1은 기판(10) 및 기판(10) 위에 형성된 복수의 층을 포함하는 웨이퍼(100)의 일부를 예시한다. 기판(10)은 실리콘, 실리콘 게르마늄 등과 같은 반도체 재료로 형성될 수 있다. 본 발명개시의 일부 실시예에 따르면, 기판(10)은 결정질 실리콘 기판, 결정질 실리콘 탄소 기판, 결정질 실리콘 게르마늄 기판, III-V 화합물 반도체 기판 등과 같은 결정질 반도체 기판이다. 내부에 트랜지스터를 포함할 수 있는 능동 소자(12)가 기판(10)의 상부 표면에 형성된다.
유전체층(14)은 기판(10) 위에 형성된다. 본 발명개시의 일부 실시예에 따르면, 유전체층(14)은 유전체 재료로 형성될 수 있는 금속간 유전체(Inter-Metal Dielectric, IMD) 또는 층간 유전체(Inter-Layer Dielectric, ILD)이다. 유전체층(14)의 유전 상수(k 값)는 예를 들어, 3.8 미만, 약 3.0 미만, 또는 약 2.5 미만일 수 있다. 본 발명개시의 일부 실시예에 따라, 구리 라인 또는 텅스텐 플러그와 같은 금속성 피처일 수 있는 도전성 피처(16)가 유전체층(14) 위에 형성된다. 에칭 정지층(26)은 유전체 층(14) 위에 형성된다. 에칭 정지층(26)은 실리콘 탄화물, 실리콘 질화물 등과 같은 유전체 재료로 형성될 수 있다.
유전체층(28)은 에칭 정지층(26) 위에 추가로 형성된다. 유전체층(28)은 예를 들어, 3.8 미만, 약 3.0 미만, 또는 약 2.5 미만의 유전 상수(k 값)를 갖는 유전체 재료로 형성된 IMD층일 수 있다. 본 발명개시의 대안적인 실시예에 따르면, 유전체층(28)은 3.8보다 높은 k 값을 갖는 논-로우-k(non-low-k) 유전체층이다.
본 발명의 대안적인 실시예에 따르면, 층(28)은 반도체 기판이며, 후속 공정 단계는 예를 들어 쉘로우 트렌치 격리(Shallow Trench Isolation, STI) 영역을 형성하기 위해 사용될 수 있다. 이들 실시예에 따르면, 층(28) 아래에 놓인 층이 존재하지 않을 수 있다. 설명 전체에 걸쳐, 층(28)은 본 발명개시의 실시예에 따라 복수의 패턴이 형성될, 에칭될 타겟층으로도 지칭된다.
로우-k 유전체층(28) 위에는 하나 또는 복수의 하드 마스크가 존재한다. 공정에 따라, 상이한 수의 하드 마스크가 채용될 수 있음이 인지된다. 일부 예시적인 실시예에 따르면, 하드 마스크은 층(30, 32 및 34)을 포함한다. 유전체 하드 마스크(30)는 실리콘 산화물(예를 들어, 테트라에틸오르쏘실리케이트(TEOS) 산화물), 질화물-무함유 반사방지 코팅(Nitrogen-Free Anti-Reflective Coating, NFARC, 산화물임), 실리콘 탄화물, 실리콘 산질화물 등으로 형성될 수 있다. 형성 방법은 플라즈마 강화 화학적 기상 증착(Plasma Enhance Chemical Vapor Deposition, PECVD), 고밀도 플라즈마(High-Density Plasma, HDP) 증착 등을 포함한다.
금속 하드 마스크(32)는 유전체 하드 마스크(30) 위에 형성된다. 본 발명개시의 일부 실시예에 따르면, 금속 하드 마스크(32)는 티타늄 질화물, 티타늄, 탄탈륨 질화물, 탄탈륨 등으로 형성된다. 형성 방법은 물리적 기상 증착(Physical Vapor Deposition, PVD), 무선 주파수 PVD(Radio Frequency PVD, RFPVD), 원자층 증착(Atomic Layer Deposition, ALD) 등을 포함 할 수 있다.
본 발명개시의 일부 실시예에 따르면, 유전체 하드 마스크층(34)은 금속 하드 마스크(32) 위에 형성된다. 대안적인 실시예에 따르면, 유전체 하드 마스크층(34)은 형성되지 않는다. 유전체 하드 마스크층(34)은 유전체 하드 마스크층(30)을 형성하기 위한 동일한 후보 재료로부터 선택된 재료로 형성될 수 있고, 유전체 하드 마스크층(30)을 형성하는 동일한 그룹의 후보 방법들로부터 선택되는 방법을 사용하여 형성될 수 있다. 유전체 하드 마스크(30 및 34)는 동일한 재료로 형성될 수 있거나, 또는 상이한 재료로 형성될 수 있다.
맨드렐층(36)은 유전체 하드 마스크(34) 위에 형성된다. 본 발명개시의 일부 실시예에 따르면, 맨드렐층(36)은 아래놓인 유전체 하드 마스크(32)에 비해 높은 에칭 선택도를 갖는 비정질 실리콘 또는 다른 재료로 형성된다.
맨드렐층(36) 위에, 하부층(38)(언더층으로서 때때로 지칭됨), 하부층(38) 위의 중간층(40), 및 중간층(40) 위의 상부층(42)을 포함하는, 3층이 형성된다. 각각의 단계는 도 21에 도시된 공정 흐름(200)에서 단계(202)로서 예시된다. 본 발명개시의 일부 실시예에 따르면, 하부층(38)은 탄소, 수소 및 산소를 포함하고, 포토 레지스트와 유사한 재료로 형성된다. 또한, 모든 하부층(38)은 교차-결합되고(cross-linked), 따라서 노광에 사용된 전형적인 포토 레지스트와는 상이하다. 대안적으로, 하부층(38)은 노광 및 현상을 통해 제거된 일부 부분을 가질 수 없다. 하부층(38)은 상부층(42)이 노광될 때 하부 반사방지 코팅(Bottom Anti-Reflective Coating, BARC)으로서 기능한다.
중간층(40)은 실리콘 및 산소를 포함하는 재료로 형성될 수 있고, 따라서 실리콘 산화물과 유사한 성질 중 일부를 갖는다. 예를 들어, 중간층(40)은 약 30 중량% 내지 약 60 중량%의 실리콘 산화물을 포함할 수 있다. 한편, 중간층(40)은 폴리머로서 실리콘 및 산소에 결합된 유기기를 더 포함한다. 본 발명개시의 일부 실시예에 따르면, 중간층(40)은 Si-O-Si 결합 사슬을 포함하는 실록산을 포함한다. 중간층(40)은 또한 유리와 같은 표면을 가지며, 시간에 따라 고밀도화(densify)될 수 있다. 예를 들어, 도 12는 제 1 실리콘 원자, 산소 원자 및 제 2 실리콘 원자가 결합되어 실록산의 일부를 형성하는 중간층(40)의 예시적인 조성물의 일부를 예시한다. 2개의 실리콘 원자가 예로서 예시되었지만, 도 20에 예시된 바와 같이, 더 많은 실리콘 원자가 더 큰 구조체를 형성하기 위해 산소 원자를 통해 결합될 수 있다. 도 12에 도시된 바와 같이, 산소 원자는 예를 들어 에틸기, 메틸기 등의 작용기에 의해 종결될 수 있다. 본 발명개시의 일부 실시예에 따르면, 중간층(40)은 약 200Å 내지 약 350Å 사이의 범위 내의 두께를 가진다.
도 1을 다시 참조하면, 상부층(42)은 유기 재료을 포함할 수 있는 포토 레지스트로 형성된다. 상부층(42)은 도 1에 도시된 바와 같이 파선 부분 및 실선 부분을 포함하는 블랭킷층으로서 도포된다. 다음으로, 포토 리소그래피 공정이 수행되고, 포토 리소그래피 마스크(44)가 상부층(42) 상에 노광을 수행하는데 사용된다. 각각의 단계는 도 21에 도시된 공정 흐름(200)에서 단계(204)로서 예시된다. 포토 리소그래피 마스크(44)는 불투명한 부분 및 투명한 부분을 포함하고, 따라서 상부층(42)의 일부 부분(예를 들어, 파선 부분)은 노출되고 다른 부분(예를 들어, 실선 부분)은 노출되지 않는다. 노광 후에, 현상 단계에서 일부 부분(예를 들어, 노출된 부분)은 제거되고, 현상 단계 후에 다른 부분(예를 들어, 노출되지 않은 부분)은 남아 있는다. 각각의 현상 단계는 또한 도 21에 도시된 공정 흐름(200)에서 단계(204)로서 예시된다. 투과형인 예시된 리소그래피 마스크(44)는 패턴이 어떻게 형성되는지를 보여주기 위한 단지 예시이며, 반사형 마스크와 같은 다른 유형의 리소그래피 마스크가 또한 사용될 수 있음이 인지된다.
현상 후에, 패터닝된 상부층(42)은 사양에 대조하여 패턴을 체크하기 위해 검사된다. 예를 들어, 폭(임계 치수), 진직도 및 폭 균일성이 검사될 수 있다. 검사를 통해, 일부 웨이퍼 상의 패터닝된 상부층(42)은 결함을 갖는 것으로 결정될 수 있고, 재작업이 필요하다. 따라서, 도 2 내지 도 4에 도시된 단계들은 상부층 상에서 재작업하기 위해 수행된다. 일부 다른 웨이퍼 상의 패터닝된 상부층(42)은 사양을 충족시키는 것으로 결정될 수 있다. 따라서, 도 2 내지 도 4에 도시된 바와 같은 재작업 단계는 스킵되고, 이 웨이퍼에 대해 도 5에 도시된 단계로부터 시작하도록 공정이 계속된다.
재작업될 필요가 있는 상부층(42)이 먼저 제거된다. 결과의 구조체는 도 2에 도시된다. 각각의 단계는 도 21에 도시된 공정 흐름(200)에서 단계(206)로서 예시된다. 본 발명개시의 일부 실시예에 따르면, 상부층(42)의 제거는 용매를 사용하는 습식 에칭 공정을 포함한다. 예를 들어, 70% 프로필렌 글리콜 모노메틸에테르와 30% 프로필렌 글리콜 모노메틸에테르 아세테이트의 혼합물(OK73으로서 알려진 조합 물, 포토 레지스트 시너(thinner)임)의 혼합물이 사용될 수 있다. 본 발명개시의 다른 실시예에 따르면, 상부층(42)은 애싱 공정에서 제거되고, 여기서 산소(O2)가 상부층(42)을 제거하는데 사용된다.
그 후, 습식 에칭 공정에서 중간층(40)이 제거되고, 에칭 및 각각의 화학 용액은 도 2에 도시 된 바와 같이 화살표(41)를 사용하여 표시된다. 결과의 구조체는 도 3에 도시된다. 각각의 단계는 도 21에 도시된 공정 흐름(200)에서 단계(208)로서 예시된다. 중간층(40)의 제거는 하부층(38) 상에서 정지되어 하부층(38)의 상부 표면이 노출된다. 중간층(40)의 제거에서(상부층(42)의 유무에 관계없이), 웨이퍼(100)는 중간층(40)이 완전히 제거될 때까지 화학 용액에 잠긴다.
중간층(40)을 제거하기 위한 화학 용액은 알칼리, 플루오르화물 또는 그 둘의 혼합물 중 하나를 포함한다. 알칼리는 본 발명개시의 일부 실시예에 따르면 4차 암모늄 수산화물일 수 있다. 플루오르화물은 본 발명개시의 일부 실시예에 따른 4차 암모늄 플루오르화물일 수 있다. 상기 화학 용액은 유기 용매 및 물을 더 포함한다. 4차 암모늄 수산화물과 4차 암모늄 플루오르화물 둘 모두는 중간층(40)에서 결합을 파괴하여 중간층(40)을 에칭하는 기능을 갖는다. 유기 용매는 중간층(40)으로부터 결합해제(de-bonding)된 분자를 안정화시키고, 결합해제된 분자가 재결합(re-bonding)하여 실록산을 재형성하는 것(이는 중간층(40)을 재형성(성막)하는 것을 의미한다)을 방지하는 기능을 갖는다.
본 발명개시의 일부 실시예에 따르면, 화학 용액에 사용되는 4차 암모늄 수산화물는 테트라메틸 암모늄 수산화물(TMAH), 테트라에틸 암모늄 수산화물, 테트라프로필 암모늄 수산화물, 테트라부틸 암모늄 수산화물, 메틸트리프로필 암모늄 수산화물, 메틸트리부틸 암모늄 수산화물, 벤질트리메틸 암모늄 수산화물, 벤질트리 에틸 암모늄 수산화물, 콜린 수산화물((2-하이드록시에틸)트리메틸 암모늄) 수산화물 및 이들의 조합으로부터 선택된다.
4차 암모늄 수산화물은 알칼리성이며, 4차 암모늄 수산화물에서 수산화물은 해리될 때 OH- 분자를 제공한다. 예를 들어, 도 13은 해리된 TMAH를 예시하며, 여기서 서로 해리된 TMA 및 OH-의 분자가 예시된다. 도 14는 해리된 콜린 수산화물을 예시하며, 여기서 서로 해리된 콜린 및 OH-의 분자가 예시된다. OH-는 실록산 가수분해를 통해 실록산과 반응하여 실란올을 형성하고, 이 공정 동안에 실록산으로 형성된 중간층(40)이 분리됨(broken apart)으로써 에칭된다.
도 15는 본 발명개시의 일부 실시예에 따른 TMAH의 예시적인 실록산 가수분해를 예시한다. 도시된 예시적인 실록산(중간층(40)의 성분임)은 Si-O-Si 결합을 포함한다. 하나의 Si-O-Si 결합이 예로서 도시되어 있지만, 사슬을 연장하기 위해 다수의 Si-O-Si 결합이 있을 수 있다. OH- 분자는 Si-O 결합 중 하나를 공격하고, Si 원자와의 결합을 형성한다. 그 결과, OH기에 결합된 실리콘 원자를 포함하는 실란올이 형성된다.
중간층(40)을 에칭하기 위한 화학 용액은 바람직하게는, 예를 들어 약 60 미만의 분자량을 갖는 저 분자량의 알칼리를 포함하지 않는다. 중간층(40)으로부터 배제된 알칼리는 KOH, NaOH, NH4OH 등을 포함할 수 있다. 저 분자량의 알칼리는 낮은 입체 장애를 가지고, 따라서 하부층(38)이 다공성이기 때문에 하부층(38)으로 침투하기 쉽다. 알칼리가, 하부층(38)으로 침투하면, 후속 포토 리소그래피 공정(도 4) 동안에 방출될 수 있고, 포토 레지스트 내의 포토 레지스트 산과 반응할 수 있으며, 따라서 후속 포토 리소그래피 공정에 악영향을 미칠 수 있다. 한편, 4차 암모늄 수산화물는 높은 입체 장애를 가지며, 하부층(38)으로 침투하지 않는다.
본 발명개시의 일부 실시예에 따르면, 4차 암모늄 플루오르화물은 암모늄 플루오르화물, 암모늄 바이플루오르화물, 테트라메틸 암모늄 플루오르화물(TMAF), 테트라부틸 암모늄 플루오르화물, 테트라에틸 암모늄 하이드로붕소 테트라플루오르화물, 테트라부틸 암모늄 테트라플루오로보레이트, 테트라에틸 암모늄 테트라플루오로보레이트 및 이들의 조합으로부터 선택된다.
4차 암모늄 플루오르화물은 해리될 때 F- 이온, BF4 - 분자 등을 제공한다. 예를 들어, 도 17은 해리된 TMAF를 예시하고, TMAF로부터 해리된 TMA 분자 및 F- 이온이 예시된다. 도 18은 해리된 테트라부틸 암모늄 테트라플루오로보레이트, 및 서로 해리된 테트라부틸 암모늄 및 테트라플루오로보레이트(BF4 -)의 분자를 예시한다. F- 이온 또는 BF4 - 분자는 실록산과 반응하고, 이 공정 동안에 실록산을 포함하는 중간층(40)이 분리됨으로써 에칭된다.
도 19는 본 발명개시의 일부 실시예에 따라 F- 이온(예를 들어, 해리된 TMAF로부터)이 중간층(40)에서 실록산과 반응하는 예시적인 공정을 예시한다. 도시된 예시적인 실록산은 F- 이온에 의해 공격받는다. 또한, H3O+(물에서)가 반응에 참여하면, F- 이온은 실리콘 원자와 결합된다. 따라서, 실록산이 에칭된다. 실리콘에 결합된 플루오린 원자가 물에서 OH기로 더 치환될 수 있고, F- 이온은 분리된(결합되지 않은) 이온으로서 다시 분리됨이 인지된다. 따라서, 분리된 F- 이온은 실록산의 추가 에칭에 참여할 수 있다. 이는 F- 이온이 더 많은 실록산을 에칭하기 위해 반복적으로 반응에 참여할 수 있음을 의미한다. 따라서, 소량의 F- 이온이 반응에 적합하고, 중간층(40)의 에칭에서의 F- 이온의 효율이 높다.
본 발명개시의 일부 실시예에 따르면, 중간층(40)을 에칭하기 위한 화학 용액은 HF와 같은 작은 분자량을 갖는 플루오르화물을 포함하지 않는 것이 바람직하다. 예를 들어, 약 60 미만의 분자량을 갖는 플루오르화물은 에칭 용액에 사용되지 않는다. 유사하게, 작은 분자량을 갖는 플루오르화물은 작은 입체 장애를 가질 수 있고, 따라서 하부층(38)이 다공성이기 때문에 하부층(38)으로 침투하기 쉽다. 하부층(38)으로 침투된 플루오르화물은 후속 포토 리소그래피 공정(도 4) 동안 방출되어 후속 형성된 중간층을 손상시키고, 후속 포토 리소그래피 공정에 악영향을 미칠 수 있다. 반면에, 4차 암모늄 플루오르화물은 높은 입체 장애를 가지며, 하부층(38)으로 침투하지 않는다.
본 발명개시의 일부 실시예에 따르면, 4차 암모늄 수산화물 및 4차 암모늄 플루오르화물 중 어느 하나는 중간층(40)을 에칭하기 위한 화학 용액에서 사용된다. 본 발명개시의 일부 실시예에 따르면, 4차 암모늄 수산화물과 4차 암모늄 플루오르화물 둘 모두가 중간층(40)을 에칭하기 위한 화학 용액에 채택된다. 중간층(40)의 상당한 (중량)%가 실리콘 산화물이기 때문에, 4차 암모늄 플루오르화물이 효율적인 에칭제로서 사용될 수 있다. 한편, 4차 암모늄 플루오르화물은 벤질기와 같은 큰 유기기를 에칭하는데 매우 효율적이지 못하며, 4차 암모늄 수산화물가 큰 유기기의 에칭에 효율적이다. 따라서, 4차 암모늄 수산화물 및 4차 암모늄 플루오르화물은 서로의 에칭 능력을 보충하여 중간층(40)의 에칭을 보다 효율적이게 할 수 있다. 도 20은 예시적인 중간층(40)의 일부분의 다이아그램을 예시한다. 직사각형 영역(40A)의 좌측 부분은 큰 유기기를 갖는 발색단(Chromophore)이고, TMAH를 사용하여 이 부분을 에칭하는 것이 효율적이다. 직사각형 영역(40B)의 우측 부분은 메틸실록산 폴리머이고, TMAF를 사용하여 이 부분을 에칭하는 것이 효율적이다.
표 1은 TMAH, TMAF 및 에틸렌 글리콜(EG, 유기 용매로서)을 포함하는 화학적 용액을 사용하여 12개의 샘플을 에칭한 결과를 예시한다. 12개의 샘플을 에칭하기 위한 화학 용액은 TMAH, TMAF 및 에틸렌 글리콜의 상이한 중량% 조합을 갖는다.
샘플 # TMAH 퍼센티지 TMAF 퍼센티지 EG 퍼센티지 에칭 속도(Å/분)
1 1.5% 0.25% N/A 350
2 0.5% 1.5% N/A 500
3 1.5% 1.5% N/A 400
4 1.5% 0.25% 1% 350
5 0.5% 1.5% 1% 500
6 1.5% 1.5% 1% 400
7 1.5% 0.25% 5% 350
8 0.5% 1.5% 5% 500
9 1.5% 1.5% 5% 400
10 1.5% 0.25% 10% 350
11 0.5% 1.5% 10% 500
12 0.5% 1.5% 10% 400
표 1에는 샘플의 에칭 속도(Å/분)가 예시된다. 그 결과, TMAH의 중량% 대 TMAH의 중량%(이하, TMAH : TMAF로 나타냄)의 비는 에칭 속도에 상당한 영향을 미친다. 예를 들어, TMAH:TMAF의 비가 약 1:3일 때, 최고 에칭 속도 500Å/분에 도달할 수 있고, 이는 생산에서 가장 높은 처리량을 초래한다는 것을 발견하였다. 따라서, 본 발명의 일부 예시적인 실시예에 따르면, 에칭 용액 내의 TMAH:TMAF의 비는 1:3에 가깝고, 예를 들어, 약 1:1 내지 약 1:5 사이의 범위 내에 있다. 한편, 화학 용액 내의 유기 용매의 퍼센티지는 에칭 속도에 눈에 띄는 영향을 미치지 않는다. 그러나, 유기 용매의 유형 및 중량%는 중간층(40)과 하부층(38) 사이의 에칭 선택성을 개선시키는 역할을 할 수 있다.
다시 도 15를 참조하면, 실록산 가수분해의 부산물로서, 형성된 실란올 이외에, 댕글링 결합(dangling bond)을 갖는 산소 원자를 갖는 분자가 있다. 이 분자는 불안정하고, 다른 유사 분자와 반응하여 실록산을 다시 형성하는 경향이 있으며, 이는 하부층(38)(도 3) 상에 재성막되어 중간층(40)을 재형성할 수 있다. 이 문제를 해결하기 위해, 중간층(40)을 에칭하기 위한 화학 용액에는, 분자들이 서로 재결합하고 재성막되는 것을 방지하기 위해 분자를 안정화시키는 기능을 갖는 유기 용매가 채용된다. 본 발명개시의 일부 실시예에 따르면, 화학 용액 내의 선택된 유기 용매(들)는 중간층(40)의 에칭에서 예를 들어 약 35℃ 내지 약 60℃의 범위 내의 온도까지 온도가 상승될 수 있기 때문에, 약 100℃보다 높은 끓는 온도를 갖는다. 본 발명개시의 일부 실시예에 따르면, 화학 용액은 주로 물을 포함하기 때문에, 선택된 유기 용매는 또한 수용성이다.
본 발명개시의 일부 실시예에 따르면, 후보 유기 용매는 테트라하이드로퍼퓨릴 알콜(THFA), 부틸 디글리콜(BDG), 에틸렌 글리콜(EG), 프로판올, 글리세린, 술포란, 디메틸 술폭시드(DMSO), 트리에탄올아민(TEA) 또는 이들의 조합을 포함한다. 또한, 유기 용매는 에테르 및 일차 아민과 같은 작은 분자량을 갖는 일부 유기 용매를 배제할 수 있다. 따라서, 테트라하이드로퓨란(THF), 프로필렌 글리콜 모노메틸 에테르(PGME) 및/또는 모노에탄올 아민(MEA)을 포함하는 유기 용매는 배제될 수 있다. 실험 결과, 또한 이들 유기 용매는 중간층(40)이 에칭되는 것보다 리프트-오프(lift off)되게 하고, 따라서 아래놓인 하부층(38)(도 3)을 손상시킬 수 있음을 밝혀냈다.
실험 결과, 에칭 속도가 화학 용액의 온도와 관련이 있으며, 온도가 증가하면 에칭 속도가 증가하는 것으로 나타났다. 예를 들어, 도 16은 화학 용액의 온도의 함수로서 중간층의 에칭 속도를 예시한다. 화학 용액은 0.5 중량%의 TMAH, 1.5 중량%의 TMAF 및 2 중량%의 EG를 포함한다. 실험 결과, 온도가 약 40℃에 가깝게 달하면 에칭 속도는 400 Å/분 이상의 바람직한 값을 달성할 수 있다.
도 2 및 도 3을 다시 참조하면, 화학 용액을 사용하여 중간층(40)이 에칭될 때, 하부층(38)은 에칭되지 않고 바람직하게는 가능한 작은 손상을 가져서, 도 4에 나타낸 바와 같이 하부층(38)은 후속 포토 리소그래피에서 재사용될 수 있다. 본 발명개시의 일부 실시예에 따른 에칭 선택도는 높고, 예를 들어 약 100보다 높고, 에칭 선택도는 하부층(38)의 에칭 속도에 대한 중간층(40)의 에칭 속도의 비이다. 높은 에칭 선택도는 적절한 유형의 용매를 선택하고 용매의 퍼센티지를 낮게 유지함으로써 달성될 수 있다. 본 발명개시의 일부 실시예에 따르면, 용매는 약 20%보다 작은 중량%를 가지며, 약 10% 내지 약 15%의 범위 내일 수 있다. 화학 용액 내의 나머지 성분은 주로 물이고, 약 70% 이상의 중량%를 가질 수 있다. 물의 중량%는 약 80%에 가깝거나, 또는 약 75% 내지 약 85%의 범위 내일 수 있다.
도 4 및 도 5는 포토 레지스트의 재작업을 예시한다. 본 발명개시의 일부 실시예에 따르면, 도 4에 도시된 바와 같이, 중간층(140) 및 상부층(142)이 형성된다. 각각의 단계는 도 21에 도시된 공정 흐름(200)에서 단계(210)로서 예시된다. 중간층(140) 및 상부층(142)의 재료는, 도 1에 도시된 바와 같이, 각각 중간층(40) 및 상부층(42)의 재료와 본질적으로 동일하거나 유사할 수 있다. 다음으로, 상부층(142) 상에 노광이 수행되고, 상부층(142)의 파선 부분을 제거하기 위해 현상 단계가 후속된다. 각각의 단계는 도 21에 도시된 공정 흐름(200)에서 단계(212)로서 예시된다. 포토 리소그래피 마스크(144)는 상부층(142)의 노광에 사용된다. 본 발명개시의 일부 실시예에 따르면, 포토 리소그래피 마스크(144)는 도 1에 도시된 포토 리소그래피 마스크(44)와 동일한 패턴을 갖거나, 또는 동일한 것일 수 있다. 그 후, 노출된 상부층(142)이 현상되고, 파선 부분이 제거된다. 각각의 단계는 또한 도 21에 도시된 공정 흐름(200)에서 단계(212)로서 예시된다. 그 후, 패터닝된 상부층(142)의 품질을 체크하기 위해 검사가 수행된다. 상부층(142)의 품질(예를 들어, 라인 폭, 진직도, 균일성 등)이 사양을 충족하면, 상부층(142)은 아래놓인 층을 에칭하는데 사용될 것이다. 상부층(142)의 품질이 사양을 충족하지 않으면, 도 2 내지 도 4에 도시된 단계를 반복함으로써 또 다른 재작업이 수행될 것이다. 재작업은 최종 상부층이 사양을 충족시킬 때까지 반복된다.
다음으로, 상부층(142)은 아래놓인 층을 에칭하기 위해 에칭 마스크로서 사용된다. 도 5는 중간층(140)이 패터닝된 중간 스테이지의 단면도를 예시한다. 다음으로, 하부층(38)은 에칭 마스크로서 패터닝된 층(140 및 142)을 사용하여 패터닝되고, 층(38, 140 및 142)을 포함하는 나머지 패터닝된 3층이 에칭 마스크로서 사용되어 맨드렐층(36)을 에칭한다. 맨드렐층(36)의 나머지 부분은 이하 맨드렐(136)(도 6)로서 지칭된다. 각각의 단계는 도 21에 도시된 공정 흐름(200)에서 단계(214)로서 예시된다. 그 후, 3층의 나머지 부분이 제거되고, 결과의 구조체는 도 6에 된다.
도 7을 참조하면, 스페이서층(46)은 본 발명개시의 일부 실시예에 따라 형성된다. 각각의 단계는 도 21에 도시된 공정 흐름(200)에서 단계(216)로서 도시된다. 스페이서층(46)은 예를 들어, 그 수평 부분의 두께(T1) 및 그 수직 부분의 두께(T2)가 서로 가깝고, 두께(T1 및 T2)의 차이가 두께(T1)의 약 20%보다 작은, 컨포멀 층이다. 그 후, 이방성 에칭이 스페이서층(46)의 수직 부분을 남기면서 스페이서층(46)의 수평 부분을 제거하도록 수행되고, 이후 스페이서(146)로서 지칭된다. 결과의 구조체는 도 8에 도시된다. 각각의 단계는 도 21에 도시된 공정 흐름(200)에서 단계(218)로서 예시된다. 그러므로, 결과의 스페이서(146)는 맨드렐(136)의 피치의 절반과 동등한 피치를 가지며, 따라서 대응하는 공정은 이중 패터닝 공정으로 지칭된다. 그 후, 맨드렐(136)은 제거되고, 결과의 구조체는 도 9에 도시된다. 따라서, 맨드렐 스페이서(146) 사이에 개구부(50)가 형성된다.
본 발명개시의 일부 실시예에 따르면, 스페이서(146)는 아래놓인 유전체 하드 마스크(34) 및 금속 하드 마스크(32)를 에칭하기 위한 에칭 마스크로서 사용된다. 각각의 단계는 도 21에 도시된 공정 흐름(200)에서 단계(220)로서 도시된다.
다음에, 유전체 하드 마스크(34) 및 금속 하드 마스크(32)가 에칭 마스크로서 사용되어 하드 마스크(30)를 에칭한다. 스페이서(146)는 이 공정에서 소비될 수 있다. 결과의 구조물은 도 10에 도시된다. 도 10에서, 패터닝된 하드 마스크(32)는 또한 트렌치(52)가 형성되도록 아래놓인 로우-k 유전체층(28) 및 에칭 정지층(26)을 에칭하기 위해 에칭 마스크로서 사용된다. 트렌치(52) 아래에 놓인 비아 개구부(54)를 형성하도록 로우-k 유전체층(28)을 규정하고 에칭하기 위해 추가 공정 단계가 또한 수행된다. 트렌치(52) 및 비아 개구부(54)는 도시된 평면에서 동일한 폭을 갖지만, 도시된 평면에 직교하는 수직 평면에서, 비아 개구부(54)는 트렌치(52)보다 작은 폭을 갖는다.
도 11은 각각 금속 라인(56) 및 비아(58)를 형성하기 위한 트렌치(52) 및 비아 개구부(54)(도 10)의 충진을 예시한다. 각각의 단계는 도 21에 도시된 공정 흐름(200)에서 단계(222)로서 예시된다. 본 발명개시의 일부 실시예에 따르면, 형성 공정은 듀얼 다마신 공정을 포함하며, 티타늄 질화물, 티타늄, 탄탈륨 질화물, 탄탈륨 등과 같은 도전성 장벽층이 트렌치(52) 및 비아 개구부(54)의 측벽 및 하부에 형성된다. 그 후, 트렌치(52) 및 비아 개구부(54)의 나머지 부분은 구리 또는 구리 합금과 같은 충전 금속으로 충전된다. 그 후, 도 11에 도시된 바와 같이, 화학 기계적 연마(Chemical Mechanical Polish; CMP)가 수행되어 배리어층 및 충전 금속의 과잉 부분을 제거하여 금속 라인(56) 및 비아(58)를 형성한다. 금속 라인(56) 및 비아(58)는 아래놓인 전도성 피처(16)에 전기적으로 접속된다. 후속 단계들에서, 에칭 정지층(도시되지 않음)이 유전체층(28) 및 금속 라인(56) 위에 형성되고, 후속하여 또 다른 로우-k 유전체층이 형성되며, 도 1 내지 도 11에 도시된 단계들이 반복되어 더 많은 금속 라인 및 비아를 형성할 수 있다.
본 발명개시의 일부 실시예에 따르면, 상술된 바와 같이, 타겟층(28)은 유전체층이고, 본 발명개시의 공정 단계는 유전체층 내에 금속 라인을 형성하는데 사용된다. 본 발명개시의 다른 실시예에 따르면, 타겟층(28)은 반도체 기판과 같은 반도체 재료로 형성된다. 따라서, 도 1 내지 도 11에 도시된 공정 단계는 타겟층(28) 내에 트렌치를 형성하는데 사용될 수 있고, 트렌치는 쉘로우 트렌치 격리(Shallow Trench Isolation, STI) 영역을 형성하기 위해 유전체 재료로 충전될 수 있다. 본 발명개시의 대안적인 실시예에 따르면, 본 발명개시의 실시예에 따른 공정 단계는 유전체 피처, 반도체 피처 또는 금속 피처와 같은 다양한 피처를 에칭하여 유전체 라인, 반도체 라인 또는 금속 라인을 형성하는 데 사용될 수 있다.
본 발명개시의 실시예는 몇몇 유리한 특징을 갖는다. 3층 내의 중간층을 에칭하기 위한 화학 용액에 4차 암모늄 수산화물 및/또는 4차 암모늄 플루오르화물을 포함함으로써, 4차 암모늄 수산화물 및 4차 암모늄 플루오르화물의 입체 장애 때문에, 4차 암모늄 수산화물 및 4차 암모늄 플루오르화물은 아래놓인 하부층으로 침투하지 않는다. 또한, 저분자량의 화학 물질은 화학 용액에 사용되지 않고, 따라서 3층의 재작업에서 화학 물질은 하부층으로 역으로 침투하지 않는다. 따라서, 후속 재작업에 대한 이러한 저분자량 화학 물질의 악영향은 회피된다. 또한, 4차 암모늄 수산화물 및 4차 암모늄 플루오르화물(특히, 4차 암모늄 수산화물 및 4차 암모늄 플루오르화물의 조합)은 중간층을 에칭하기 위해 높은 에칭 속도를 갖는다. 또한, 에칭 선택도(하부층의 에칭 속도에 대한 중간층의 에칭 속도의 비)가 높고, 따라서 하부층에 대한 손상이 최소화되고, 하부층이 재사용될 수 있다. 이것은 제조 비용을 상당히 감소시킨다.
본 발명개시의 일부 실시예에 따라, 방법은 3층을 형성하는 단계 - 상기 3층은 하부층, 상기 하부층 위의 제 1 중간층, 및 상기 제 1 중간층 위에 있고 포토 레지스트를 포함하는 제 1 상부층을 포함함 -; 상기 제 1 최상부층을 제거하는 단계; 및 화학 용액을 사용하여 상기 제 1 중간층을 제거하는 단계를 포함하고, 상기 화학 용액은 KOH룰 포함하지 않고, 4차 암모늄 수산화물 및 4차 암모늄 플루오르화물 중 적어도 하나를 포함한다. 일실시예에서, 상기 하부층은 상기 제 1 중간층을 제거하는 단계 이후 남아 있고, 상기 방법은, 상기 하부층 위에, 상기 하부층과 접촉하는 제 2 중간층을 형성하는 단계; 및 상기 제 2 중간층 위에, 추가 포토 레지스트를 포함하는 제 2 상부층을 형성하는 단계를 더 포함한다. 일실시예에 있어서, 동일한 패턴을 갖는 리소그래피 마스크를 사용하여 상기 제 1 상부층 및 상기 제 2 상부층에 노광을 수행하는 단계를 더 포함한다. 일실시예에 있어서, 상기 화학 용액은 유기 용매 및 물을 더 포함한다. 일실시예에 있어서, 상기 제 1 중간층을 제거하는 단계에서, 상기 하부층의 에칭 속도에 대한 상기 제 1 중간층의 에칭 속도의 에칭 선택도는 약 100보다는 크다. 일실시예에 있어서, 상기 제 1 상부층을 제거하는 단계 이전에, 상기 제 1 상부층에 노광 및 현상을 수행하는 단계를 더 포함한다. 일실시예에 있어서, 상기 화학 용액은 4차 암모늄 수산화물 및 4차 암모늄 플루오르화물 둘 모두를 포함한다. 일실시예에 있어서, 상기 4차 암모늄 수산화물은 테트라메틸 암모늄 수산화물(tetramethylammonium hydroxide; TMAH)을 포함하고, 상기 4차 암모늄 플루오르화물은 테트라메틸 암모늄 플루오르화물(tetramethylammonium fluoride; TMAF)을 포함하고, 상기 TMAH의 중량% 대 상기 TMAF의 중량%의 비는 약 1:3에 가깝다. 일실시예에 있어서, 상기 화학 용액은 또한 NaOH, NH4OH, 및 HF를 포함하지 않는다.
본 발명개시의 일부 실시예에 따르면, 방법은 하부층 위에, 실록산을 포함하는 제 1 중간층을 형성하는 단계; 및 상기 제 1 중간층을 에칭하는 단계를 포함하고, 상기 하부층은 상기 제 1 중간층이 에칭된 이후에 블랭킷(blanket)층으로서 남아 있고, 상기 제 1 중간층을 에칭하는 단계는 화학 용액을 사용한 습식 에칭 공정으로 수행되고, 상기 화학 용액은, 4차 암모늄 수산화물; 4차 암모늄 플루오르화물; 유기 용매; 및 물을 포함한다. 일실시예에 있어서, 상기 방법은 상기 제 1 중간층이 에칭된 이후에, 상기 하부층 위에, 실록산을 포함하는 제 2 중간층을 형성하는 단계; 및 상기 제 2 중간층을 패터닝하는 단계를 더 포함한다. 일실시예에 있어서, 상기 제 1 중간층을 에칭하는 단계 이후에, 실질적으로 상기 하부층의 전체가 남는다. 일실시예에 있어서, 상기 유기 용매는 실란올을 안정화시키도록 구성된다. 일실시예에 있어서, 상기 유기 용매는 에틸렌 글리콜을 포함한다.
본 발명개시의 일부 실시예에 따르면, 화학 용액은, 4차 암모늄 수산화물 및 4차 암모늄 플루오르화물 중 적어도 하나; 유기 용매; 및 물을 포함하고, 상기 화학 용액은 KOH을 포함하지 않는다. 일실시예에 있어서, 상기 화학 용액은 상기 4차 암모늄 수산화물 및 상기 4차 암모늄 플루오르화물 둘 모두를 포함한다. 일실시예에 있어서, 상기 4차 암모늄 수산화물은 TMAH를 포함하고, 상기 4차 암모늄 플루오르화물은 TMAF를 포함한다. 일실시예에 있어서, 상기 TMAH의 중량% 대 상기 TMAF의 중량%의 비는 약 1:3에 가깝다. 일실시예에 있어서, 상기 화학 용액은 NaOH, NH4OH, 및 HF를 포함하지 않는다. 일실시예에 있어서, 상기 유기 용매는 약 10% 내지 약 15% 사이의 범위 내의 중량%를 갖는다.
본 발명개시의 일부 실시예에 따르면, 방법은 하부층을 형성하는 단계; 상기 하부층 위에 제 1 중간층을 형성하는 단계; 상기 제 1 중간층 위에 제 1 상부층을 형성하는 단계; 상기 제 1 상부층에 노광 및 현상을 수행하는 단계; 상기 제 1 상부층을 제거하는 단계; 화학 용액에서 상기 제 1 중간층의 전체를 제거하는 단계 - 상기 제 1 중간층이 제거된 후에 상기 하부층은 남아 있음 - ; 상기 하부층 위에 제 2 중간층을 형성하는 단계; 제 2 상부층을 형성하는 단계; 상기 제 2 상부층 상에 추가 노광 및 추가 현상을 수행하는 단계; 및 상기 제 2 상부층을 에칭 마스크로 사용하여 상기 제 2 중간층 및 상기 하부층을 에칭하는 단계를 포함한다. 일실시예에서, 화학 용액은 4차 암모늄 수산화물 및 4차 암모늄 플루오르화물 둘 모두를 포함한다. 일실시예에서, 상기 방법은 상기 제 2 중간층 및 상기 하부층의 패턴을 아래놓인 로우-k 유전체층으로 전사하는 단계를 포함한다. 일실시예에서, 상기 방법은 상기 아래놓인 로우-k 유전체층 내에, 상기 제 2 중간층에 형성된 패턴과 동일한 패턴을 갖는 금속 라인을 형성하는 단계를 포함한다.
본 발명개시의 일부 실시예에 따르면, 화학 용액은 알칼리; 플루오르화물; 물에 용해될 수 있는 유기 용매; 및 화학 용액에서 약 70% 초과의 중량%를 갖는 물을 포함한다. 일실시예에서, 상기 화학 용액은 KOH, NaOH, NH4OH 및 HF를 포함하지 않는다. 일실시예에서, 상기 알칼리는 4차 암모늄 수산화물를 포함하고, 상기 플루오르화물는 4차 암모늄 플루오르화물를 포함한다. 일실시예에서, 4차 암모늄 수산화물는 TMAH를 포함하고, 4차 암모늄 플루오르화물는 TMAF를 포함한다.
본 발명개시의 일부 실시예에 따르면, 화학 용액은 TMAH - 상기 TMAH의 중량% 대 상기 TMAF의 중량%의 비는 약 1:3에 가까움 - ; 실란올을 안정화시키도록 구성된 유기 용매; 및 물을 포함한다. 일실시예에서, 유기 용매는 약 10% 내지 약 15% 사이의 범위 내의 중량%를 갖는다.
본 발명개시의 양상들을 본 발명분야의 당업자가 보다 잘 이해할 수 있도록 상기는 여러 실시예들의 피처들을 약술하였다. 당업자는 본 명세서에 개시된 실시예들과 동일한 목적을 수행하고, 그리고/또는 동일한 이점를 성취하는 다른 공정들 및 구조물들을 설계하거나 수정하기 위해 본 발명개시를 기초로서 쉽게 사용할 수 있다는 것을 인지해야 한다. 또한, 당업자는 그러한 동등한 구성이 본 개시의 사상 및 범주로부터 벗어나지 않고, 이들은 본 개시의 사상 및 범주를 벗어나지 않으면서 다양한 수정, 대체 및 변경이 가능하다는 것을 인지해야 한다.
실시예
실시예 1. 방법에 있어서,
3층(tri-layer)을 형성하는 단계로서, 상기 3층은,
하부층;
상기 하부층 위의 제 1 중간층; 및
상기 제 1 중간층 위에 있고, 포토 레지스트를 포함하는 제 1 상부층
을 포함하는 것인, 상기 3층을 형성하는 단계;
상기 제 1 상부층을 제거하는 단계; 및
화학 용액을 사용하여 상기 제 1 중간층을 제거하는 단계
를 포함하고,
상기 화학 용액은 칼륨 수산화물(KOH)을 포함하지 않고, 4차 암모늄 수산화물 및 4차 암모늄 플루오르화물 중 적어도 하나를 포함하는 것인 방법.
실시예 2. 실시예 1에 있어서,
상기 하부층은 상기 제 1 중간층을 제거하는 단계 이후에 남아 있고, 상기 방법은,
상기 하부층 위에, 상기 하부층과 접촉하는 제 2 중간층을 형성하는 단계; 및
상기 제 2 중간층 위에, 추가 포토 레지스트를 포함하는 제 2 상부층을 형성하는 단계
를 더 포함하는 방법.
실시예 3. 실시예 2에 있어서,
동일한 패턴을 갖는 리소그래피 마스크를 사용하여 상기 제 1 상부층 및 상기 제 2 상부층에 노광을 수행하는 단계
를 더 포함하는 방법.
실시예 4. 실시예 1에 있어서,
상기 화학 용액은 유기 용매 및 물을 더 포함하는 것인 방법.
실시예 5. 실시예 1에 있어서,
상기 제 1 중간층을 제거하는 단계에서, 상기 하부층의 에칭 속도에 대한 상기 제 1 중간층의 에칭 속도의 에칭 선택도는 약 100보다는 큰 것인 방법.
실시예 6. 실시예 1에 있어서,
상기 제 1 상부층을 제거하는 단계 이전에, 상기 제 1 상부층에 노광 및 현상을 수행하는 단계
를 더 포함하는 방법.
실시예 7. 실시예 1에 있어서,
상기 화학 용액은 4차 암모늄 수산화물 및 4차 암모늄 플루오르화물 둘 모두를 포함하는 것인 방법.
실시예 8. 실시예 7에 있어서,
상기 4차 암모늄 수산화물은 테트라메틸 암모늄 수산화물(tetramethylammonium hydroxide; TMAH)을 포함하고, 상기 4차 암모늄 플루오르화물은 테트라메틸 암모늄 플루오르화물(tetramethylammonium fluoride; TMAF)을 포함하고, 상기 TMAH의 중량% 대 상기 TMAF의 중량%의 비는 약 1:3에 가까운 것인 방법.
실시예 9. 실시예 1에 있어서,
상기 화학 용액은 또한 NaOH, NH4OH, 및 HF를 포함하지 않는 것인 방법.
실시예 10. 방법에 있어서,
하부층 위에, 실록산을 포함하는 제 1 중간층을 형성하는 단계; 및
상기 제 1 중간층을 에칭하는 단계
를 포함하고,
상기 하부층은 상기 제 1 중간층이 에칭된 이후에 블랭킷(blanket)층으로서 남아 있고,
상기 제 1 중간층을 에칭하는 단계는 화학 용액을 사용한 습식 에칭 공정으로 수행되고, 상기 화학 용액은,
4차 암모늄 수산화물;
4차 암모늄 플루오르화물;
유기 용매; 및
을 포함하는 것인 방법.
실시예 11. 실시예 10에 있어서,
상기 제 1 중간층이 에칭된 이후에, 상기 하부층 위에, 실록산을 포함하는 제 2 중간층을 형성하는 단계; 및
상기 제 2 중간층을 패터닝하는 단계
를 더 포함하는 방법.
실시예 12. 실시예 11에 있어서,
상기 제 1 중간층을 에칭하는 단계 이후에, 실질적으로 상기 하부층의 전체가 남아 있는 것인 방법.
실시예 13. 실시예 10에 있어서,
상기 유기 용매는 실란올을 안정화시키도록 구성되는 것인 방법.
실시예 14. 실시예 10에 있어서,
상기 유기 용매는 에틸렌 글리콜을 포함하는 것인 방법.
실시예 15. 화학 용액에 있어서,
4차 암모늄 수산화물 및 4차 암모늄 플루오르화물 중 적어도 하나;
유기 용매; 및
을 포함하고,
상기 화학 용액은 칼륨 수산화물(KOH)을 포함하지 않는 것인 화학 용액.
실시예 16. 실시예 15에 있어서,
상기 4차 암모늄 수산화물 및 상기 4차 암모늄 플루오르화물 둘 모두를 포함하는 것인 화학 용액.
실시예 17. 실시예 16에 있어서,
상기 4차 암모늄 수산화물은 테트라메틸 암모늄 수산화물(tetramethylammonium hydroxide; TMAH)을 포함하고, 상기 4차 암모늄 플루오르화물은 테트라메틸 암모늄 플루오르화물(tetramethylammonium fluoride; TMAF)을 포함하는 것인 화학 용액.
실시예 18. 실시예 17에 있어서,
상기 TMAH의 중량% 대 상기 TMAF의 중량%의 비는 약 1:3에 가까운 것인 화학 용액.
실시예 19. 실시예 15에 있어서,
NaOH, NH4OH, 및 HF를 포함하지 않는 화학 용액.
실시예 20. 실시예 15에 있어서,
상기 유기 용매는 약 10% 내지 약 15% 사이의 범위 내의 중량%를 갖는 것인 화학 용액.

Claims (10)

  1. 방법에 있어서,
    3층(tri-layer)을 형성하는 단계로서, 상기 3층은,
    교차 결합된(cross-linked) 재료로 형성되는 하부층;
    상기 하부층 위의 제 1 중간층; 및
    상기 제 1 중간층 위에 있고, 포토 레지스트를 포함하는 제 1 상부층
    을 포함하는 것인, 상기 3층을 형성하는 단계;
    제 1 포토 리소그래피 마스크를 사용하여 상기 제 1 상부층을 노출시키는 단계;
    상기 노출시키는 단계 후에, 상기 제 1 상부층을 제거하는 단계;
    화학 용액을 사용하여 상기 제 1 중간층을 제거하는 단계 - 상기 화학 용액은 칼륨 수산화물(KOH)을 포함하지 않고, 4차 암모늄 수산화물 및 4차 암모늄 플루오르화물 중 적어도 하나를 포함함 - ;
    상기 제 1 상부층 및 상기 제 1 중간층이 둘 다 제거된 후에, 교차 결합된 잔여 하부층 위에 상기 잔여 하부층과 접촉하는 제 2 중간층을 형성하는 단계;
    상기 제 2 중간층 위에 제 2 상부층 - 상기 제2 상부층은 추가적인 포토 레지스트를 포함함 - 을 형성하는 단계; 및
    상기 제1 포토 리소그래피 마스크와 동일한 패턴을 갖는 제2 포토 리소그래피 마스크를 사용하여 상기 제 2 상부층에 대해 노광 공정을 수행하는 단계를 포함하는 방법.
  2. 제 1 항에 있어서,
    상기 제 2 중간층이 형성되기 전에 상기 제 1 상부층과 상기 제 1 중간층의 전체가 제거되는 것인 방법.
  3. 제 1 항에 있어서,
    상기 제 1 포토 리소그래피 마스크 및 상기 제2 포토 리소그래피 마스크는 동일한 포토 리소그래피 마스크인 것인 방법.
  4. 제 1 항에 있어서,
    상기 화학 용액은 유기 용매 및 물을 더 포함하는 것인 방법.
  5. 제 1 항에 있어서,
    상기 제 1 중간층을 제거하는 단계에서, 상기 하부층의 에칭 속도에 대한 상기 제 1 중간층의 에칭 속도의 에칭 선택도는 100보다는 큰 것인 방법.
  6. 제 1 항에 있어서,
    상기 제 1 상부층을 노출시키는 단계 후에 그리고 상기 제 1 상부층을 제거하는 단계 이전에, 상기 제 1 상부층을 현상 및 검사하는 단계를 더 포함하는 방법.
  7. 제 1 항에 있어서,
    상기 화학 용액은 4차 암모늄 수산화물 및 4차 암모늄 플루오르화물 둘 모두를 포함하는 것인 방법.
  8. 제 1 항에 있어서,
    상기 화학 용액은 또한 NaOH, NH4OH, 및 HF를 포함하지 않는 것인 방법.
  9. 방법에 있어서,
    하부층 - 상기 하부층은 탄소, 수소 및 산소를 포함하는 균질한(homogeneous) 재료를 포함하고, 상기 균질한 재료는 교차 결합된 것임 - 위에, 실록산을 포함하는 제 1 중간층을 형성하는 단계;
    상기 제 1 중간층 위에 제 1 상부층 - 상기 제 1 상부층은 제1 포토 레지스트를 포함함 - 을 형성하는 단계;
    상기 제 1 상부층 상에 제 1 노광 공정 및 제 1 현상 공정을 수행하는 단계 - 상기 제 1 노광 공정은 제 1 포토 리소그래피 마스크를 사용하여 수행됨 - ;
    상기 제 1 노광 공정 및 상기 제 1 현상 공정 후에, 상기 제 1 상부층 및 상기 제 1 중간층의 전체를 제거하는 단계 - 상기 하부층은 상기 제 1 중간층이 제거된 이후에 블랭킷(blanket)층으로서 남아 있음 - ;
    상기 하부층 위에 실록산을 포함하는 제 2 중간층을 형성하는 단계;
    상기 제 2 중간층 위에 제 2 상부층을 형성하는 단계; 및
    상기 제 1 포토 리소그래피 마스크와 동일한 패턴을 갖는 제 2 포토 리소그래피 마스크를 사용하여 상기 제 2 상부층에 대해 제2 노광 공정 및 제 2 현상 공정을 수행하는 단계를 포함하는 방법.
  10. 방법에 있어서,
    교차 결합된 유기 재료로 형성된 하부층을 형성하는 단계;
    상기 하부층의 교차 결합된 유기 재료 위에 상기 교차 결합된 유기 재료와 접촉하는 제 1 중간층을 형성하는 단계;
    상기 제 1 중간층 위에 제 1 상부층을 형성하는 단계;
    상기 제 1 상부층에 대해 노광 및 현상을 수행하는 단계;
    상기 제 1 상부층을 제거하는 단계;
    화학 용액 내에서 상기 제 1 중간층 전체를 제거하는 단계 - 상기 제 1 중간층이 제거된 후에 상기 하부층이 남아 있음 - ;
    상기 하부층의 교차 결합된 유기 재료 위에 상기 교차 결합된 유기재료와 접촉하는 제 2 중간층을 형성하는 단계;
    제 2 상부층을 형성하는 단계;
    상기 제 2 상부층에 대해 추가적인 노광 및 추가적인 현상을 수행하는 단계;
    상기 제 2 상부층을 에칭 마스크로 사용하여 상기 제 2 중간층 및 상기 하부층을 에칭하는 단계; 및
    상기 하부층 전체를 제거하는 단계를 포함하는 방법.
KR1020200062915A 2017-08-30 2020-05-26 3층 제거를 위한 화학적 조성물 KR102159209B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762551985P 2017-08-30 2017-08-30
US62/551,985 2017-08-30
US15/723,875 2017-10-03
US15/723,875 US10761423B2 (en) 2017-08-30 2017-10-03 Chemical composition for tri-layer removal

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170164433A Division KR20190024533A (ko) 2017-08-30 2017-12-01 3층 제거를 위한 화학적 조성물

Publications (2)

Publication Number Publication Date
KR20200063117A KR20200063117A (ko) 2020-06-04
KR102159209B1 true KR102159209B1 (ko) 2020-09-24

Family

ID=65434333

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170164433A KR20190024533A (ko) 2017-08-30 2017-12-01 3층 제거를 위한 화학적 조성물
KR1020200062915A KR102159209B1 (ko) 2017-08-30 2020-05-26 3층 제거를 위한 화학적 조성물

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020170164433A KR20190024533A (ko) 2017-08-30 2017-12-01 3층 제거를 위한 화학적 조성물

Country Status (4)

Country Link
US (2) US10761423B2 (ko)
KR (2) KR20190024533A (ko)
CN (1) CN109427554B (ko)
TW (1) TWI660071B (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220102212A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Self-Aligned Via Formation Using Spacers
CN112259505B (zh) * 2020-10-19 2023-08-15 上海华力集成电路制造有限公司 半导体器件鳍体的形成方法
CN114388448A (zh) * 2020-10-19 2022-04-22 上海华力集成电路制造有限公司 半导体器件鳍体的形成方法
TWI803348B (zh) * 2022-02-24 2023-05-21 南亞科技股份有限公司 具有遮罩線以抑制訊號串擾之半導體元件的製備方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4968477B2 (ja) 2005-08-19 2012-07-04 日産化学工業株式会社 ハードマスクの除去用組成物及び除去方法
WO2017084860A1 (de) 2015-11-20 2017-05-26 Robert Bosch Gmbh Verfahren zum betreiben eines serversystems und zum betreiben eines aufnahmegeräts zum aufnehmen eines sprachbefehls, serversystem, aufnahmegerät und sprachdialogsystem

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1116882A (ja) 1997-06-19 1999-01-22 Toray Fine Chem Co Ltd フォトレジスト剥離用組成物
US6303733B1 (en) * 1997-12-12 2001-10-16 Alliedsignal Inc. Poly(arylene ether) homopolymer compositions and methods of manufacture thereof
EP1160848B1 (en) 2000-05-22 2011-10-05 JSR Corporation Composition for silica-based film formation
KR100398141B1 (ko) 2000-10-12 2003-09-13 아남반도체 주식회사 화학적 기계적 연마 슬러리 조성물 및 이를 이용한반도체소자의 제조방법
US6391794B1 (en) 2000-12-07 2002-05-21 Micron Technology, Inc. Composition and method for cleaning residual debris from semiconductor surfaces
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US7442675B2 (en) 2003-06-18 2008-10-28 Tokyo Ohka Kogyo Co., Ltd. Cleaning composition and method of cleaning semiconductor substrate
JP4522408B2 (ja) 2003-08-19 2010-08-11 マリンクロッド・ベイカー・インコーポレイテッド マイクロエレクトロニクス用のストリッピングおよび洗浄組成物
US7888301B2 (en) * 2003-12-02 2011-02-15 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US7674755B2 (en) * 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC
KR100793241B1 (ko) 2006-06-19 2008-01-10 삼성전자주식회사 실리콘 고분자 및 포토레지스트 제거용 조성물, 이를이용한 막 제거 방법 및 패턴 형성 방법
US7947637B2 (en) * 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
TW200813211A (en) 2006-06-30 2008-03-16 Fujifilm Electronic Materials Cleaning formulation for removing residues on surfaces
JP4499751B2 (ja) 2006-11-21 2010-07-07 エア プロダクツ アンド ケミカルズ インコーポレイテッド フォトレジスト、エッチ残留物及びbarcを除去するための配合物及び同配合物を含む方法
US8026201B2 (en) 2007-01-03 2011-09-27 Az Electronic Materials Usa Corp. Stripper for coating layer
CN101842872A (zh) 2007-10-31 2010-09-22 Ekc技术公司 用于剥除光刻胶的化合物
JP5513196B2 (ja) * 2010-03-25 2014-06-04 富士フイルム株式会社 洗浄組成物及び半導体装置の製造方法
US8772183B2 (en) 2011-10-20 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an integrated circuit
KR101965923B1 (ko) 2011-11-08 2019-04-04 닛산 가가쿠 가부시키가이샤 실란올기 함유 폴리실록산 용액의 안정화 방법, 안정화 실란올기 함유 폴리실록산 용액의 제조 방법 및 안정화 실란올기 함유 폴리실록산 용액
US8916475B1 (en) * 2013-11-01 2014-12-23 United Microelectronics Corp. Patterning method
KR102420338B1 (ko) * 2014-06-04 2022-07-13 엔테그리스, 아이엔씨. 금속, 유전체 및 니트라이드 상용성을 가진 반사-방지 코팅 세정 및 에칭-후 잔류물 제거 조성물
CN104157654B (zh) * 2014-08-15 2017-06-06 中国科学院微电子研究所 三维存储器及其制造方法
US9873833B2 (en) 2014-12-29 2018-01-23 Versum Materials Us, Llc Etchant solutions and method of use thereof
US9442377B1 (en) 2015-06-15 2016-09-13 Rohm And Haas Electronic Materials Llc Wet-strippable silicon-containing antireflectant
KR102415886B1 (ko) * 2015-09-01 2022-06-30 동우 화인켐 주식회사 신너 조성물
US10020262B2 (en) 2016-06-30 2018-07-10 Intel Corporation High resolution solder resist material for silicon bridge application
US10007184B2 (en) * 2016-09-01 2018-06-26 Rohm And Haas Electronic Materials Llc Silicon-containing underlayers
US10043703B2 (en) * 2016-12-15 2018-08-07 Globalfoundries Inc. Apparatus and method for forming interconnection lines having variable pitch and variable widths
US10049876B1 (en) * 2017-02-13 2018-08-14 International Business Machines Corporation Removal of trilayer resist without damage to underlying structure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4968477B2 (ja) 2005-08-19 2012-07-04 日産化学工業株式会社 ハードマスクの除去用組成物及び除去方法
WO2017084860A1 (de) 2015-11-20 2017-05-26 Robert Bosch Gmbh Verfahren zum betreiben eines serversystems und zum betreiben eines aufnahmegeräts zum aufnehmen eines sprachbefehls, serversystem, aufnahmegerät und sprachdialogsystem

Also Published As

Publication number Publication date
US20190064658A1 (en) 2019-02-28
KR20200063117A (ko) 2020-06-04
US20200401041A1 (en) 2020-12-24
CN109427554B (zh) 2021-03-23
US10761423B2 (en) 2020-09-01
TW201912841A (zh) 2019-04-01
US11378882B2 (en) 2022-07-05
KR20190024533A (ko) 2019-03-08
TWI660071B (zh) 2019-05-21
CN109427554A (zh) 2019-03-05

Similar Documents

Publication Publication Date Title
KR102159209B1 (ko) 3층 제거를 위한 화학적 조성물
US9508560B1 (en) SiARC removal with plasma etch and fluorinated wet chemical solution combination
US7323407B2 (en) Method of fabricating dual damascene interconnections of microelectronic device using diffusion barrier layer against base material
KR101004691B1 (ko) 반도체 소자의 미세패턴 형성방법
JP2006261307A (ja) パターン形成方法
KR102128515B1 (ko) 에칭 마스크를 제거하는 방법
JP2000299380A (ja) 半導体素子のコンタクト形成方法
US8089153B2 (en) Method for eliminating loading effect using a via plug
KR20020058288A (ko) 반도체소자의 제조방법
TW201906001A (zh) 製造半導體結構的方法
US11923199B2 (en) Method and structure of middle layer removal
US20220334473A1 (en) Chemical Composition for Tri-Layer Removal
TWI553739B (zh) 一種形成開口的方法
US9502285B1 (en) Method of forming trenches
US11681225B2 (en) Silver patterning and interconnect processes
KR20090027431A (ko) 반도체 소자의 미세패턴 형성방법
TW508741B (en) Method for manufacturing dual damascene structure
KR20090044855A (ko) 반도체 소자의 제조방법
KR20080085287A (ko) 패턴 형성을 위한 반도체 구조 및 이를 이용한 패턴 형성방법
KR20020045682A (ko) 반도체 소자의 제조 방법
KR20040099615A (ko) 반도체소자의 제조방법
KR20090044878A (ko) 반도체 소자의 미세패턴 형성방법
KR20100076305A (ko) 반도체 소자의 패턴 형성 방법
TW201044459A (en) Method for fabricating an aperture
TW200409285A (en) Gap-filling process

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant