CN109427554B - 一种化学溶液和形成半导体器件的方法 - Google Patents

一种化学溶液和形成半导体器件的方法 Download PDF

Info

Publication number
CN109427554B
CN109427554B CN201711349012.5A CN201711349012A CN109427554B CN 109427554 B CN109427554 B CN 109427554B CN 201711349012 A CN201711349012 A CN 201711349012A CN 109427554 B CN109427554 B CN 109427554B
Authority
CN
China
Prior art keywords
layer
intermediate layer
top layer
chemical solution
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201711349012.5A
Other languages
English (en)
Other versions
CN109427554A (zh
Inventor
陈立民
黄国彬
杨能杰
吴嘉伟
连建洲
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109427554A publication Critical patent/CN109427554A/zh
Application granted granted Critical
Publication of CN109427554B publication Critical patent/CN109427554B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种方法包括形成三层。三层包括底层;位于底层上方的中间层;以及位于中间层上方的顶层。顶层包括光刻胶。该方法还包括去除顶层;并使用化学溶液去除中间层。该化学溶液不含氢氧化钾(KOH),并且包括季铵碱和氟代季铵盐中的至少一种。本发明实施例涉及一种化学溶液和形成半导体器件的方法。

Description

一种化学溶液和形成半导体器件的方法
技术领域
本发明实施例涉及一种化学溶液和形成半导体器件的方法。
背景技术
在集成电路的形成中,需要图案化集成电路器件的组件以形成期望的形状。典型的图案化工艺包括光刻工艺,其中,该光刻工艺包括将光刻胶涂覆在将要被图案化的目标层上方,使用光刻掩模曝光光刻胶,显影光刻胶,并使用显影的光刻胶作为蚀刻掩模以蚀刻目标层。结果,将显影的光刻胶的布局转印至下面的层。然后去除光刻胶。
在一些情况下,在图案化光刻胶之后,可以发现图案化的光刻胶具有缺陷。因此,去除这种图案化的光刻胶,并且再次应用和图案化新的光刻胶。
发明内容
根据本发明的一些实施例,提供了一种形成半导体器件的方法,包括:形成三层,所述三层包括:底层;第一中间层,位于所述底层上方;以及第一顶层,位于所述第一中间层上方,其中,所述第一顶层包括光刻胶;去除所述第一顶层;以及使用化学溶液去除所述第一中间层,其中,所述化学溶液不含氢氧化钾(KOH),并且包括季铵碱和氟代季铵盐中的至少一种。
根据本发明的另一些实施例,还提供了一种形成半导体器件的方法,包括:在所述底层上方形成第一中间层,其中,所述第一中间层包括硅氧烷;以及蚀刻所述第一中间层,其中,在蚀刻所述第一中间层之后,所述底层保留为毯式层,并且使用化学溶液在湿蚀刻工艺中实施蚀刻所述第一中间层,其中,所述化学溶液包括:季铵碱;氟代季铵盐;有机溶剂;以及水。
根据本发明的又一些实施例,还提供了一种化学溶液,包括:季铵碱和氟代季铵盐中的至少一种;有机溶剂;以及水,其中,所述化学溶液不含氢氧化钾(KOH)。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳地理解本发明的各个方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增大或减小。
图1至图11示出根据一些实施例的形成金属线和通孔的中间阶段的截面图。
图12示出根据一些实施例的硅氧烷的图。
图13示出根据一些实施例的解离的四甲基氢氧化铵(TMAH)。
图14示出根据一些实施例的解离的胆碱氢氧化物。
图15示出根据一些实施例的TMAH的示例性硅氧烷水解。
图16示出根据一些实施例的中间层的蚀刻速率与化学溶液的温度的函数。
图17示出根据一些实施例的解离的四甲基氟化铵(TMAF)。
图18示出根据一些实施方例的解离的四氟硼酸四丁基铵。
图19示出根据一些实施例的TMAF与硅氧烷反应的示例性工艺。
图20示出根据一些实施例的示例性中间层的部分的图。
图21示出根据一些实施例的工艺流程。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件以直接接触的方式形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为了便于描述,在此可以使用诸如“在…下方”、“在…下面”、“下部”、“在…之上”、“上部”等空间相对术语以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),并且在此使用的空间相对描述符可以同样地作出相应的解释。
根据各个示例性实施例,提供了对用于光刻工艺的三层进行返工的方法以及用于返工的化学溶液。根据一些实施例示出返工的中间阶段。讨论了一些实施例的一些变化。贯穿本发明的各个视图和示例性实施例,相同的附图标记用于指定相同的元件。
图1至图11示出根据一些实施例的形成金属线和通孔的中间阶段的截面图。在如图21所示的工艺流程200中也示意性地示出了图1至图11中所示的步骤。应当理解,图1至图11所示的工艺是用于实施三层的光刻返工的示例性实施例,并且该实施例可以应用于蚀刻其他部件的光刻工艺,并且其中,该光刻部件包括,但不限于半导体衬底、金属层等。例如,根据本发明的实施例的光刻工艺可以用于形成半导体鳍,其中,在该半导体鳍上形成鳍式场效应晶体管(FinFET)。
图1示出晶圆100的部分,其中,该部分包括衬底10和形成在衬底 10上方的多个层。衬底10可以由诸如硅、硅锗等的半导体材料形成。根据本发明的一些实施例,衬底10是诸如晶体硅衬底、晶体硅碳衬底、晶体硅锗衬底、III族至V族化合物半导体衬底等的晶体半导体衬底。可以在衬底10的顶面处形成有源器件12,有源器件12中包括晶体管。
在衬底10的上方形成介电层14。根据本发明的一些实施例,介电层 14是可以由介电材料形成的金属间电介质(IMD)或层间电介质(ILD)。例如,介电层14的介电常数(k值)可以低于3.8、低于约3.0或低于约 2.5。根据本发明的一些实施例,在介电层14中形成导电部件16(可以是诸如铜线或钨插塞的金属部件)。在介电层14上方形成蚀刻停止层26。蚀刻停止层26可以由诸如碳化硅、氮化硅等的介电材料形成。
在蚀刻停止层26上方进一步形成介电层28。介电层28可以是IMD层,其由具有低于3.8、低于约3.0、或低于约2.5的介电常数(k值)的介电材料形成。在本发明的可选实施例中,介电层28是具有高于3.8的k值的非低k介电层。
根据本发明的可选实施例中,层28是半导体衬底,其中,例如,后续的工艺步骤可以用于形成浅沟槽隔离(STI)区。根据这些实施例,在层 28下方可以没有额外的层。在整个说明书中,层28也称为将被蚀刻的目标层,并且,根据本发明的实施例,将在层28中形成多个图案。
在低k介电层28上方存在一个或多个硬掩模。应当理解,可以根据工艺采用不同数量的硬掩模。根据一些示例性实施例,硬掩模包括层30、32 和34。可以由氧化硅(诸如,原硅酸四乙酯(TEOS)氧化物)、无氮抗反射涂层(NFARC,其是氧化物)、碳化硅、氮氧化硅等形成介电硬掩模 30。形成方法包括等离子体增强化学汽相沉积(PECVD)、高密度等离子体(HDP)沉积等。
在介电硬掩模30上方形成金属硬掩模32。根据本发明的一些实施例,金属硬掩模32由氮化钛、钛、氮化钽、钽等形成。形成方法可以包括物理汽相沉积(PVD)、射频PVD(RFPVD)、原子层沉积(ALD)等。
根据本发明的一些实施例,在金属硬掩模32上方形成介电硬掩模层 34。根据可选实施例,不形成介电硬掩模层34。介电硬掩模层34可以由选自与形成介电硬掩模层30相同的备选材料的材料形成,并且形成介电硬掩模层34的方法可以选自用于形成介电硬掩模层30的相同备选方法组。介电硬掩模30和34可以由相同的材料形成,或可以由不同的材料形成。
在介电硬掩模34上方形成芯轴层36。根据本发明的一些实施例,芯轴层36由非晶硅或相对于下面的介电硬掩模34具有高蚀刻选择性的另一种材料形成。
在芯轴层36上方形成三层,其中,该三层包括底层(有时称为下层) 38、位于底层38上方的中间层40、以及位于中间层40上方的顶层42。相应步骤在图21所示的工艺流程200中示出为步骤202。根据本发明的一些实施例,底层38包括碳、氢和氧,并且由与光刻胶类似的材料形成。此外,底层38全部是交联的,并且因此与用于曝光的典型的光刻胶不同。换言之,不能通过光刻胶曝光和显影去除底层38的一些部分。当顶层42曝光时,底层38用作底部抗反射涂层(BARC)。
中间层40可以由包括硅和氧的材料形成,因此具有与氧化硅类似的一些性质。例如,中间层40可以包括约30重量百分比至约60重量百分比的氧化硅。另一方面,中间层40还包括接合至硅和氧的有机基团,作为聚合物。根据本发明的一些实施例,中间层40包括硅氧烷,其中,该硅氧烷包括Si-O-Si键的链。中间层40也具有类似玻璃的表面,并且可以随着时间的推移而致密化。例如,图12示出中间层40的示例性组分的部分,其中接合第一硅原子、氧原子和第二硅原子以形成硅氧烷的部分。应当理解,如图20所示,尽管两个硅原子示出为实例,但可以通过氧原子接合多个硅原子以形成更大的结构。如图12所示,可以通过诸如乙基、甲基等的官能团封端氧原子。根据本发明的一些实施例,中间层40的厚度在约
Figure GDA0002578971450000051
和约
Figure GDA0002578971450000052
之间的范围内。
再次参考图1,顶层42由包括有机材料的光刻胶形成。如图1所示,顶层42可以应用为毯式层,其包括虚线部分和实心部分。接下来,实施光刻工艺,并使用光刻掩模44对顶层42实施曝光。相应步骤在图21中所示的工艺流程200中示出为步骤204。光刻掩模44包括不透明部分和透明部分,并且因此暴露顶层42的一些部分(诸如虚线部分),而不暴露其他部分(诸如实心部分)。在曝光之后,在显影步骤中去除一些部分(诸如曝光部分),并且在显影步骤之后保留其他部分(诸如未曝光部分)。相应的显影步骤在图21中所示的工艺流程200中示出为步骤204。应当意识到,所示出的透射型光刻掩模44仅仅是示出如何形成图案的实例,并且还可以使用诸如反射型掩模的其他类型的光刻掩模。
在显影之后,检查图案化的顶层42以根据规范检查图案。例如,可以检查宽度(临界尺寸)、直线度和宽度均匀性。通过检查,一些晶圆上的图案化的顶层42可以确定为具有缺陷,并且需要返工。因此,实施图2至图4所示的步骤以对顶层返工。一些其他晶圆上的图案化的顶层42可以确定为符合规范。因此,跳过如图2至4所示的返工步骤,并且对于这些晶圆,从图5所示的步骤开始继续该工艺。
首先去除需要返工的顶层42。在图2中示出所得到的结构。相应步骤在图21中所示的工艺流程200中示出为步骤206。根据本发明的一些实施例,去除顶层42包括使用溶剂的湿蚀刻工艺。例如,可以使用70%丙二醇单甲基醚和30%丙二醇单甲基醚乙酸酯(组合称为光刻胶减薄剂OK73) 的混合物。根据本发明的可选实施例,在灰化工艺中去除顶层42,其中,使用氧气(O2)来去除顶层42。
然后在湿蚀刻工艺中去除中间层40,并且如图2所示,使用箭头41 表示蚀刻和相应的化学溶液。在图3中示出所得到的结构。相应的步骤在图21中所示的工艺流程200中示出为步骤208。在底层38上停止中间层 40的去除,从而使得暴露底层38的顶面。在去除中间层40(有或没有顶层42)时,晶圆100浸没在化学溶液中,直到完全去除中间层40。
用于去除中间层40的化学溶液包括碱、氟化物或二者的混合物。根据本发明的一些实施例,碱可以是季铵碱。根据本发明的一些实施例,氟化物可以是氟代季铵盐。化学溶液还包括有机溶剂和水。季铵碱和氟代季铵盐都具有破坏中间层40中的键并因此蚀刻中间层40的功能。有机溶剂具有稳定从中间层40分离的分子的功能,并且防止分离的分子再次重新接合以重新形成硅氧烷(这意味着重新形成(沉积)中间层40)。
根据本发明的一些实施例,在化学溶液中使用的季铵碱选自四甲基氢氧化铵(TMAH)、四乙基氢氧化铵、四丙基氢氧化铵、四丁基氢氧化铵、甲基三丙基氢氧化铵、甲基三丁基氢氧化铵、苄基三甲基氢氧化铵、苄基三乙基氢氧化铵、氢氧化((2-羟乙基)三甲基铵)氢氧化物以及它们的组合。
季铵碱是一种碱,并且季铵碱中的氢氧化物在解离时提供一种OH-分子。例如,图13示出解离的TMAH,其中,示出已经彼此解离的TMA和 OH-的分子。图14示出解离的胆碱氢氧化物,其中,示出彼此解离的胆碱和OH-的分子。通过硅氧烷水解OH-与硅氧烷反应以形成硅烷醇,并且在此工艺期间,分开并因此蚀刻由硅氧烷形成的中间层40。
图15示出根据本发明的一些实施方例的TMAH的示例性硅氧烷水解。所示出的示例性硅氧烷(其是中间层40的组分)包括Si-O-Si键。尽管一个Si-O-Si键示出为实例,但是可以有多个Si-O-Si键来延伸链。OH-分子攻击Si-O键中的一个,并与Si原子形成键。结果,形成包括与OH基团键合的硅原子的硅烷醇。
用于蚀刻中间层40的化学溶液优选地不包括具有小分子量的碱,例如具有低于约60的分子量。从中间层40排除的碱可以包括KOH、NaOH、 NH4OH等。具有小分子量的碱具有低空间位阻,并且因为底层38是多孔的,因此容易渗入到底层38中。如果碱渗透到底层38中,则在后续的光刻工艺(图4)期间可以被释放,并且可能与光刻胶中的光刻胶酸反应,并且因此可能不利地影响后续的光刻工艺。另一方面,季铵碱具有高空间位阻,并且不渗透到底层38中。
根据本发明的一些实施例,氟代季铵盐选自氟化铵、二氟化铵、氟化四甲基铵(TMAF)、氟化四丁基铵、四氟硼酸四乙基铵、四氟硼酸四丁基铵、四氟硼酸四乙基铵以及它们的组合。
氟代季铵盐在解离时提供F-离子、BF4 -分子等。例如,图17示出解离的TMAF,并且示出从TMAF解离的TMA分子和F-离子。图18示出解离的四氟硼酸四丁基铵,以及已经彼此解离的四丁基铵和四氟硼酸盐(BF4 -) 分子。F-离子或BF4 -分子与硅氧烷反应,并且在此工艺期间,包括硅氧烷的中间层40分裂并因此蚀刻。
图19示出根据本发明的一些实施例的其中F-离子(例如,来自解离的TMAF)与中间层40中的硅氧烷反应的示例性工艺。所示出的示例性硅氧烷受到F-离子的攻击。进一步地,H3O+(在水中)加入反应,F-离子与硅原子键合。因此,蚀刻硅氧烷。应当理解,可以进一步通过水中的OH-基团取代与硅键合的氟原子,并且F-离子再次分离为离散的(未键合的)离子。因此,分离的F-离子可以加入硅氧烷的进一步蚀刻。这意味着F-离子可能会反复加入反应以蚀刻多个硅氧烷。因此,小量的F-离子对于反应是足够的,并且在中间层40的蚀刻中F-离子的效率很高。
根据本发明的一些实施例,用于蚀刻中间层40的化学溶液优选地不包括诸如HF的具有小分子量的氟化物。例如,蚀刻溶液不使用分子量低于约60的氟化物。类似地,具有小的分子量的氟化物可能具有小的空间位阻,并因此由于底层38是多孔的而易于渗透到底层38中。渗透到底层38中的氟化物可能在后续的光刻工艺(图4)期间被释放,以损害后续形成的中间层,并且不利地影响后续的光刻工艺。另一方面,氟代季铵盐具有高空间位阻,并且因此不渗透到底层38中。
根据本发明的一些实施例,在用于蚀刻中间层40的化学溶液中使用季铵碱和氟代季铵盐中的任一种。根据本发明的一些实施例,在用于蚀刻中间层40的化学溶液中采用季铵碱和氟代季铵盐。由于中间层40的显著的 (重量)百分比是氧化硅,所以可以使用氟代季铵盐作为有效的蚀刻剂。另一方面,氟代季铵盐在蚀刻诸如苄基的大的有机基团方面不是非常有效,而季铵碱在蚀刻大的有机基团方面是有效的。因此,季铵碱和氟代季铵盐可以补偿彼此的蚀刻能力,从而使得中间层40的蚀刻更加有效。图20示出示例性中间层40的部分的图。矩形区域40A中的左边部分是具有大的有机基团的发色团(Chromorphore),并且使用TMAH蚀刻该部分是有效的。矩形区域40B中的右侧部分是甲基硅氧烷聚合物,并且使用TMAF蚀刻该部分是有效的。
表1示出使用包括TMAH、TMAF和乙二醇(EG,作为有机溶剂)的化学溶液蚀刻12个样品的结果。用于蚀刻12个样品的化学溶液具有 TMAH、TMAF和乙二醇的重量百分比的不同组合。
表1
Figure GDA0002578971450000081
Figure GDA0002578971450000091
在表1中,示出样品的蚀刻速率(
Figure GDA0002578971450000092
/分钟)。结果表明,TMAH的重量百分比与TMAF的重量百分比(在下文中示出为TMAH:TMAF)的比率显著地影响蚀刻速率。例如,发现当TMAH:TMAF的比例约为1:3时,可以达到最高的蚀刻速率
Figure GDA0002578971450000093
/分钟,这导致生产中的最高产量。因此,根据本发明的一些示例性实施例,蚀刻溶液中的TMAH:TMAF的比率接近1:3,例如在约1:1和约1:5之间的范围内。另一方面,化学溶液中有机溶剂的百分比并不显著地影响蚀刻速率。然而,有机溶剂的类型和重量百分比可能在改善中间层40和底层38之间的蚀刻选择性方面起作用。
再次参考图15,作为硅氧烷水解的副产物,除形成的硅烷醇之外,还存在具有带有悬空键的氧原子的分子。该分子不稳定,并且易与其他类似的分子反应再次形成硅氧烷,从而重新沉积在底层38(图3)上以重新形成中间层40。为了解决这个问题,在用于蚀刻中间层40的化学溶液中采用具有使分子稳定以防止分子彼此重新结合和重新沉积的功能的有机溶剂。根据本发明的一些实施例,化学溶液中的所选有机溶剂具有高于约 100℃的沸点温度,因为在中间层40的蚀刻中,温度可升高至例如在约35℃和约60℃之间的范围内的温度。根据本发明的一些实施例,由于化学溶液主要包括水,所选的有机溶剂也是水溶性的。
根据本发明的一些实施例,候选有机溶剂包括四氢糠醇(THFA)、丁基二甘醇(BDG)、乙二醇(EG)、丙醇、甘油、环丁砜、二甲基亚砜(DMSO)、三乙醇胺(TEA)或它们的组合。而且,有机溶剂可以排除诸如醚和伯胺的一些具有小分子量的有机溶剂。因此,可以排除包括四氢呋喃(THF)、丙二醇单甲醚(PGME)和/或单乙醇胺(MEA)的有机溶剂。实验结果还显示,这些有机溶剂导致中间层40被剥离而不是被蚀刻,因此可能导致损坏下面的底层38(图3)。
实验结果表明,蚀刻速率与化学溶液的温度有关,并且当温度升高时,蚀刻速率增加。例如,图16示出中间层的蚀刻速率为化学溶液的温度的函数。化学溶液包括0.5重量百分比的TMAH、1.5重量百分比的TMAF和2 重量百分比的EG。实验结果表明,当温度接近约40℃时,蚀刻速率可达到约
Figure GDA0002578971450000101
/分钟或更高的期望值。
再次参考图2和图3,当使用化学溶液蚀刻中间层40时,不蚀刻底层 38,并且优选地对底层38具有尽可能小的损坏,从而使得底层38可以在后续的光刻工艺中重新使用,如图4所示。根据本发明的一些实施例的蚀刻选择性是高(例如高于约100)的,并且蚀刻选择性是中间层40的蚀刻速率与底层38的蚀刻速率的比率。可以通过选择适当类型的溶剂并保持低的溶剂百分比来实现高蚀刻选择性。根据本发明的一些实施例,溶剂具有小于约20%的重量百分比,并且可以在约10%与约15%之间的范围内。化学溶液中的剩余组分主要是水,其重量百分比可能高于约70%。水的重量百分比可以接近约80%,或者在约75%和约85%之间的范围内。
图4和图5示出光刻胶的返工。根据发明的一些实施例,如图4所示,形成中间层140和顶层142。相应步骤在图21所示的工艺流程200中示出为步骤210。中间层140和顶层142的材料实质上可以分别与如图1所示的中间层40和顶层42的材料相同或类似。接下来,对顶层142实施曝光,接着进行显影步骤以去除顶层142的虚线部分。相应步骤在图21所示的工艺流程200中示出为步骤212。光刻掩模144用于顶层142的曝光。根据本发明的一些实施例,光刻掩模144具有与图1所示的光刻掩模44等同的图案,或者可以是相同的图案。然后显影曝光的顶层142,并去除虚线部分。相应步骤在图21所示的工艺流程200中示出为步骤212。然后实施检查以检查图案化的顶层142的质量。如果顶层142的质量(诸如线宽度、直线度、均匀度等)符合规范,则将使用顶层142来蚀刻下面的层。如果顶层142的质量不符合规范,则通过重复图2至图4所示的步骤来实施另一次返工。重复返工直到最终的顶层符合规范。
接下来,使用顶层142作为蚀刻掩模来蚀刻下面的层。图5示出其中已经被图案化的中间层140的中间阶段的截面图。接下来,使用图案化的层140和142作为蚀刻掩模来图案化底层38,并且使用剩余的图案化的包括层38、140和142的三层作为蚀刻掩模来蚀刻芯轴层36。芯轴层36的剩余部分在下文中称为芯轴136(图6)。相应步骤在图21所示的工艺流程200中示出为步骤214。然后去除三层的剩余部分,并且所得到的结构如图6所示。
参考图7,根据本发明的一些实施例形成间隔件层46。相应步骤在图 21所示的工艺流程200中示出为步骤216。间隔件层46是共形层,其水平部分的厚度T1和其垂直部分的厚度T2彼此接近,例如,厚度T1和T2之间的差值小于厚度T1的约20%。然后实施各向异性蚀刻以去除间隔件层 46的水平部分,而保留间隔件层46的垂直部分,并且在下文中称为间隔件146。在图8中示出所得到的结构。相应步骤在图21所示的工艺流程200 中示出为步骤218。因此所得到的间隔件146的间距等于芯轴136的间距的一半,并且因此相应的工艺称为双重图案化工艺。然后去除芯轴136,并且所得到的结构如图9所示。因此在芯轴间隔件146之间形成开口50。
根据本发明的一些实施例,间隔件146用作蚀刻掩模以蚀刻下面的介电硬掩模34和金属硬掩模32。相应步骤在图21所示的工艺流程200中示出为步骤220。
接下来,使用介电硬掩模34和金属硬掩模32作为蚀刻掩模来蚀刻硬掩模30。在这个工艺中可能会消耗隔离件146。在图10中示出所得到的结构。在图10中,图案化的硬掩模32还用作蚀刻掩模来蚀刻下面的低k介电层28和蚀刻停止层26,从而形成沟槽52。也可以实施额外的工艺步骤以限定并蚀刻低k介电层28,从而形成位于沟槽52下方的通孔开口54。尽管在示出的平面中沟槽52和通孔开口54具有相同的宽度,但在垂直于示出的平面的垂直平面中,通孔开口54的宽度小于沟槽52的宽度。
图11示出分别填充沟槽52和通孔开口54(图10)以形成金属线56 和通孔58。相应步骤在图21所示的工艺流程200中示出为步骤222。根据本发明的一些实施例,形成工艺包括双镶嵌工艺,其中在沟槽52和通孔开口54的侧壁和底部上形成诸如氮化钛、钛、氮化钽、钽等的导电阻挡层。然后,使用诸如铜或铜合金的填充金属来填充沟槽52和通孔开口54的剩余部分。之后,实施化学机械抛光(CMP)以去除阻挡层和填充金属的剩余部分,从而形成图11中所示的金属线56和通孔58。金属线56和通孔 58电连接至下面的导电部件16。在后续的步骤中,在介电层28和金属线 56上方形成蚀刻停止层(未示出),接着形成另一低k介电层,并且可以重复图1至图11中所示的步骤以形成多个金属线和通孔。
根据本发明的一些实施例,如上所述,目标层28是介电层,并且本发明的工艺步骤用于在介电层中形成金属线。根据本发明的可选实施例,目标层28由诸如半导体衬底的半导体材料形成。因此,图1至图11所示的工艺步骤可以用于在目标层28中形成沟槽,并且可以用介电材料填充沟槽以形成浅沟槽隔离(STI)区。根据本发明的可选实施例,根据本发明的实施例的工艺步骤可以用于蚀刻诸如介电部件、半导体部件或金属部件的各个部件以形成介电线、半导体线或金属线。
本发明的实施例具有一些有益特征。通过在化学溶液中包括季铵碱和/ 或氟代季铵盐以蚀刻三层中的中间层,由于季铵碱和氟代季铵盐的空间位阻,季铵碱和氟代季铵盐不渗透到下面的底层中。此外,在化学溶液中不使用具有小的分子量的化学物质,并且因此在三层的返工中,没有化学品不利地渗透到底层中。因此避免了这些小的分子量的化学品对后续返工的不利影响。另外,季铵碱和氟代季铵盐(特别是季铵碱和氟代季铵盐的组合)对蚀刻中间层具有高的蚀刻速率。而且,蚀刻选择性(中间层的蚀刻速率与底层的蚀刻速率的比率)是高的,因此最小化对底层的损坏,并且可以重新使用底层。这显著降低了制造成本。
根据本发明的一些实施例,一种方法包括形成三层,其中,该三层包括:底层;位于底层上方的第一中间层;以及位于第一中间层上方的第一顶层,其中,第一顶层包括光刻胶;去除第一顶层;以及使用化学溶液去除第一中间层,其中,化学溶液不含KOH,并且包括季铵碱和氟代季铵盐中的至少一种。在实施例中,在去除第一中间层之后保留底层,并且该方法还包括:在底层上方形成第二中间层并接触底层;以及在第二中间层上方形成第二顶层,其中,第二顶层包括额外的光刻胶。在实施例中,该方法包括使用具有相同图案的光刻掩模对第一顶层和第二顶层实施曝光。在实施例中,化学溶液还包括有机溶剂和水。在实施例中,在去除第一中间层时,第一中间层的蚀刻速率对底层的蚀刻速率的蚀刻选择性大于约100。在实施例中,在去除第一顶层之前,对第一顶层实施曝光和显影。在实施例中,化学溶液包括季铵碱和氟代季铵盐。在实施例中,季铵碱包括TMAH,并且氟代季铵盐包括TMAF,并且TMAH的重量百分比与TMAF的重量百分比的比率接近约1:3。在实施例中,化学溶液还不含NaOH、NH4OH和 HF。
根据本发明的一些实施例,一种方法包括:在底层上方形成第一中间层,其中,第一中间层包括硅氧烷;以及蚀刻第一中间层,其中,在蚀刻第一中间层之后,底层保持为毯式层,并且使用化学溶液在湿蚀刻工艺中实施蚀刻第一中间层,其中,化学溶液包括季铵碱、氟代季铵盐;有机溶剂;和水。在实施例中,该方法还包括:在蚀刻第一中间层之后,在底层上方形成包括硅氧烷的第二中间层;并图案化第二中间层。在实施例中,在蚀刻第一中间层之后,保留大致整个底层。在实施例中,有机溶剂配置为稳定硅烷醇。在实施例中,有机溶剂包括乙二醇。
根据本发明的一些实施例,化学溶液包括季铵碱和氟代季铵盐中的至少一种;有机溶剂;和水,其中,化学溶液不含KOH。在实施例中,化学溶液包括季铵碱和氟代季铵盐两者。在实施例中,季铵碱包括TMAH,并且氟代季铵盐包括TMAF。在实施例中,TMAH的重量百分比与TMAF的重量百分比的比率接近约1:3。在实施例中,化学溶液不含NaOH、NH4OH 和HF。在实施例中,有机溶剂具有在约10%至约15%的范围内的重量百分比。
根据本发明的一些实施例,一种方法包括形成底层;在底层上方形成第一中间层;在第一中间层上方形成第一顶层;对第一顶层实施曝光和显影;去除第一顶层;在化学溶液中去除整个第一中间层,其中,在去除第一中间层之后保留底层;在底层上方形成第二中间层;形成第二顶层;对第二顶层实施额外的曝光和额外的显影;以及使用第二顶层作为蚀刻掩模蚀刻第二中间层和底层。在实施例中,化学溶液包括季铵碱和氟代季铵盐两者。在实施例中,该方法包括将第二中间层和底层中的图案转移至下面的低k介电层中。在实施例中,该方法包括在下面的低k介电层中形成金属线,金属线的图案与形成在第二中间层中的图案相同。
根据本发明的一些实施例,化学溶液包括碱;氟化物;有机溶剂,其中,有机溶剂可溶于水;并且化学溶液中水的重量百分比大于约70%。在实施例中,化学溶液不含KOH、NaOH、NH4OH和HF。在实施例中,碱包括季铵碱,并且氟化物包括氟代季铵盐。在实施例中,季铵碱包括TMAH,并且氟代季铵盐包括TMAF。
根据本发明的一些实施例,化学溶液包括TMAH;TMAF,其中,TMAH 的重量百分比与TMAF的重量百分比的比率接近约1:3;有机溶剂,配置为稳定硅烷醇;和水。在实施例中,有机溶剂具有在约10%至约15%之间的范围内的重量百分比。
根据本发明的一些实施例,提供了一种形成半导体器件的方法,包括:形成三层,所述三层包括:底层;第一中间层,位于所述底层上方;以及第一顶层,位于所述第一中间层上方,其中,所述第一顶层包括光刻胶;去除所述第一顶层;以及使用化学溶液去除所述第一中间层,其中,所述化学溶液不含氢氧化钾(KOH),并且包括季铵碱和氟代季铵盐中的至少一种。
在上述方法中,在去除所述第一中间层之后保留所述底层,并且所述方法还包括:在所述底层上方形成与所述底层接触的第二中间层;以及在所述第二中间层上方形成第二顶层,其中,所述第二顶层包括额外的光刻胶。
在上述方法中,还包括使用具有相同图案的光刻掩模对所述第一顶层和所述第二顶层实施曝光。
在上述方法中,所述化学溶液还包括有机溶剂和水。
在上述方法中,在去除所述第一中间层时,所述第一中间层相对所述底层的蚀刻选择性大于100,其中,所述蚀刻选择性为所述第一中间层的蚀刻速率与所述底层的蚀刻速率的比。
在上述方法中,还包括:在去除所述第一顶层之前,对所述第一顶层实施曝光和显影。
在上述方法中,所述化学溶液包括季铵碱和氟代季铵盐。
在上述方法中,所述季铵碱包括四甲基氢氧化铵(TMAH),所述氟代季铵盐包括四甲基氟化铵(TMAF),并且所述四甲基氢氧化铵的重量百分比与所述四甲基氟化铵的重量百分比的比率为1:3。
在上述方法中,所述化学溶液还不含NaOH、NH4OH和HF。
根据本发明的另一些实施例,还提供了一种形成半导体器件的方法,包括:在所述底层上方形成第一中间层,其中,所述第一中间层包括硅氧烷;以及蚀刻所述第一中间层,其中,在蚀刻所述第一中间层之后,所述底层保留为毯式层,并且使用化学溶液在湿蚀刻工艺中实施蚀刻所述第一中间层,其中,所述化学溶液包括:季铵碱;氟代季铵盐;有机溶剂;以及水。
在上述方法中,还包括:在蚀刻所述第一中间层之后,在所述底层上方形成包括硅氧烷的第二中间层;以及图案化所述第二中间层。
在上述方法中,在蚀刻所述第一中间层之后,保留整个所述底层。
在上述方法中,所述有机溶剂配置为使硅烷醇稳定。
在上述方法中,所述有机溶剂包括乙二醇。
根据本发明的又一些实施例,还提供了一种化学溶液,包括:季铵碱和氟代季铵盐中的至少一种;有机溶剂;以及水,其中,所述化学溶液不含氢氧化钾(KOH)。
在上述化学溶液中,包括季铵碱和氟代季铵盐两者。
在上述化学溶液中,所述季铵碱包括四甲基氢氧化铵(TMAH),以及所述氟代季铵盐包括四甲基氟化铵(TMAF)。
在上述化学溶液中,所述四甲基氢氧化铵的重量百分比与所述四甲基氟化铵的重量百分比的比率为1:3。
在上述化学溶液中,所述化学溶液不含NaOH、NH4OH和HF。
在上述化学溶液中,所述有机溶剂具有在10%至15%的范围内的重量百分比。
上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的各方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与在此所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,在此他们可以做出多种变化、替换以及改变。

Claims (20)

1.一种形成半导体器件的方法,包括:
形成三层,所述三层包括:
底层,其中,所述底层由交联的材料形成;
第一中间层,位于所述底层上方;以及
第一顶层,位于所述第一中间层上方,其中,所述第一顶层包括光刻胶;
使用第一光刻掩模曝光所述第一顶层;
在所述曝光之后,去除所述第一顶层;
使用化学溶液去除所述第一中间层,其中,所述化学溶液不含氢氧化钾(KOH),并且包括季铵碱和氟代季铵盐中的至少一种;
在去除所述第一顶层和所述第一中间层之后,直接在剩余的交联的所述底层上方形成第二中间层;
在所述第二中间层上方形成第二顶层,其中,所述第二顶层包括额外的光刻胶;以及
使用与所述第一光刻掩模具有相同图案的第二光刻掩模在所述第二顶层上执行曝光工艺。
2.根据权利要求1所述的方法,其中,在形成所述第二中间层之前去除所述第一顶层和所述第一中间层的整体。
3.根据权利要求1所述的方法,其中,所述化学溶液还包括有机溶剂和水。
4.根据权利要求3所述的方法,其中,所述有机溶剂包括乙二醇。
5.根据权利要求1所述的方法,其中,在去除所述第一中间层时,所述第一中间层相对所述底层的蚀刻选择性大于100,其中,所述蚀刻选择性为所述第一中间层的蚀刻速率与所述底层的蚀刻速率的比。
6.根据权利要求1所述的方法,还包括:在去除所述第一顶层之前和曝光所述第一顶层之后,对所述第一顶层实施显影。
7.根据权利要求1所述的方法,其中,所述化学溶液包括季铵碱和氟代季铵盐。
8.根据权利要求7所述的方法,其中,所述季铵碱包括四甲基氢氧化铵(TMAH),所述氟代季铵盐包括四甲基氟化铵(TMAF),并且所述四甲基氢氧化铵的重量百分比与所述四甲基氟化铵的重量百分比的比率为1:3。
9.根据权利要求1所述的方法,其中,所述化学溶液还不含NaOH、NH4OH和HF。
10.一种形成半导体器件的方法,包括:
在底层上方形成第一中间层,其中,所述第一中间层包括硅氧烷,所述底层由交联的材料形成;
在所述第一中间层上方形成第一顶层,其中,所述第一顶层包括第一光刻胶;
在所述第一顶层上实施第一曝光工艺和第一显影工艺,其中,所述第一曝光工艺使用第一光刻掩模;
在所述第一曝光工艺和所述第一显影工艺之后,去除所述第一顶层和所述第一中间层的整体,其中,在去除所述第一中间层之后,所述底层保留为毯式层,并且使用化学溶液去除所述第一中间层,其中,所述化学溶液包括:
季铵碱;
氟代季铵盐;
有机溶剂;以及
水;
在去除所述第一顶层和所述第一中间层之后,在所述底层上方形成第二中间层;
在所述第二中间层上方形成第二顶层;以及
使用与所述第一光刻掩模具有相同图案的第二光刻掩模在所述第二顶层上执行第二曝光工艺和第二显影工艺。
11.根据权利要求10所述的方法,还包括:
在蚀刻所述第一中间层之后,在所述底层上方形成包括硅氧烷的第二中间层;以及
使用所述第二顶层作为蚀刻掩模来图案化所述第二中间层。
12.根据权利要求10所述的方法,在去除所述第一中间层之后,保留整个所述底层。
13.根据权利要求10所述的方法,其中,所述有机溶剂配置为使硅烷醇稳定。
14.根据权利要求10所述的方法,其中,所述有机溶剂包括乙二醇。
15.一种使用化学溶液形成半导体器件的方法,包括:
在底层上方形成第一中间层,其中,所述底层由交联的材料形成;
在所述第一中间层上方形成第一顶层,其中,所述第一顶层包括第一光刻胶;
在所述第一顶层上实施第一曝光工艺和第一显影工艺,其中,所述第一曝光工艺使用第一光刻掩模;
在所述第一曝光工艺和所述第一显影工艺之后,去除所述第一顶层和所述第一中间层,其中,使用化学溶液去除所述第一中间层,所述化学溶液包括:
季铵碱和氟代季铵盐中的至少一种;
有机溶剂;以及
水,其中,所述化学溶液不含氢氧化钾(KOH);
在去除所述第一顶层和所述第一中间层之后,在所述底层上方形成第二中间层;
在所述第二中间层上方形成第二顶层;以及
使用与所述第一光刻掩模具有相同图案的第二光刻掩模在所述第二顶层上执行第二曝光工艺和第二显影工艺。
16.根据权利要求15的方法,包括季铵碱和氟代季铵盐两者。
17.根据权利要求16的方法,其中,所述季铵碱包括四甲基氢氧化铵(TMAH),以及所述氟代季铵盐包括四甲基氟化铵(TMAF)。
18.根据权利要求17的方法,所述四甲基氢氧化铵的重量百分比与所述四甲基氟化铵的重量百分比的比率为1:3。
19.根据权利要求15的方法,所述化学溶液不含NaOH、NH4OH和HF。
20.根据权利要求15的方法,其中,所述有机溶剂具有在10%至15%的范围内的重量百分比。
CN201711349012.5A 2017-08-30 2017-12-15 一种化学溶液和形成半导体器件的方法 Active CN109427554B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762551985P 2017-08-30 2017-08-30
US62/551,985 2017-08-30
US15/723,875 US10761423B2 (en) 2017-08-30 2017-10-03 Chemical composition for tri-layer removal
US15/723,875 2017-10-03

Publications (2)

Publication Number Publication Date
CN109427554A CN109427554A (zh) 2019-03-05
CN109427554B true CN109427554B (zh) 2021-03-23

Family

ID=65434333

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711349012.5A Active CN109427554B (zh) 2017-08-30 2017-12-15 一种化学溶液和形成半导体器件的方法

Country Status (4)

Country Link
US (2) US10761423B2 (zh)
KR (2) KR20190024533A (zh)
CN (1) CN109427554B (zh)
TW (1) TWI660071B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220102212A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Self-Aligned Via Formation Using Spacers
CN112259505B (zh) * 2020-10-19 2023-08-15 上海华力集成电路制造有限公司 半导体器件鳍体的形成方法
CN114388448A (zh) * 2020-10-19 2022-04-22 上海华力集成电路制造有限公司 半导体器件鳍体的形成方法
TWI803348B (zh) * 2022-02-24 2023-05-21 南亞科技股份有限公司 具有遮罩線以抑制訊號串擾之半導體元件的製備方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303733B1 (en) * 1997-12-12 2001-10-16 Alliedsignal Inc. Poly(arylene ether) homopolymer compositions and methods of manufacture thereof
CN107004575A (zh) * 2014-06-04 2017-08-01 恩特格里斯公司 具有金属、电介质及氮化物兼容性的抗反射涂层清洗及蚀刻后残留物去除组成物

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1116882A (ja) 1997-06-19 1999-01-22 Toray Fine Chem Co Ltd フォトレジスト剥離用組成物
TW524883B (en) 2000-05-22 2003-03-21 Jsr Corp Composition for film formation, process for producing composition for film formation, method of film formation, and silica-based film
KR100398141B1 (ko) 2000-10-12 2003-09-13 아남반도체 주식회사 화학적 기계적 연마 슬러리 조성물 및 이를 이용한반도체소자의 제조방법
US6391794B1 (en) 2000-12-07 2002-05-21 Micron Technology, Inc. Composition and method for cleaning residual debris from semiconductor surfaces
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US7442675B2 (en) 2003-06-18 2008-10-28 Tokyo Ohka Kogyo Co., Ltd. Cleaning composition and method of cleaning semiconductor substrate
BRPI0413657A (pt) 2003-08-19 2006-10-24 Mallinckrodt Baker Inc Composição aquosa, isenta de silicato, para limpeza de substratos microeletrÈnicos, bem como processo para limpeza de um substrato microeletrÈnico sem produzir qualquer corrosão metálica substancial
EP1690135A4 (en) * 2003-12-02 2007-05-09 Advanced Tech Materials METHOD AND CHEMICAL FOR REMOVING PHOTOSENSITIVE RESIN, ANTI-REFLECTIVE COATING OR FILLING MATERIAL
JP4968477B2 (ja) 2005-08-19 2012-07-04 日産化学工業株式会社 ハードマスクの除去用組成物及び除去方法
US7674755B2 (en) * 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC
KR100793241B1 (ko) 2006-06-19 2008-01-10 삼성전자주식회사 실리콘 고분자 및 포토레지스트 제거용 조성물, 이를이용한 막 제거 방법 및 패턴 형성 방법
TW200813211A (en) 2006-06-30 2008-03-16 Fujifilm Electronic Materials Cleaning formulation for removing residues on surfaces
US7947637B2 (en) * 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
JP4499751B2 (ja) 2006-11-21 2010-07-07 エア プロダクツ アンド ケミカルズ インコーポレイテッド フォトレジスト、エッチ残留物及びbarcを除去するための配合物及び同配合物を含む方法
US8026201B2 (en) 2007-01-03 2011-09-27 Az Electronic Materials Usa Corp. Stripper for coating layer
EP2206140A2 (en) 2007-10-31 2010-07-14 EKC Technology, INC. Compounds for photoresist stripping
JP5513196B2 (ja) * 2010-03-25 2014-06-04 富士フイルム株式会社 洗浄組成物及び半導体装置の製造方法
US8772183B2 (en) 2011-10-20 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an integrated circuit
WO2013069449A1 (ja) 2011-11-08 2013-05-16 日産化学工業株式会社 シラノール基含有ポリシロキサン溶液の安定化方法、安定化シラノール基含有ポリシロキサン溶液の製造方法及び安定化シラノール基含有ポリシロキサン溶液
US8916475B1 (en) * 2013-11-01 2014-12-23 United Microelectronics Corp. Patterning method
CN104157654B (zh) * 2014-08-15 2017-06-06 中国科学院微电子研究所 三维存储器及其制造方法
US9873833B2 (en) 2014-12-29 2018-01-23 Versum Materials Us, Llc Etchant solutions and method of use thereof
US9442377B1 (en) 2015-06-15 2016-09-13 Rohm And Haas Electronic Materials Llc Wet-strippable silicon-containing antireflectant
KR102415886B1 (ko) * 2015-09-01 2022-06-30 동우 화인켐 주식회사 신너 조성물
DE102015222956A1 (de) 2015-11-20 2017-05-24 Robert Bosch Gmbh Verfahren zum Betreiben eines Serversystems und zum Betreiben eines Aufnahmegeräts zum Aufnehmen eines Sprachbefehls, Serversystem, Aufnahmegerät und Sprachdialogsystem
US10020262B2 (en) 2016-06-30 2018-07-10 Intel Corporation High resolution solder resist material for silicon bridge application
US10007184B2 (en) * 2016-09-01 2018-06-26 Rohm And Haas Electronic Materials Llc Silicon-containing underlayers
US10043703B2 (en) * 2016-12-15 2018-08-07 Globalfoundries Inc. Apparatus and method for forming interconnection lines having variable pitch and variable widths
US10049876B1 (en) * 2017-02-13 2018-08-14 International Business Machines Corporation Removal of trilayer resist without damage to underlying structure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303733B1 (en) * 1997-12-12 2001-10-16 Alliedsignal Inc. Poly(arylene ether) homopolymer compositions and methods of manufacture thereof
CN107004575A (zh) * 2014-06-04 2017-08-01 恩特格里斯公司 具有金属、电介质及氮化物兼容性的抗反射涂层清洗及蚀刻后残留物去除组成物

Also Published As

Publication number Publication date
US20190064658A1 (en) 2019-02-28
KR20200063117A (ko) 2020-06-04
US11378882B2 (en) 2022-07-05
US20200401041A1 (en) 2020-12-24
US10761423B2 (en) 2020-09-01
KR102159209B1 (ko) 2020-09-24
TW201912841A (zh) 2019-04-01
TWI660071B (zh) 2019-05-21
CN109427554A (zh) 2019-03-05
KR20190024533A (ko) 2019-03-08

Similar Documents

Publication Publication Date Title
US9418862B2 (en) Method for integrated circuit patterning
US11378882B2 (en) Chemical composition for tri-layer removal
US9508560B1 (en) SiARC removal with plasma etch and fluorinated wet chemical solution combination
JP4619839B2 (ja) パターン形成方法
KR101004691B1 (ko) 반도체 소자의 미세패턴 형성방법
KR20180121327A (ko) 반도체 장치 및 구조에 이르기 위한 패터닝 방법
TW201727745A (zh) 積體電路結構及其製造方法
US8962484B2 (en) Method of forming pattern for semiconductor device
US20140038399A1 (en) Method for fabricating an aperture
US8089153B2 (en) Method for eliminating loading effect using a via plug
US20220319850A1 (en) Method and structure of middle layer removal
US20220334473A1 (en) Chemical Composition for Tri-Layer Removal
KR20110077484A (ko) 반도체 디바이스의 미세 패턴 형성 방법
CN112928057A (zh) 半导体结构及其形成方法
US20190035637A1 (en) Semiconductor Device and Method
TWI833601B (zh) 基底的處理方法
TWI553739B (zh) 一種形成開口的方法
CN117334561A (zh) 基底的处理方法
KR100772699B1 (ko) 반도체 소자 제조 방법
KR20090044855A (ko) 반도체 소자의 제조방법
KR20090111049A (ko) 반도체 소자 및 그의 제조방법
KR20040078238A (ko) 반도체소자의 제조방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant