US20140038399A1 - Method for fabricating an aperture - Google Patents

Method for fabricating an aperture Download PDF

Info

Publication number
US20140038399A1
US20140038399A1 US14/054,839 US201314054839A US2014038399A1 US 20140038399 A1 US20140038399 A1 US 20140038399A1 US 201314054839 A US201314054839 A US 201314054839A US 2014038399 A1 US2014038399 A1 US 2014038399A1
Authority
US
United States
Prior art keywords
hard mask
aperture
forming
darc
barc
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/054,839
Inventor
Feng-Yi Chang
Yi-Po Lin
Jiunn-Hsiung Liao
Shang-Yuan Tsai
Chih-Wen Feng
Shui-Yen Lu
Ching-Pin Hsu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US14/054,839 priority Critical patent/US20140038399A1/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, FENG-YI, FENG, CHIH-WEN, HSU, CHING-PIN, LIAO, JIUNN-HSIUNG, LIN, YI-PO, LU, SHUI-YEN, TSAI, SHANG-YUAN
Publication of US20140038399A1 publication Critical patent/US20140038399A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Definitions

  • the invention relates to a method for fabricating an aperture, and more particularly, to a method for fabricating an aperture in a hard mask while preventing the occurrence of a bowing profile on a sidewall of the hard mask.
  • the conventional approach of fabricating contact holes typically involves providing a semiconductor substrate with a plurality of semiconductor devices thereon, in which the semiconductor devices includes MOS transistors or resistors. At least a dielectric layer and a hard mask are then formed on the semiconductor substrate to cover the semiconductor devices, and a patterned resist is used to perform a series of pattern transfer processes to form a contact hole in the hard mask and the dielectric layer.
  • the conventional method typically uses an oxygen containing gas for performing the aforementioned pattern transfer process, which causes severe indentation with respect to the central region of the sidewall and ultimately produces a bowing profile.
  • metal deposited in the contact hole thereafter is likely to seal the entrance of the hole before filling the expanding bowing portion of the contact hole. As a result, a seam is formed relative to the central region of the deposited metal, which degrades the electrical connection of the device and affects the overall performance.
  • a method for fabricating an aperture includes the steps of: forming a hard mask containing carbon on a surface of a semiconductor substrate; and using a non-oxygen element containing gas to perform a first etching process for forming a first aperture in the hard mask.
  • Another aspect of the present invention provides a method for fabricating an aperture.
  • the method includes the steps of: forming a hard mask and a dielectric anti-reflective coating (DARC) on a semiconductor substrate; forming a first bottom anti-reflective coating (BARC) on the DARC; forming a first aperture in the first BARC and portion of the DARC; forming a second BARC on the DARC and filling the first aperture; forming a second aperture in the second BARC and portion of the DARC; and using a non-oxygen element containing gas to perform an etching process to transfer the first aperture and the second aperture to the hard mask for forming a plurality of third apertures.
  • DARC dielectric anti-reflective coating
  • BARC bottom anti-reflective coating
  • Another aspect of the present invention provides a method for fabricating an aperture, which includes the steps of: forming a hard mask and a dielectric anti-reflective coating (DARC) on a semiconductor substrate; forming a first bottom anti-reflective coating (BARC) on the DARC; etching the first BARC, the DARC, and the hard mask for forming a first aperture in the hard mask; forming a second BARC on the DARC to fill the first aperture; and etching the second BARC, the DARC, and the hard mask to forma second aperture in the hard mask, wherein the step of etching the hard mask comprises using a non-oxygen element containing gas.
  • DARC dielectric anti-reflective coating
  • BARC bottom anti-reflective coating
  • FIGS. 1-3 illustrate a method for fabricating an aperture according to a preferred embodiment of the present invention.
  • FIGS. 4-5 illustrate a method for fabricating an aperture according to another embodiment of the present invention.
  • FIGS. 6-11 illustrate a method for fabricating an aperture according to another embodiment of the present invention.
  • FIGS. 1-3 illustrate a method for fabricating an aperture according to a preferred embodiment of the present invention.
  • a semiconductor substrate 60 such as a substrate composed of monocrystalline silicon, gallium arsenide (GaAs) or other known semiconductor material is provided.
  • a standard metal-oxide semiconductor (MOS) transistor fabrication is performed to form at least one MOS transistor (not shown) or other semiconductor devices on the semiconductor substrate 60 .
  • the MOS transistor could be a PMOS transistor, an NMOS transistor, or a CMOS transistor, and the MOS transistor could also include typical transistor structures including a gate structure, a spacer, a lightly doped drain, a source/drain regions and/or salicides.
  • the gate structure could be a polysilicon gate or a metal gate fabricated from a high-k first or high-k last processes. As these processes are well known to those skilled in the art, the details thereof are omitted for the sake of brevity.
  • a contact etch stop layer (CESL) 34 composed of nitrides is then deposited on the MOS transistors, in which the depth of the contact etch stop layer 34 is about 850 Angstroms.
  • the contact etch stop layer 34 could be formed selectively, and the contact etch stop layer 34 could be formed to provide stress to the device underneath.
  • the contact etch stop layer 34 could be a SiC layer providing tensile stress for NMOS transistors, or a SiN layer providing compressive stress for PMOS transistors.
  • the contact etch stop layer could be a composite contact etch stop layer consisting of tensile CESL and compressive CESL, and a buffer layer is further inserted between the tensile CESL and the compressive CESL.
  • interlayer dielectric layer (ILD) 36 is formed on the surface of the contact etch stop layer 34 .
  • the interlayer dielectric layer 36 is preferably composed of three layers, including a dielectric layer deposited by sub-atmospheric pressure chemical vapor deposition (SACVD), a phosphosilicate glass (PSG) layer, and a tetraethylorthosilicate (TEOS) layer.
  • SACVD sub-atmospheric pressure chemical vapor deposition
  • PSG phosphosilicate glass
  • TEOS tetraethylorthosilicate
  • the depth of the entire interlayer dielectric layer 36 is a few thousand Angstroms (preferably approximately 3150 Angstroms); the depth of the dielectric layer is around several thousands of Angstroms (preferably 250 Angstroms); the depth of the PSG layer is between 1000 Angstroms to 3000 Angstroms (preferably 1900 Angstroms); and the depth of the TEOS layer is between 100 Angstroms to 2000 Angstroms (preferably 1000 Angstroms).
  • the interlayer dielectric layer 36 could also be a single material layer, and in addition to the aforementioned materials, the interlayer dielectric layer 36 could also include undoped silicate glass (USG), borophosposilicate glass (BPSG), low-k dielectric material such as porous dielectric material, SiC, SiON, or any combination thereof.
  • USG undoped silicate glass
  • BPSG borophosposilicate glass
  • low-k dielectric material such as porous dielectric material, SiC, SiON, or any combination thereof.
  • a hard mask 44 is then formed on the surface of the interlayer dielectric layer 36 .
  • the hard mask 44 is composed of a carbon containing material such as amorphous carbon, and is preferably selected from an advanced pattern film (APF) fabricated by Applied Materials Inc., in which the depth of the hard mask 44 is between 1000 Angstroms to 5000 Angstroms, and preferably 2000 Angstroms.
  • a dielectric anti-reflective coating (DARC) 46 and a bottom anti-reflective coating (BARC) 48 are then deposited on the surface of the hard mask 44 .
  • the DARC 46 is preferably composed of a silicon oxynitride (SiON) layer and an oxide layer, in which the depth of the DARC 46 is approximately 250 Angstroms, and the depth of the BARC 48 is approximately 1020 Angstroms.
  • the DARC 46 and the BARC 48 are formed selectively, and in addition to inorganic materials, these two layers 46 and 48 could also be composed of organic materials by a spin-coating process.
  • a plurality of pattern transfer processes is then performed on the above stacked film to form an aperture penetrating the BARC 48 , the DARC 46 , the hard mask 44 , the interlayer dielectric layer 36 , and the contact etch stop layer 34 to expose the MOS transistor underneath, such as the source/drain region of the MOS transistor.
  • a patterned resist 54 adapted for a wavelength of approximately 193 nm is formed on the aforementioned stacked film to expose a portion of the upper surface of the BARC 48 , in which the depth of the patterned resist 54 is approximately 1800 Angstroms.
  • a descum process is performed thereafter by using a gas containing CO and O 2 to remove excessive particles produced from the exposure and development process.
  • the patterned resist 54 is used as a mask to perform a pattern transfer process on the BARC 48 .
  • an etching gas containing CF 4 and CH 2 F 2 is utilized to remove a portion of the BARC 48 and the DARC 46 for transferring the aperture pattern of the patterned resist 54 to the BARC 48 and the DARC 46 and exposing the hard mask 44 underneath.
  • the patterned resist 54 As shown in FIG. 3 , another pattern transfer is performed by using the patterned resist 54 as a mask and non-oxygen element containing gas as an etching gas to partially remove the hard mask 44 .
  • the non-oxygen element containing etching gas utilized is selected from a group consisting of H 2 , N 2 , He, NH 3 , CH 4 , and C 2 H 4 . It should also be noted that, as the non-oxygen element containing gas is used to pattern the hard mask 44 , the patterned resist 54 and the BARC 48 above the hard mask 44 are also removed simultaneously to form an aperture 56 in the hard mask 44 .
  • the patterned hard mask 44 is used as a mask to perform an etching process on the ILD 36 and the CESL 34 , such as using a gas containing C 4 F 6 , O, and Ar to partially remove the ILD 36 , thereby transferring the aperture 56 to the ILD 36 and the CESL 34 .
  • an etching process such as using a gas containing C 4 F 6 , O, and Ar to partially remove the ILD 36 , thereby transferring the aperture 56 to the ILD 36 and the CESL 34 .
  • FIGS. 4-5 illustrate perspective views of applying the aforementioned method for forming apertures to a current 2P2E process according to another embodiment of the present invention.
  • another BARC 62 and a patterned resist 64 may be formed on the DARC 46 , in which the BARC 62 preferably fills the aperture 56 entirely.
  • an etching process is performed by first using the patterned resist 64 as a mask to partially remove the BARC 62 and the DARC 46 for exposing the hard mask 44 underneath.
  • another etching process is carried out by using a non-oxygen element containing gas to etch the hard mask 44 . This transfers the aperture of the BARC 62 and the DARC 46 to the hard mask 44 for forming a patterned hard mask.
  • an etching process is carried out by using the patterned hard mask 44 directly as a mask to partially remove the ILD 36 and the CESL 34 .
  • FIGS. 6-11 illustrate perspective views of applying the aforementioned method of forming apertures to a 2P2E process according to another embodiment of the present invention.
  • a semiconductor substrate 80 is provided, in which at least one semiconductor device (not shown) is formed on the semiconductor substrate 80 .
  • the semiconductor device could be a MOS transistor, such as a PMOS transistor, an NMOS transistor, or a CMOS transistor, or devices of other types.
  • a CESL 82 , an ILD 84 , a hard mask 86 , a DARC 88 , a first BARC 90 , and a patterned resist 92 are sequentially formed on the semiconductor device.
  • the materials of the CESL 82 , the ILD 84 , the hard mask 86 , the DARC 88 , and the first BARC 90 could be analogous to the ones disclosed in the aforementioned embodiments; the details are therefore omitted for the sake of brevity.
  • a pattern transfer process is performed by using the patterned resist 92 as a mask and using an etching gas containing CF 4 and CH 2 F 2 to partially remove the first BARC 90 and a portion of the DARC 88 .
  • this etching process preferably removes only half the thickness of the DARC 88 while not exposing any of the hard mask 86 underneath.
  • a first aperture 94 is formed in the DARC 88 .
  • a second BARC 96 and a patterned resist 98 are sequentially formed on the DARC 88 , in which the second BARC 96 preferably fills the first aperture 94 in the DARC 88 .
  • another pattern transfer process is performed by using the patterned resist 98 as a mask to partially remove the second BARC 90 and half the thickness of the DARC 88 while not exposing any of the hard mask 86 underneath. After stripping the patterned resist 98 and the remaining second BARC 96 , a second aperture 100 is formed in the DARC 88 .
  • an etching process is first carried out to remove the remaining DARC 88 under the first aperture 94 and the second aperture 100 to expose the hard mask 86 , and another etching process is performed by using the remaining DARC 88 as a mask to form a plurality of third apertures 102 in the hard mask 66 .
  • this embodiment also uses a non-oxygen element containing gas to partially remove the hard mask 86 for forming the third apertures 102 , in which the non-oxygen element gas utilized is selected from a group consisting of H 2 , N 2 , He, NH 3 , CH 4 , and C 2 H 4 .
  • an etching process is conducted by using the remaining DARC 88 as a mask, or first removing the remaining DARC 88 and using the patterned hard mask 86 as a mask to transfer the third apertures 102 in the hard mask 86 to the ILD 86 and the CESL 82 .
  • the apertures formed through the aforementioned embodiments are not limited to circular apertures, but could also be formed along the horizontal axis of the gate to form rectangular slot openings; and, after metals are filled into these rectangular slot openings, rectangular contact plugs are formed.
  • the present invention uses a non-oxygen element containing gas to etch a hard mask of a stacked film for forming desirable aperture patterns.
  • the hard mask is preferably selected from an advanced pattern film (APF) fabricated by Applied Materials Inc.
  • the non-oxygen element gas is selected from a group consisting of H 2 , N 2 , He, NH 3 , CH 4 , and C 2 H 4 .
  • APF advanced pattern film
  • the present invention specifically uses a non-oxygen element containing gas for conducting the etching process to maintain an adequate hard mask profile and critical dimension uniformity.
  • the approach of the present invention also maintains a consistent vertical profile of the aperture and prevents problems such as hole distortion.

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A method for fabricating an aperture is disclosed. The method includes the steps of: forming a hard mask containing carbon on a surface of a semiconductor substrate; and using a non-oxygen element containing gas to perform a first etching process for forming a first aperture in the hard mask. Before forming the hard mask, a gate which includes a contact etch stop layer and a dielectric layer is formed on the semiconductor substrate.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • The present application is a Continuation Application of U.S. patent application Ser. No. 13/156,319, which was filed on Jun. 8, 2011, the entire contents of which are incorporated herein by this reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The invention relates to a method for fabricating an aperture, and more particularly, to a method for fabricating an aperture in a hard mask while preventing the occurrence of a bowing profile on a sidewall of the hard mask.
  • 2. Description of the Prior Art
  • The trend towards micro-miniaturization, or the ability to fabricate semiconductor devices with features smaller than 0.1 micrometers, has presented difficulties when attempting to form narrow diameter, deep (high aspect ratio) contact holes in a dielectric layer, to expose underlying conductive regions.
  • The conventional approach of fabricating contact holes typically involves providing a semiconductor substrate with a plurality of semiconductor devices thereon, in which the semiconductor devices includes MOS transistors or resistors. At least a dielectric layer and a hard mask are then formed on the semiconductor substrate to cover the semiconductor devices, and a patterned resist is used to perform a series of pattern transfer processes to form a contact hole in the hard mask and the dielectric layer.
  • The conventional method typically uses an oxygen containing gas for performing the aforementioned pattern transfer process, which causes severe indentation with respect to the central region of the sidewall and ultimately produces a bowing profile. Unfortunately, metal deposited in the contact hole thereafter is likely to seal the entrance of the hole before filling the expanding bowing portion of the contact hole. As a result, a seam is formed relative to the central region of the deposited metal, which degrades the electrical connection of the device and affects the overall performance.
  • SUMMARY OF THE INVENTION
  • It is an objective of the present invention to provide a method for resolving the issue of bowing profile in the contact hole fabricated by conventional technique.
  • According to a preferred embodiment of the present invention, a method for fabricating an aperture is disclosed. The method includes the steps of: forming a hard mask containing carbon on a surface of a semiconductor substrate; and using a non-oxygen element containing gas to perform a first etching process for forming a first aperture in the hard mask.
  • Another aspect of the present invention provides a method for fabricating an aperture. The method includes the steps of: forming a hard mask and a dielectric anti-reflective coating (DARC) on a semiconductor substrate; forming a first bottom anti-reflective coating (BARC) on the DARC; forming a first aperture in the first BARC and portion of the DARC; forming a second BARC on the DARC and filling the first aperture; forming a second aperture in the second BARC and portion of the DARC; and using a non-oxygen element containing gas to perform an etching process to transfer the first aperture and the second aperture to the hard mask for forming a plurality of third apertures.
  • Another aspect of the present invention provides a method for fabricating an aperture, which includes the steps of: forming a hard mask and a dielectric anti-reflective coating (DARC) on a semiconductor substrate; forming a first bottom anti-reflective coating (BARC) on the DARC; etching the first BARC, the DARC, and the hard mask for forming a first aperture in the hard mask; forming a second BARC on the DARC to fill the first aperture; and etching the second BARC, the DARC, and the hard mask to forma second aperture in the hard mask, wherein the step of etching the hard mask comprises using a non-oxygen element containing gas.
  • These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-3 illustrate a method for fabricating an aperture according to a preferred embodiment of the present invention.
  • FIGS. 4-5 illustrate a method for fabricating an aperture according to another embodiment of the present invention.
  • FIGS. 6-11 illustrate a method for fabricating an aperture according to another embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Please refer to FIGS. 1-3, which illustrate a method for fabricating an aperture according to a preferred embodiment of the present invention. As shown in FIG. 2, a semiconductor substrate 60, such as a substrate composed of monocrystalline silicon, gallium arsenide (GaAs) or other known semiconductor material is provided. A standard metal-oxide semiconductor (MOS) transistor fabrication is performed to form at least one MOS transistor (not shown) or other semiconductor devices on the semiconductor substrate 60. The MOS transistor could be a PMOS transistor, an NMOS transistor, or a CMOS transistor, and the MOS transistor could also include typical transistor structures including a gate structure, a spacer, a lightly doped drain, a source/drain regions and/or salicides. The gate structure could be a polysilicon gate or a metal gate fabricated from a high-k first or high-k last processes. As these processes are well known to those skilled in the art, the details thereof are omitted for the sake of brevity.
  • A contact etch stop layer (CESL) 34 composed of nitrides is then deposited on the MOS transistors, in which the depth of the contact etch stop layer 34 is about 850 Angstroms. The contact etch stop layer 34 could be formed selectively, and the contact etch stop layer 34 could be formed to provide stress to the device underneath. For instance, the contact etch stop layer 34 could be a SiC layer providing tensile stress for NMOS transistors, or a SiN layer providing compressive stress for PMOS transistors. If a STI or non-transistor device is disposed underneath, the contact etch stop layer could be a composite contact etch stop layer consisting of tensile CESL and compressive CESL, and a buffer layer is further inserted between the tensile CESL and the compressive CESL.
  • An interlayer dielectric layer (ILD) 36 is formed on the surface of the contact etch stop layer 34. In this embodiment, the interlayer dielectric layer 36 is preferably composed of three layers, including a dielectric layer deposited by sub-atmospheric pressure chemical vapor deposition (SACVD), a phosphosilicate glass (PSG) layer, and a tetraethylorthosilicate (TEOS) layer. The depth of the entire interlayer dielectric layer 36 is a few thousand Angstroms (preferably approximately 3150 Angstroms); the depth of the dielectric layer is around several thousands of Angstroms (preferably 250 Angstroms); the depth of the PSG layer is between 1000 Angstroms to 3000 Angstroms (preferably 1900 Angstroms); and the depth of the TEOS layer is between 100 Angstroms to 2000 Angstroms (preferably 1000 Angstroms). In addition to being a composite material layer, the interlayer dielectric layer 36 could also be a single material layer, and in addition to the aforementioned materials, the interlayer dielectric layer 36 could also include undoped silicate glass (USG), borophosposilicate glass (BPSG), low-k dielectric material such as porous dielectric material, SiC, SiON, or any combination thereof.
  • A hard mask 44 is then formed on the surface of the interlayer dielectric layer 36. According to a preferred embodiment of the present invention, the hard mask 44 is composed of a carbon containing material such as amorphous carbon, and is preferably selected from an advanced pattern film (APF) fabricated by Applied Materials Inc., in which the depth of the hard mask 44 is between 1000 Angstroms to 5000 Angstroms, and preferably 2000 Angstroms. A dielectric anti-reflective coating (DARC) 46 and a bottom anti-reflective coating (BARC) 48 are then deposited on the surface of the hard mask 44. In this embodiment, the DARC 46 is preferably composed of a silicon oxynitride (SiON) layer and an oxide layer, in which the depth of the DARC 46 is approximately 250 Angstroms, and the depth of the BARC 48 is approximately 1020 Angstroms. The DARC 46 and the BARC 48 are formed selectively, and in addition to inorganic materials, these two layers 46 and 48 could also be composed of organic materials by a spin-coating process.
  • A plurality of pattern transfer processes is then performed on the above stacked film to form an aperture penetrating the BARC 48, the DARC 46, the hard mask 44, the interlayer dielectric layer 36, and the contact etch stop layer 34 to expose the MOS transistor underneath, such as the source/drain region of the MOS transistor. For example, a patterned resist 54 adapted for a wavelength of approximately 193 nm is formed on the aforementioned stacked film to expose a portion of the upper surface of the BARC 48, in which the depth of the patterned resist 54 is approximately 1800 Angstroms. A descum process is performed thereafter by using a gas containing CO and O2 to remove excessive particles produced from the exposure and development process.
  • Next, as shown in FIG. 2, the patterned resist 54 is used as a mask to perform a pattern transfer process on the BARC 48. Preferably, an etching gas containing CF4 and CH2F2 is utilized to remove a portion of the BARC 48 and the DARC 46 for transferring the aperture pattern of the patterned resist 54 to the BARC 48 and the DARC 46 and exposing the hard mask 44 underneath.
  • As shown in FIG. 3, another pattern transfer is performed by using the patterned resist 54 as a mask and non-oxygen element containing gas as an etching gas to partially remove the hard mask 44. This transfers the aperture in the BARC 48 and the DARC 46 to the hard mask 44 for forming a patterned hard mask. In this embodiment, the non-oxygen element containing etching gas utilized is selected from a group consisting of H2, N2, He, NH3, CH4, and C2H4. It should also be noted that, as the non-oxygen element containing gas is used to pattern the hard mask 44, the patterned resist 54 and the BARC 48 above the hard mask 44 are also removed simultaneously to form an aperture 56 in the hard mask 44.
  • Next, the patterned hard mask 44 is used as a mask to perform an etching process on the ILD 36 and the CESL 34, such as using a gas containing C4F6, O, and Ar to partially remove the ILD 36, thereby transferring the aperture 56 to the ILD 36 and the CESL 34. This completes the fabrication of an aperture according to a preferred embodiment of the present invention.
  • As current fabrication processes typically cannot obtain a desirable aperture pattern from one single pattern transfer process due to smaller pitch, a two exposure and two development (2P2E) approach is often employed to form desirable aperture patterns. Please refer to FIGS. 4-5, which illustrate perspective views of applying the aforementioned method for forming apertures to a current 2P2E process according to another embodiment of the present invention.
  • As shown in FIG. 4, after the aperture 56 is formed in the hard mask 44 of FIG. 3, another BARC 62 and a patterned resist 64 may be formed on the DARC 46, in which the BARC 62 preferably fills the aperture 56 entirely.
  • As shown in FIG. 5, an etching process is performed by first using the patterned resist 64 as a mask to partially remove the BARC 62 and the DARC 46 for exposing the hard mask 44 underneath. Next, another etching process is carried out by using a non-oxygen element containing gas to etch the hard mask 44. This transfers the aperture of the BARC 62 and the DARC 46 to the hard mask 44 for forming a patterned hard mask. After stripping the patterned resist 62, the BARC 62 and the DARC 46, an etching process is carried out by using the patterned hard mask 44 directly as a mask to partially remove the ILD 36 and the CESL 34.
  • Please refer to FIGS. 6-11, which illustrate perspective views of applying the aforementioned method of forming apertures to a 2P2E process according to another embodiment of the present invention. As shown in FIG. 6, a semiconductor substrate 80 is provided, in which at least one semiconductor device (not shown) is formed on the semiconductor substrate 80. The semiconductor device could be a MOS transistor, such as a PMOS transistor, an NMOS transistor, or a CMOS transistor, or devices of other types.
  • A CESL 82, an ILD 84, a hard mask 86, a DARC 88, a first BARC 90, and a patterned resist 92 are sequentially formed on the semiconductor device. The materials of the CESL 82, the ILD 84, the hard mask 86, the DARC 88, and the first BARC 90 could be analogous to the ones disclosed in the aforementioned embodiments; the details are therefore omitted for the sake of brevity.
  • Next, a pattern transfer process is performed by using the patterned resist 92 as a mask and using an etching gas containing CF4 and CH2F2 to partially remove the first BARC 90 and a portion of the DARC 88. In this embodiment, this etching process preferably removes only half the thickness of the DARC 88 while not exposing any of the hard mask 86 underneath. After stripping the patterned resist 92 and the remaining first BARC 90, as shown in FIG. 7, a first aperture 94 is formed in the DARC 88.
  • As shown in FIG. 8, a second BARC 96 and a patterned resist 98 are sequentially formed on the DARC 88, in which the second BARC 96 preferably fills the first aperture 94 in the DARC 88. Next, as shown in FIG. 9, another pattern transfer process is performed by using the patterned resist 98 as a mask to partially remove the second BARC 90 and half the thickness of the DARC 88 while not exposing any of the hard mask 86 underneath. After stripping the patterned resist 98 and the remaining second BARC 96, a second aperture 100 is formed in the DARC 88.
  • As shown in FIG. 10, an etching process is first carried out to remove the remaining DARC 88 under the first aperture 94 and the second aperture 100 to expose the hard mask 86, and another etching process is performed by using the remaining DARC 88 as a mask to form a plurality of third apertures 102 in the hard mask 66. Similar to the aforementioned embodiment for etching the hard mask 86, this embodiment also uses a non-oxygen element containing gas to partially remove the hard mask 86 for forming the third apertures 102, in which the non-oxygen element gas utilized is selected from a group consisting of H2, N2, He, NH3, CH4, and C2H4.
  • Next, as shown in FIG. 11, an etching process is conducted by using the remaining DARC 88 as a mask, or first removing the remaining DARC 88 and using the patterned hard mask 86 as a mask to transfer the third apertures 102 in the hard mask 86 to the ILD 86 and the CESL 82. This completes the fabrication of apertures according to the embodiment of the present invention. It should be noted that the apertures formed through the aforementioned embodiments are not limited to circular apertures, but could also be formed along the horizontal axis of the gate to form rectangular slot openings; and, after metals are filled into these rectangular slot openings, rectangular contact plugs are formed.
  • Overall, the present invention uses a non-oxygen element containing gas to etch a hard mask of a stacked film for forming desirable aperture patterns. According to a preferred embodiment of the present invention, the hard mask is preferably selected from an advanced pattern film (APF) fabricated by Applied Materials Inc., and the non-oxygen element gas is selected from a group consisting of H2, N2, He, NH3, CH4, and C2H4. As conventional methods of using CO/O2/CO2 based etching gas typically cause issues such as side etching in the hard mask and aperture shrinkage, the present invention specifically uses a non-oxygen element containing gas for conducting the etching process to maintain an adequate hard mask profile and critical dimension uniformity. Moreover, as critical dimensions decrease, the approach of the present invention also maintains a consistent vertical profile of the aperture and prevents problems such as hole distortion.
  • Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims (5)

What is claimed is:
1. A method for fabricating an aperture, comprising:
forming a hard mask containing amorphous carbon on a surface of a semiconductor substrate; and
using a non-oxygen element containing gas to perform a first etching process for forming a first aperture in the hard mask, wherein the non-oxygen element containing gas consists of H2 and N2.
2. The method of claim 1, wherein after forming the hard mask comprises:
forming a dielectric anti-reflective coating, a bottom anti-reflective coating, and a patterned resist on the hard mask;
using the patterned resist to perform a second etching process for forming a second aperture in the bottom anti-reflective coating and the dielectric anti-reflective coating; and
using the patterned resist to perform the first etching process for forming the first aperture in the hard mask.
3. The method of claim 1, further comprising forming agate structure on the semiconductor substrate before forming the hard mask, wherein the gate structure comprises a contact etch stop layer and a dielectric layer thereon.
4. The method of claim 3, wherein the gate structure comprises a polysilicon gate or a metal gate.
5. The method of claim 3, further comprising using the first aperture to define a rectangular slot opening along the horizontal axis of the gate structure.
US14/054,839 2011-06-08 2013-10-16 Method for fabricating an aperture Abandoned US20140038399A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/054,839 US20140038399A1 (en) 2011-06-08 2013-10-16 Method for fabricating an aperture

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/156,319 US8592321B2 (en) 2011-06-08 2011-06-08 Method for fabricating an aperture
US14/054,839 US20140038399A1 (en) 2011-06-08 2013-10-16 Method for fabricating an aperture

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/156,319 Continuation US8592321B2 (en) 2011-06-08 2011-06-08 Method for fabricating an aperture

Publications (1)

Publication Number Publication Date
US20140038399A1 true US20140038399A1 (en) 2014-02-06

Family

ID=47293541

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/156,319 Active US8592321B2 (en) 2011-06-08 2011-06-08 Method for fabricating an aperture
US14/054,839 Abandoned US20140038399A1 (en) 2011-06-08 2013-10-16 Method for fabricating an aperture

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/156,319 Active US8592321B2 (en) 2011-06-08 2011-06-08 Method for fabricating an aperture

Country Status (1)

Country Link
US (2) US8592321B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9312064B1 (en) 2015-03-02 2016-04-12 Western Digital (Fremont), Llc Method to fabricate a magnetic head including ion milling of read gap using dual layer hard mask
CN109994379A (en) * 2017-12-29 2019-07-09 长鑫存储技术有限公司 Double-patterning method and Dual graphing structure

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9159581B2 (en) 2012-11-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a semiconductor device using a bottom antireflective coating (BARC) layer
US9159580B2 (en) * 2012-12-14 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a semiconductor device using multiple layer sets
US9583609B2 (en) * 2013-03-25 2017-02-28 Texas Instruments Incorporated MOS transistor structure and method of forming the structure with vertically and horizontally-elongated metal contacts
CN103441067B (en) * 2013-08-16 2016-04-27 上海华力微电子有限公司 Be applied to the double-pattern forming method of grid line end cutting
CN103441068B (en) * 2013-08-16 2016-03-30 上海华力微电子有限公司 Based on the double-pattern forming method of DARC mask structure
US9385000B2 (en) * 2014-01-24 2016-07-05 United Microelectronics Corp. Method of performing etching process
US9679850B2 (en) * 2015-10-30 2017-06-13 Taiwan Semiconductor Manufacturing Company Ltd. Method of fabricating semiconductor structure
US9653345B1 (en) 2016-01-07 2017-05-16 United Microelectronics Corp. Method of fabricating semiconductor structure with improved critical dimension control
US10276378B1 (en) * 2017-10-30 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming funnel-like opening for semiconductor device structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070077780A1 (en) * 2005-10-05 2007-04-05 Judy Wang Process to open carbon based hardmask
US20080176402A1 (en) * 2007-01-04 2008-07-24 Hynix Semiconductor Inc. Method for fabricating semiconductor device with recess gate
US20090191711A1 (en) * 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
US20090239375A1 (en) * 2008-03-19 2009-09-24 Philipp Riess Dual Damascene Process

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3400770B2 (en) * 1999-11-16 2003-04-28 松下電器産業株式会社 Etching method, semiconductor device and manufacturing method thereof
US6465294B1 (en) * 2001-03-16 2002-10-15 Taiwan Semiconductor Manufacturing Company Self-aligned process for a stacked gate RF MOSFET device
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7115993B2 (en) * 2004-01-30 2006-10-03 Tokyo Electron Limited Structure comprising amorphous carbon film and method of forming thereof
US20070243714A1 (en) 2006-04-18 2007-10-18 Applied Materials, Inc. Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
US7510976B2 (en) 2006-04-21 2009-03-31 Applied Materials, Inc. Dielectric plasma etch process with in-situ amorphous carbon mask with improved critical dimension and etch selectivity
US20080102553A1 (en) 2006-10-31 2008-05-01 Applied Materials, Inc. Stabilizing an opened carbon hardmask
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
US20090314743A1 (en) * 2008-06-20 2009-12-24 Hong Ma Method of etching a dielectric layer
US7855142B2 (en) * 2009-01-09 2010-12-21 Samsung Electronics Co., Ltd. Methods of forming dual-damascene metal interconnect structures using multi-layer hard masks
US20100317195A1 (en) 2009-06-10 2010-12-16 Chih-Wen Feng Method for fabricating an aperture
US8298935B2 (en) * 2010-11-22 2012-10-30 United Microelectronics Corp. Dual damascene process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070077780A1 (en) * 2005-10-05 2007-04-05 Judy Wang Process to open carbon based hardmask
US20080176402A1 (en) * 2007-01-04 2008-07-24 Hynix Semiconductor Inc. Method for fabricating semiconductor device with recess gate
US20090191711A1 (en) * 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
US20090239375A1 (en) * 2008-03-19 2009-09-24 Philipp Riess Dual Damascene Process

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9312064B1 (en) 2015-03-02 2016-04-12 Western Digital (Fremont), Llc Method to fabricate a magnetic head including ion milling of read gap using dual layer hard mask
CN109994379A (en) * 2017-12-29 2019-07-09 长鑫存储技术有限公司 Double-patterning method and Dual graphing structure

Also Published As

Publication number Publication date
US20120315748A1 (en) 2012-12-13
US8592321B2 (en) 2013-11-26

Similar Documents

Publication Publication Date Title
US8592321B2 (en) Method for fabricating an aperture
US20200083109A1 (en) Finfet structure and device
KR101882049B1 (en) Spacers with rectangular profile and methods of forming the same
US9508560B1 (en) SiARC removal with plasma etch and fluorinated wet chemical solution combination
US7592265B2 (en) Method of trimming a hard mask layer, method for fabricating a gate in a MOS transistor, and a stack for fabricating a gate in a MOS transistor
US7544623B2 (en) Method for fabricating a contact hole
US7803708B2 (en) Method for reducing amine based contaminants
CN110875176B (en) Method for forming semiconductor device
US9412651B2 (en) Air-gap formation in interconnect structures
US8765491B2 (en) Shallow trench isolation recess repair using spacer formation process
US8304175B2 (en) Patterning method
US20070210339A1 (en) Shared contact structures for integrated circuits
CN109427554B (en) Chemical solution and method for forming semiconductor device
US20100317195A1 (en) Method for fabricating an aperture
KR100824994B1 (en) Method for forming contact hole in semiconductor device
US20150348787A1 (en) Semiconductor devices and methods for forming a gate with reduced defects
KR102610396B1 (en) Method for providing a low-k spacer
TWI553739B (en) Method for fabricating an aperture
KR101129021B1 (en) Method for fabricating transistor in semiconductor device
US20110223768A1 (en) Method for Forming Contact Opening
TW201044459A (en) Method for fabricating an aperture
KR20090069506A (en) Method for fabricating semiconductor device
KR20080002534A (en) Method for fabricating fine pattern in semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHANG, FENG-YI;LIN, YI-PO;LIAO, JIUNN-HSIUNG;AND OTHERS;REEL/FRAME:031411/0667

Effective date: 20110603

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION