KR101792918B1 - Finfet 구조체 및 그 제조 방법 - Google Patents

Finfet 구조체 및 그 제조 방법 Download PDF

Info

Publication number
KR101792918B1
KR101792918B1 KR1020150165606A KR20150165606A KR101792918B1 KR 101792918 B1 KR101792918 B1 KR 101792918B1 KR 1020150165606 A KR1020150165606 A KR 1020150165606A KR 20150165606 A KR20150165606 A KR 20150165606A KR 101792918 B1 KR101792918 B1 KR 101792918B1
Authority
KR
South Korea
Prior art keywords
dopant
layer
fin
sidewall
fins
Prior art date
Application number
KR1020150165606A
Other languages
English (en)
Other versions
KR20160079645A (ko
Inventor
춘싱 차이
춘렁 니
케이웨이 첸
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20160079645A publication Critical patent/KR20160079645A/ko
Application granted granted Critical
Publication of KR101792918B1 publication Critical patent/KR101792918B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • H01L21/2256Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides through the applied layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66492Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a pocket or a lightly doped drain selectively formed at the side of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • H01L29/7884Programmable transistors with only two possible levels of programmation charging by hot carrier injection
    • H01L29/7885Hot carrier injection from the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13067FinFET, source/drain region shapes fins on the silicon surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

본 개시는 복수의 핀(fin), 게이트, 및 제1 도펀트층을 포함하는 FiNFET 구조체를 제공한다. 상기 게이트는 상기 복수의 핀 위에 실질적으로 수직으로 배치되고, 상기 복수의 핀의 측벽의 일부 및 상면의 일부를 커버한다. 상기 제1 도펀트층은 제1 핀의 접합 부분의 측벽과 상면을 커버하며, 상기 제1 핀의 접합 부분에 제1 도전형의 도펀트(dopant)를 제공하도록 구성된다. 상기 접합 부분은 상기 게이트에 인접한다.

Description

FINFET 구조체 및 그 제조 방법{FINFET STRUCTURE AND METHOD FOR MANUFACTURING THEREOF}
본 개시는 FINFET 구조체 및 그 제조 방법에 관한 것이다.
반도체 집적 회로(integrated circuit: IC) 산업은 급성장을 겪고 있다. IC 재료 및 설계에서의 기술적인 진보로 인하여, 각각의 세대가 이전의 세대보다 더 작고 더 복잡한 회로를 갖는 IC 세대들이 도래하고 있다. IC 진화의 과정에서, 기능적 밀도(즉, 칩 면적 당 상호연결된 디바이스의 개수)가 일반적으로 증가되고 있으며, 형상(geometry) 크기(즉, 제조 공정을 이용하여 생산될 수 있는 가장 작은 컴포넌트(또는 라인))는 감소하고 있다. 이러한 스케일링 다운(scaling down) 공정은 일반적으로 생산 효율성을 증가시키고 관련 비용을 절감하여 이익을 제공한다.
이러한 스케일링 다운은 또한 IC 공정 및 제조의 복잡성을 증가시켜왔고, 이러한 진보들이 실현되기 위해서는, IC 공정 및 제조에 있어서 유사한 개발이 요구된다. 예를 들어, FinFET(fin-like field-effect transistor) 등의 3차원 트랜지스터가 평면형 트랜지스터를 대체하기 위해 도입되었다. 핀 트랜지스터는 상면 및 대향 측벽과 관련된 채널(핀 채널이라 칭함)을 갖는다. 핀 채널은 상면 및 대향 측벽에 의해 규정된 총 채널 폭을 갖는다. 기존의 FinFET 디바이스 및 FinFET 디바이스의 제조 방법이 일반적으로 그들의 의도된 목적에 부합했다 할지라도, 그들은 모든 면에서 전적으로 만족스럽지는 못하다. 예를 들어, 특히 핀의 단부에서의 핀 폭 및 프로파일의 변화는 FinFET 공정 개발에 있어서 어려움을 야기한다. 이 분야에서의 개선이 요망된다.
본 개시의 양태들은 첨부 도면들과 함께 읽혀질 때 아래의 상세한 설명으로부터 최상으로 이해된다. 본 산업계에서의 표준적인 관행에 따라, 다양한 피처들은 실척도로 작도되지 않았음을 유념해야 한다. 실제로, 다양한 피처들의 치수는 설명의 명료함을 위해 임의적으로 증가되거나 또는 감소될 수 있다.
도 1은 본 개시의 일부 실시예에 따른 FinFET 구조체의 사시도를 도시한다.
도 2는 본 개시의 일부 실시예에 따른 도 1에 도시된 FinFET 구조체의 AA 선을 따른 단면도를 도시한다.
도 3은 본 개시의 일부 실시예에 따른 도 1에 도시된 FinFET 구조체의 AA 선을 따른 단면도를 도시한다.
도 4는 본 개시의 일부 실시예에 따른 FinFET 구조체의 접합 부분의 단면도를 도시한다.
도 5는 본 개시의 일부 실시예에 따른 FinFET 구조체의 접합 부분의 단면도를 도시한다.
도 6은 본 개시의 일부 실시예에 따른 도 1에 도시된 바와 같은 BB 선과 도 5에 도시된 바와 같은 CC 선을 따른 FinFET 구조체의 단면도를 도시한다.
도 7은 본 개시의 일부 실시예에 따른 도 1에 도시된 바와 같은 BB 선과 도 5에 도시된 바와 같은 DD 선을 따른 FinFET 구조체의 단면도를 도시한다.
도 8은 본 개시의 일부 실시예에 따른 FinFET 구조체의 접합 부분의 단면도를 도시한다.
도 9는 본 개시의 일부 실시예에 따른 FinFET 구조체의 접합 부분의 단면도를 도시한다.
도 10은 본 개시의 일부 실시예에 따른 도 1에 도시된 바와 같은 BB 선과 도 9에 도시된 바와 같은 EE 선을 따른 FinFET 구조체의 단면도를 도시한다.
도 11은 본 개시의 일부 실시예에 따른 도 1에 도시된 바와 같은 BB 선과 도 9에 도시된 바와 같은 FF 선을 따른 FinFET 구조체의 단면도를 도시한다.
도 12 내지 도 18은 본 개시의 일부 실시예에 따른 FinFET 구조체에 대한 제조 방법에 관하여 도 1의 AA 선을 따른 단편적 단면도를 도시한다.
도 19 내지 도 22는 본 개시의 일부 실시예에 따른 FinFET 구조체에 대한 제조 방법에 관하여 도 1의 AA 선을 따른 단편적 단면도를 도시한다.
도 23 내지 도 29는 본 개시의 일부 실시예에 따른 FinFET 구조체에 대한 제조 방법에 관하여 도 1의 BB 선을 따른 단편적 단면도를 도시한다.
다음의 개시는 제공되는 본 발명의 상이한 특징들을 구현하기 위한 많은 상이한 실시예 또는 예를 제공한다. 본 개시를 단순화하도록 컴포넌트 및 구성의 구체적 예를 아래에 기재한다. 이들 예는 물론 단지 예시적인 것이고 한정하고자 하는 것은 아니다. 예를 들어, 후속의 다음 기재에서 제2 특징부 상에 또는 위에 제1 특징부를 형성하는 것은, 제1 및 제2 특징부가 직접 접촉하여 형성되는 실시예를 포함할 수도 있고, 또한 제1 및 제2 특징부가 직접 접촉하지 않도록 제1 특징부와 제2 특징부 사이에 추가의 특징부가 형성될 수 있는 실시예도 포함할 수 있다. 또한, 본 개시는 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이 반복은 간략화 및 명료화를 위한 것이며, 그 자체가 설명되는 다양한 실시예 및/또는 구성 간의 관계를 나타내는 것은 아니다.
또한, "밑에", "아래에", "낮은", "위에", "높은" 등과 같은 공간적으로 상대적인 용어는, 도면에 도시된 바와 같이, 하나의 구성요소 또는 특징부의, 또 다른 구성요소(들) 또는 특징부(들)에 대한 관계를 설명하고자 기재를 용이하게 하기 위해 여기에서 사용될 수 있다. 공간적으로 상대적인 용어는, 도면에 도시된 방위에 더하여, 사용시 또는 동작시 디바이스의 상이한 방위를 포함하는 것으로 의도된다. 장치는 달리 배향될 수 있고(90도 또는 그 외의 방위로 회전), 여기에서 사용되는 공간적으로 상대적인 기술어들이 마찬가지로 그에 따라 해석될 수 있다.
반도체 FinFET 구조체에서, 경도핑 드레인(LDD: lightly-doped drain) 영역은 게이트와 드레인 영역 또는 소스 영역 사이의 경계 부근에 형성된다. 비소(arsenic) 또는 인(phosphorous)과 같은 주입 도펀트(dopant)는 핀(fin) 위의 리세스(recess) 형성 이전에 경도핑 드레인 형성에 이용된다. n-형 핀의 LDD 주입 동안, 인접하여 배치된 p-형 핀은 n-형 도펀트가 p-형 핀으로 침투되는 것을 막기 위해 포토레지스트 블록(photoresist block)에 의해 커버된다. 각각의 n-형 핀 내에 형성된 LDD 주입은 기본적으로 포토레지스트 블록의 높이에 의해 제한되고, 이는 주입 섀도잉 효과(implant shadowing effect)를 야기하며, 여기서 제1 주입 각도는 포토레지스트 블록의 높이에 의해 제한된다. 더욱이, 두 개의 인접한 n-형 핀 간 분리, 예를 들어, n-형의 핀의 피치(pitch)는, n-형 핀의 특정 영역이 주입되는 것을 막는 제2 주입 각도를 결정한다. 제1 주입 각도 및 제2 주입 각도는 약 10도 이하일 수 있다. 결과적으로, 주입 섀도잉 효과에 의해 야기되는 LDD 상하단의 불균일한 도펀트 분포(non-uniform LDD top to bottom dopant distribution)가 관찰될 수 있다. 예를 들어, 각각의 핀 LDD 영역의 하단은 상단 핀 LDD보다 약 30% 이하의 도펀트 농도를 받는다.
구체적으로는, 요구되는 도펀트 타입(예를 들어, n-형)을 가지는 핀의 상면, 제1 측벽, 제1 측벽에 대향하는 제2 측벽에서의 도펀트 농도가 크게 상이할 수 있다. 예를 들어, 핀의 상면은 가장 많은 양의 도펀트를 받는 반면, 핀의 바닥 측벽은 상단 대응부보다 상당히 낮은 도펀트를 받을 수 있다. 더욱이, 제1 측벽 및 제2 측벽 부근에서의 환경이 상이하기 때문에, 제1 측벽은 이에 대향하는 제2 측벽보다 더 많은 도펀트를 받을 수 있다. 예를 들어, 반대 도전형의 핀을 커버하는 포토레지스트 블록은 제1 측벽에 바로 인접하여 배치되고, 반면 노출된 핀은 제2 측벽에 인접하게 배치될 수 있다. 따라서 제1 및 제2 측벽에 대한 섀도잉 효과는 상이하다. 따라서, 상면, 제1 측벽 및 제2 측벽에서의 도펀트 농도는 주입 후에 실질적으로 상이할 수 있다. 어닐링 공정(annealing operation)이 도펀트들을 활성화시키고 재분배하기 위해 이어진다 하더라도, 효과는 다소 제한된다.
주입 에너지를 증가시키는 등의 솔루션이 핀의 LDD 영역 내 도펀트들의 불균일한 분포를 해결하기 위해 제안된다. 하지만, 도펀트의 수직 범위(vertical range)뿐만 아니라 도펀트의 수평 범위(lateral range)가 주입 에너지의 증가로 확장될 수 있다. LDD 영역이 채널 영역에 근접하기 때문에, 도펀트의 수평 잠식(lateral encroachment)은 실질적으로 채널 영역에 산란 중심(scattering center)을 생성하고, 이런 이유로 트랜지스터 디바이스의 성능을 저하시킬 수 있다. 핀의 상면, 제1 측벽, 및 제2 측벽에서의 도펀트 농도의 균일성은 디바이스의 Ion 성능에 있어서 중요하다. 핀이 둘러싸인 게이트(wrapped gate)와 접촉하는 채널 영역의 표면에서 전류 밀도가 집중되는 것으로 이해된다. 충분한 도펀트들이 부족한 LDD 영역에서의 핀 표면은 채널을 통해 흐르는 전류에 대해 병목(bottleneck)을 형성한다. 따라서, 상면, 제1 측벽, 제1 측벽에 대향하는 제2 측벽에서 균일한 도펀트 분포를 가지는 핀 위에 LDD 영역을 생성하는 것이 디바이스 성능을 향상시키기 위해 중요하다.
본 개시는 LDD 영역에서 핀의 상면, 제1 측벽, 제2 측벽을 따라 균일한 도펀트 분포를 형성할 수 있는 FinFET 구조체를 제공한다.
본 개시는 또한 LDD 영역에서 핀의 상면, 제1 측벽, 제2 측벽을 따라 균일한 도펀트 분포를 형성할 수 있는 FinFET 구조체를 형성하기 위한 방법을 제공한다.
도 1을 참조하면, 도 1은 FinFET 구조체(10)의 사시도이다. 상기 FinFET 구조체(10)는 절연층(insulating layer), 예를 들어 STI(shallow trench isolation) 위의 일부만을 도시한다. 일부 실시예에서, 반도체 핀(101, 103)은 n-형 핀이고, 이의 하단은 상기 절연층(도 1에 도시되지 않음)까지 이어진다. FinFET 구조체(10)는 핀(101, 103) 위에 실질적으로 수직으로 배치되는 게이트(105)를 더 포함한다. 하지만, 핀과 게이트 사이의 직교성은 여기에 기술된 FinFET 구조체를 수행하기 위한 요건이 아니다. 사선(oblique) 또는 엇갈림(staggered)과 같은 다른 배열이 본 개시의 고려된 범위 내에 있다. 핀(101, 103)은 핀의 길이 방향(longitudinal direction)을 따라 게이트(105)의 측벽(1051, 1053)을 관통한다. 따라서 게이트(105)는 핀(101, 103)의 상면의 일부 및 측벽의 일부를 커버한다. 명료성 목적을 위해, 게이트(105)에 의해 커버되는 핀의 일부는 도 1에 도시되지 않는다. 일부 실시예에서, 게이트(105)에 의해 커버되는 핀의 일부는 핀(103)의 채널 부분이라 칭한다.
계속해서 도 1을 참조하면, 핀(101, 103)의 접합 부분(107)은 게이트(105)에 근접한 핀의 영역으로서 식별될 수 있다. 일부 실시예에서, 접합 부분(107)은 핀의 할로(Halo) 영역 또는 LDD 영역일 수 있다. 핀(103)을 참조하면, 제1 도펀트층(109)은 핀(103)의 상면(103A) 및 측벽(103B) 위에 커버된다. 제1 도펀트층(109)은 인과 같은 n-형 도펀트를 포함하고, 제1 도펀트층(109)에 의해 커버되는 핀의 영역에 n-형 도펀트를 제공하도록 구성될 수 있다. 일부 실시예에서, 제1 도펀트층(109)은 포스포실리케이트 유리(PSG: phosphosilicate glass) 또는 인 도핑 산화물(phosphor-doped oxides)을 포함할 수 있다. 도 1 내 접합 영역(107)은 게이트(105)의 측벽(1051)에 인접한다. 게이트(105)의 맞은편에, 다른 제1 도펀트층(미도시)이 또한 게이트(105)의 측벽(1053)에 인접하여 형성되고, 핀(103)의 상면(103A) 및 측벽(103B)을 커버한다.
계속해서 도 1을 참조하면, 측벽 스페이서(104)는 게이트(105)의 양쪽 측벽(1051, 1053)에 배치된다. 명료성 목적을 위해, 측벽 스페이서(104)가 사시도로 도시되기 때문에, 스페이서(104) 아래에서 커버되는 접합 영역(107)과 제1 도펀트층(109)이 보여질 수 있다. 다른 실시예에서, 제1 도펀트층(109)은 스페이서(104) 아래에서 완전히 커버되지 않을 수 있다.
도 2를 참조하면, 도 2는 도 1에 도시된 FinFET 구조체의 AA 선을 따른 단면도이다. 도 1에서, AA 선을 따라 절개한 단면도는 핀(103)의 접합 부분(107), 제1 도펀트층(109) 및 그 주위의 측벽 스페이서(104)를 노출시킨다. 도 2는 또한 STI와 같은 절연층(200')의 표면 아래 부분을 보여준다. 도 2에 도시된 바와 같이, 핀(101, 103)은 절연층(200')으로부터 밀려나온 부분과 절연층(200')에 의해 둘러싸인 부분을 포함한다. 절연층(200')으로부터 밀려나온 부분만이 제1 도펀트층(109)에 의해 커버된다. 일부 실시예에서, 절연층(200')으로부터 밀려나온 핀(101)의 부분은 약 30nm 내지 약 50nm의 높이를 갖는다. 절연층(200')에 의해 둘러싸인 핀(101)의 부분은 약 60nm 내지 약 80nm의 높이를 갖는다. 핀(101)의 상면(101A) 및 측벽(101B)을 커버하는 제1 도펀트층(109)은 약 2nm 내지 약 8nm의 범위의 두께 T1을 가질 수 있다. 도 2에 도시된 바와 같이 배열된 제1 도펀트층을 가지는 FinFET 구조체는 핀(101)의 상면(101A) 및 측벽(101B)에 가까운 영역에서 균일한 도펀트 분포를 보여준다. 예를 들어, 상단 영역(1011) 및 측벽 영역(1013)에서의 도펀트 농도는 실질적으로 동일하다. 다르게 표현하자면, 상단 영역(1011)과 측벽 영역(1013) 간 도펀트 농도 차이는 약 5% 미만이다.
일부 실시예에서, 이차원 도펀트 농도 매핑(mapping)은 스캐닝확산저항현미경(SSRM: scanning spreading resistance microscopy)에 의해 측정될 수 있다. SSRM은 광범위한 저항 매핑 및 높은 공간 해상도 캐리어 밀도 프로파일링을 제공한다. 측정 수단으로서 SSRM을 이용함으로써, 핀(101, 103)에서의 도펀트 농도 분포가 매핑될 수 있고 상단 영역(1011)과 측벽 영역(1013)에서의 도펀트 농도가 비교될 수 있다.
도 3을 참조하면, 도 3은 도 1에 도시된 FinFET 구조체의 AA 선을 따른 단면도이다. 제1 도펀트층(109)에 더하여, 캐핑층(capping layer)(129)이 그 위에 더 배치된다. 일부 실시예에서, 캐핑층(129)의 두께 T2는 약 5nm 내지 약 10nm의 범위에 있다. 일부 실시예에서, 캐핑층(129)과 측벽 스페이서(104)는 질화규소(SiN)와 같은 동일한 물질들로 구성될 수도 있고 상이한 물질들로 구성될 수도 있으며, 따라서 캐핑층(129)은 측벽 스페이서(104)와 구별될 수 있다. 핀(103)의 접합 영역(107) 위에 제1 도펀트층(109)이 배치되지 않은 종래의 FinFET 구조체에 비해, 본 개시의 상단 영역(1011)과 측벽 하단 영역(1015)에서의 도펀트 농도는, 어닐링 공정 이전에도, 실질적으로 동일하다. 도펀트층이 적용되지 않는 경우, 주입 섀도잉 효과로 인해 감소된 양의 주입(implant)이 측벽 하단(1015)에 도달하고, 이런 이유로 상단 영역(1011)과 측벽 하단 영역(1015) 간 도펀트 농도는 심지어 사후-주입 어닐링(post-implant anneal) 후에도 실질적으로 다르다.
도 4를 참조하면, 도 4는 본 개시의 일부 실시예에 따른 FinFET 구조체의 접합 부분의 단면도를 도시한다. 4개의 핀의 접합 부분이 도 4의 단면도에 도시된다. 핀(101, 103)은 "제1 핀"("first fin")(100) 또는 "핀의 제1 세트"("first set of fins")라 칭한다. 핀(201, 203)은 "제2 핀"("second fin")(200) 또는 "핀의 제2 세트"("second set of fins")라 칭한다. 일부 실시예에서, 제1 핀(100)과 제2 핀(200)은 도전형(conductive type)에서 상이하다. 예를 들어, 제1 핀(100)은 n-형 핀인 반면, 제2 핀(200)은 p-형 핀이다. 도 4에 도시된 바와 같이, 제1 도펀트층(109)은 제1 핀(100)과 직접 접촉하여 형성되고, 반면에 확산장벽(diffusion barrier)(119)은 제2 핀(200)과 직접 접촉하여 형성되어 제1 도펀트층(109)과 제2 핀(200)을 분리한다. 다르게 표현하자면, 단일층(single layer)이 제1 핀(100) 위에 형성되고, 이중층(bi-layer)이 제2 핀(200) 위에 형성된다. 일부 실시예에서, 이중층은 제1 도펀트층(109)과 확산장벽(119)을 포함한다. 제1 도펀트층(109)의 두께는 약 1nm 내지 약 8nm의 범위에 있다. 확산장벽(119)은 제1 도펀트층(109) 내 n-형 도펀트들이 제2 핀(200)으로 확산되는 것을 막기에 충분한 두께여야 한다. 일부 실시예에서, 확산장벽(119)의 두께는 약 5nm 내지 약 10nm 사이에 있다.
도 5를 참조하면, 도 5는 본 개시의 일부 실시예에 따른 FinFET 구조체의 접합 부분의 단면도를 도시한다. 도 4와 도 5 간 차이는, 제1 핀(100)의 제1 도펀트층(109)과 제2 핀(200)의 제1 도펀트층(109) 위에 캐핑층(129)이 더 배치된다는 점이다. 일부 실시예에서, 캐핑층(129)과 확산장벽(119)은 동일한 물질로 구성된다. 다른 실시예에서, 캐핑층(129)과 확산장벽(119)은 동일한 물질로 구성되지 않는다. 일부 실시예에서, 캐핑층(129)의 두께와 확산장벽(119)의 두께는 실질적으로 동일하다. 도 5에 도시된 캐핑층(129)은 본 개시에서 후술되는 바와 같이 고온 어닐링 공정 하에서 제1 도펀트층(109)에 안정적인 밀봉(seal)을 제공하기 위한 것이다.
도 6을 참조하면, 도 6은 본 개시의 일부 실시예에 따른 도 1의 BB 선과 도 5의 CC 선을 따른 FinFET 구조체의 단면도를 도시한다. 게이트(105) 및 측벽 스페이서(104)는 제1 핀(103) 위에 위치된다. 도 6에 도시된 점선은 제1 핀(103)을 가로지르는 게이트(105) 및 측벽 스페이서(104)의 숨겨진 윤곽을 나타낸다. 제1 도펀트층(109)과 캐핑층(129)은 제1 핀(103) 위에 배치되고, 게이트(105)의 측벽(1051, 1053)에 인접한다. 도 6에 도시된 바와 같이, 재성장 소스(regrown source)(301)와 재성장 드레인(regrown drain)(303)이 제1 핀(103)에 부분적으로 형성된다. 일부 실시예에서, 재성장 영역의 가장 넓은 부분은 측벽 스페이서(104) 아래에서 침식된다. 다른 실시예에서, 재성장 영역의 가장 넓은 부분은 제1 핀(103)의 접합 부분(107)에서 경도핑 영역 또는 LDD 위에 형성된 삼중층(tri-layer)과 접촉한다.
도 7을 참조하면, 도 7은 본 개시의 일부 실시예에 따른 도 1의 BB 선과 도 5의 DD 선을 따른 FinFET 구조체의 단면도를 도시한다. 게이트(105) 및 측벽 스페이서(104)는 제2 핀(201) 위에 위치된다. 도 7에 도시된 점선은 제2 핀(201)을 가로지르는 게이트(105) 및 측벽 스페이서(104)의 숨겨진 윤곽을 나타낸다. 장벽층(119), 제1 도펀트층(109), 및 캐핑층(129)은 제2 핀(201) 위에 배치되고, 게이트(105)의 측벽(1051, 1053)에 인접한다. 도 7에 도시된 바와 같이, 재성장 소스(301)와 재성장 드레인(303)이 제2 핀(201)에 부분적으로 형성된다. 일부 실시예에서, 재성장 영역의 가장 넓은 부분은 측벽 스페이서(104) 아래에서 침식된다. 다른 실시예에서, 재성장 영역의 가장 넓은 부분은 제2 핀(201)의 접합 부분(107)에서 경도핑 영역 또는 LDD 위에 형성된 삼중층(tri-layer)과 접촉한다.
도 8을 참조하면, 도 8은 본 개시의 일부 실시예에 따른 FinFET 구조체의 접합 부분의 단면도를 도시한다. 도 8에 도시된 바와 같이, 제2 도펀트층(209)은 제2 핀(200)과 직접 접촉하여 형성되는 반면, 제1 도펀트층(109)은 제1 핀(100)과 직접 접촉하여 형성된다. 일부 실시예에서, 제2 도펀트층(209)은 제1 도펀트층(109)과 반대 타입의 도펀트들을 포함한다. 예를 들어, 제2 도펀트층(209)은 보로실리케이트 유리(BSG: borosilicate glass) 또는 붕소 도핑 실리콘 산화물(boron-doped silicon oxides)을 포함한다. 제2 도펀트층(209)은 제2 핀(200)의 상면(201A) 및 측벽(201B)을 커버한다. 제2 도펀트층(209)은 그 안에 포함된 제2 도펀트들이 제2 핀(200)으로 확산하도록 허용하기 위해 제2 핀(200)과 직접 접촉한다.
계속해서 도 8을 참조하면, 캐핑층(129)과 제2 도펀트층(209)은 제1 핀(100) 위의 제1 도펀트층(109) 위에 순차적으로 더 배치된다. 다르게 표현하자면, 삼중층이 제1 핀(100) 위에 형성되고, 단일층이 제2 핀(200) 위에 형성된다. 제2 도펀트층(209)의 두께는 약 1nm 내지 약 8nm의 범위에 있고, 제1 도펀트층(209)의 두께와 유사하다. 도 8에 도시된 바와 같이, SSRM 측정에 따르면, 제2 핀(200)의 상단 영역(2011)과 바닥 측벽(2015)에서의 제2 도펀트 농도는 실질적으로 동일하다. 즉, 제2 핀(200)의 상단 영역(2011)과 측벽(2013)에서의 제2 도펀트 농도 간 차이는 약 5% 미만이다. 유사하게, 제1 핀(100)의 상단 영역(1011)과 바닥 측벽(1015)에서의 제1 도펀트 농도는 실질적으로 동일하다. 즉, 제1 핀(100)의 상단 영역(1011)과 측벽(1013)에서의 제1 도펀트 농도 간 차이는 약 5% 미만이다.
도 9를 참조하면, 도 9는 본 개시의 일부 실시예에 따른 FinFET 구조체의 접합 부분의 단면도를 도시한다. 도 8과 도 9 간 차이는 제1 핀(100)의 제2 도펀트층(209)과 제2 핀(200)의 제2 도펀트층(209) 위에 캐핑층(219)이 더 배치된다는 점이다. 도 9에 도시된 캐핑층(219)은 본 개시에서 후술되는 바와 같이 고온 어닐링 공정 하에서 제2 도펀트층(209)에 안정적인 밀봉을 제공하기 위한 것이다.
도 10을 참조하면, 도 10은 본 개시의 일부 실시예에 따른 도 1의 BB 선과 도 9의 EE 선을 따른 FinFET 구조체의 단면도를 도시한다. 게이트(105) 및 측벽 스페이서(104)는 제1 핀(103) 위에 위치된다. 도 10에 도시된 점선은 제1 핀(103)을 가로지르는 게이트(105) 및 측벽 스페이서(104)의 숨겨진 윤곽을 나타낸다. 제1 도펀트층(109), 캐핑층(129), 제2 도펀트층(209), 및 캐핑층(219)은 제1 핀(103) 위에 순차적으로 배치되고, 게이트(105)의 측벽(1051, 1053)에 인접한다.
도 11을 참조하면, 도 11은 본 개시의 일부 실시예에 따른 도 1의 BB 선과 도 9의 FF 선을 따른 FinFET 구조체의 단면도를 도시한다. 게이트(105) 및 측벽 스페이서(104)는 제2 핀(200) 위에 위치된다. 도 11에 도시된 점선은 제2 핀(201)을 가로지르는 게이트(105) 및 측벽 스페이서(104)의 숨겨진 윤곽을 나타낸다. 제2 도펀트층(209) 및 캐핑층(219)은 제2 핀(201) 위에 순차적으로 배치되고, 게이트(105)의 측벽(1051, 1053)에 인접한다. 도 11에 도시된 바와 같이, 재성장 소스(301)와 재성장 드레인(303)이 제2 핀(201)에 부분적으로 형성된다. 일부 실시예에서, 재성장 영역의 가장 넓은 부분은 측벽 스페이서(104) 아래에서 침식된다. 다른 실시예에서, 재성장 영역의 가장 넓은 부분은 제2 핀(201)의 접합 부분(107)에서 경도핑 영역 또는 LDD 위에 형성된 삼중층(tri-layer)과 접촉한다.
도 12 내지 도 18은 본 개시의 일부 실시예에 따른 FinFET 구조체에 대한 제조 방법의 동작들을 도시하는, 도 1의 AA 선을 따른 단편적 단면도를 도시한다. 도 12에서, 복수의 반도체 핀(101, 103, 201, 203)이 당업계에 공지된 포토리소그래피(photolithography) 및 에칭(etching) 기술을 이용하여 형성되고, 절연층(200')을 퇴적하고 상기 반도체 핀의 일부를 노출하기 위해 미리 정해진 높이로 절연층(200')을 에치백(etch back)하는 것이 뒤따른다. 일부 실시예에서, 절연층(200')으로부터 밀려나온 높이 H1과 절연층(200')에 의해 둘러싸인 높이 H2의 비(ratio)는 약 0.3 내지 약 1의 범위에 있다. 핀(101, 103)은 "핀의 제1 세트"("first set of fins")(100)로 표시된다. 핀(201, 203)은 본 개시에서 "핀의 제2 세트"("second set of fins")(200)로 표시된다. 핀의 제1 세트(100)와 핀의 제2 세트(200)는 상이한 도전형의 도펀트(dopant)들을 가질 수 있다.
도 13 및 도 14를 참조하면, 확산장벽(119)은 핀의 제2 세트(200)의 상면(201A) 및 측벽(201B) 위에 형성된다. 도 13에서, 확산장벽(119)의 블랭킷 퇴적(blanket deposition)이 모든 핀을 커버하기 위해 수행되고, 도 14에 도시된 바와 같이, 핀의 제1 세트(100) 위에 배치된 확산장벽(119)의 일부를 제거하기 위해 포토리소그래피 및 에칭 공정이 뒤따른다. 패턴 마스킹층(patterned masking laye)(1400)는 포토레지스트(photoresist)일 수 있다. 일부 실시예에서, 확산장벽(119)은 약 3nm 내지 약 8nm의 두께를 가지는 SiN층일 수 있다. H3PO4계 화합물은 SiN층을 제거하는데 사용될 수 있다. 일부 실시예에서, 바닥 반사 방지 코팅(BARC: bottom anti-reflection coating)이 마스킹층(1400)의 형성 이전에 확산장벽(119) 위에 컨포멀하게 퇴적된다. 일부 실시예에서, 산화물층(oxide layer)(미도시)은, 예를 들어 반도체 칩의 I/O 영역에서, 확산장벽(119)의 퇴적 이전에 핀 위에 퇴적된다. 따라서, 추가적인 공정이 산화물층을 제거하기 위해 핀의 제1 세트(100)가 노출될 때까지 수행된다.
도 15를 참조하면, 도 14에서 마스킹층(1400)의 제거 후에, 제1 타입의 도펀트들, 예를 들어 인(phosphor) 또는 비소(arsenic)와 같은 n-형 도펀트들을 포함하는 제1 도펀트층(109)이 모든 핀 위에 컨포멀하게 퇴적된다. 일부 실시예에서, 원자층 퇴적(ALD: atomic layer deposition)이 제1 도펀트층(109)을 퇴적하기 위해 사용된다. ALD는 퇴적된 층의 원자 레벨 두께 제어(atomic level thickness control)를 달성하기 위해 공정에 사용된다. 일부 실시예에서, 플라즈마 강화 원자층 퇴적(PEALD: plasma-enhanced ALD)은 약 2nm 내지 약 8nm의 두께를 가지는 PSG층을 퇴적하기 위해 사용된다. PSG층은 약 1E22/cm3 또는 그 보다 더 큰 제1 도펀트 농도를 포함할 수 있다. 또한, PEALD는 제1 도펀트 농도가 핀 부근에서 더 크고 위치가 핀으로부터 멀리 이동함에 따라 서서히 감소하는 단계적 제1 도펀트층(109)을 형성하기 위해 사용될 수 있다. 도 15에서, 제1 도펀트층(109)은 핀의 제1 세트(100)의 상면(103A) 및 측벽(103B)과 직접 접촉하는 반면, 확산장벽(119)에 의해 핀의 제2 세트(200)로부터 분리된다.
도 16을 참조하면, 캐핑층(129)은 미리 핀의 제1 세트(100) 위에 퇴적된 제1 도펀트층(109) 위에 선택적으로 퇴적될 수 있다. 일부 실시예에서, 캐핑층(129)은 약 8nm 내지 약 12nm의 두께를 위해 ALD 또는 PEALD에 의해 퇴적될 수 있다. 일부 실시예에서, 캐핑층(129)은 오프셋 측벽 퇴적(offset sidewall deposition) 및 더미 측벽 퇴적(dummy sidewall deposition)을 포함한다. 도 15 및 도 16을 참조하면, 캐핑층(129) 및 제1 도펀트층(109)의 ALD 공정은 단일 공정으로 수행될 수 있다. 일부 실시예에서, 캐핑층은 질화물(nitride) 물질들을 포함한다.
도 17을 참조하면, 어닐링 공정은 제1 도펀트층(109)에서 핀의 제1 세트(100)로 도펀트들을 확산하기 위해 수행된다. 일부 실시예에서, 어닐링 공정은 약 1.5 내지 10초의 기간(duration) 및 약 950℃ 내지 약 1050℃의 온도 하에서의 스파이크 어닐링(spike anneal)을 포함한다. 도 17에 도시된 바와 같이, 핀의 제2 세트(200) 위에 위치되는 제1 도펀트층(109)에서의 도펀트들은 제1 도펀트들이 핀의 제2 세트(200)로 확산되는 것을 막는 확산장벽(119)에 의해 차단된다. 일부 실시예에서, 1초의 기간 및 1000℃에서의 스파이크 어닐링으로, 1E19/cm3보다 높은 도펀트 농도가 이차이온질량분석(SIMS: secondary ion mass spectrometry) 측정에 의해 도펀트층과 핀의 인터페이스 아래 25nm에서 측정될 수 있다. 유사하게, 10초의 기간 및 1000℃에서의 스파이크 어닐링으로, 1E19/cm3보다 높은 도펀트 농도가 상기 인터페이스 아래 60nm에서 측정될 수 있다. 도 16에 형성된 캐핑층(129)은 어닐링 공정 하에서 제1 도펀트층(109)이 휘발되는 것을 방지하기 위한 강력한 실드(shield)로서 사용될 수 있다.
일부 실시예에서, 평균 반도체 핀 폭(width) W는 약 10㎚ 내지 15㎚이고, 스파이크 어닐링 이후 확산 프로파일(diffusion profile)은 반도체 핀의 폭 W를 효과적으로 커버할 수 있으며, 그런 이유로 도 18에 도시된 일 실시예에서와 같이, 핀의 제1 세트(100)의 상단 영역(1011) 및 측벽 영역들(1013)에서의 도펀트 농도는 실질적으로 동일하다. 또한, 핀의 제1 세트(100)의 단면에서의 도펀트 농도는 핀의 제1 세트(100)의 상면(101A) 및 측벽(101B)을 따라 반전된 U 형상 윤곽(미도시)을 나타낸다.
도 18에서, 커버층(300)은 핀의 제1 세트 및 제2 세트 위에 형성될 수 있다. n-형 핀의 접합 부분만이 도핑되도록 의도되는 일부 실시예에서, 커버층(300)은 도 1 내지 도 11에서 전술된 바와 같이 측벽 스페이서일 수 있다. 다른 실시예에서, n-형 핀과 p-형 핀 모두의 접합 부분들이 도핑되도록 의도되면, 커버층(300)은 포토레지스트일 수 있다. 도 19 내지 도 22는 n-형 핀의 도핑 이후 p-형 핀을 더 도핑하기 위한 공정들을 나타낸다. n-형 LDD 도핑 또는 p-형 LDD 도핑은 상술된 공정들 또는 동등한 수단을 사용하여 독립적으로 수행될 수 있는 것으로 이해된다. n-형 LDD 도핑 또는 p-형 LDD 도핑을 수행하는 순서는 본 개시에서 제공되는 제한이 아니다.
도 19에서, 포토레지스트(1900)는 패터닝되고, 확산장벽(119), 제1 도펀트층(109), 캐핑층(129)은 핀의 제2 세트(200)를 노출시키기 위해 적합한 에칭 공정들에 의해 제거된다. 포토레지스트(1900)의 제거 이후, 도 20에서, 도 15에서 전술된 것과 유사한 조건에서 제2 도펀트층(209)이 핀의 제2 세트(200) 위에 퇴적된다. 제2 도펀트층(209)은 붕소(boron)와 같은 제2 타입의 도펀트들을 포함한다. BSG 또는 붕소 도핑 산화물(boron-doped oxides)은 제2 도펀트층(209)을 형성하는데 사용될 수 있다. 일부 실시예에서, 어닐링 공정은 제2 도펀트층(209)의 퇴적 이전 또는 이후에 수행될 수 있다. 도 21에 도시된 바와 같이, 선택적 캐핑층(219)은 도 16에 전술된 바와 같이 제2 도펀트층(209) 위에 형성되고, 어닐링 공정이 뒤따른다. 제2 도펀트층(209) 내 제2 도펀트들과 제1 도펀트층(109) 내 제1 도펀트들이 각각 핀의 제2 세트(200)와 핀의 제1 세트(100)로 확산한다. 도 22에서, 측벽 스페이서(104)는 모든 핀을 커버하기 위해 형성된다. 핀의 제2 세트(200)의 상단 영역(2011) 및 측벽 영역(2013)에서의 제2 도펀트 농도는 실질적으로 동일하다. 동일한 디바이스에서, 핀의 제1 세트(100)의 상단 영역(1011) 및 측벽 영역(1013)에서의 제1 도펀트 농도는 실질적으로 동일하다.
도 23 내지 도 29는 제1 핀(103)의 접합 부분(107) 위의 제1 도펀트층(109)의 형성 후의 공정들을 나타내는, 도 1의 BB 선을 따른 단편적 단면도이다. 도 23에서, 선택적 산화물층(106)은 대체 게이트(replacement gate)(105') 아래 그리고 제1 핀(103) 위에 형성된다. 일부 실시예에서, 선택적 산화물층은 반도체 핀이, 예를 들어 디바이스의 I/O 영역에 형성된 후 블랭킷 퇴적된다. 제1 도펀트층(109) 및 캐핑층(129)이 그 뒤에 접합 부분(107) 및 대체 게이트(105') 위에 컨포멀하게 형성된다. 도 24에서, 측벽 스페이서(104)는 적어도 접합 부분(107)과 제1 도펀트층(109)을 커버하기 위해 형성된다. 다른 유전층(dielectric layer)이 측벽 스페이서(104)를 둘러싸기 위해 더 형성되지만 명료성 목적을 위해 도 24에 도시되지 않는다. 도 25에서, 재성장 소스(301)와 재성장 드레인(303)이 제1 핀(103)에 형성되고, 측벽 스페이서(104)에 인접한다. 일부 실시예에서, 재성장 소스 및 드레인은 제1 핀(103)에 형성된 리세스(미도시)에 적절한 물질들을 퇴적함으로써 형성된다. 제1 핀(103)은 리세스에 에피택셜 물질(epitaxial material)을 퇴적하기 위해 인-함유, 탄소(carbon)-함유, 및 실리콘-함유 소스 증기들의 펄스에 노출된다. 일부 실시예에서, 펄스는 탄소-함유 소스 증기와 실리콘-함유 소스 증기를 더 포함한다. 일부 실시예에서, PH3을 포함하는 인-함유 소스 증기는 약 260 sccm과 약 310 sccm 사이의 유량(flow rate)을 더 갖는다. 일부 실시예에서, 모노메틸실란(MMS: monomethyl silane)을 포함하는 탄소-함유 소스 증기는 약 132 sccm과 약 120 sccm 사이의 유량을 더 갖는다. 일부 실시예에서, SiH4 또는 Si3H8을 포함하는 실리콘-함유 소스 증기는 약 190 sccm의 유량을 갖는다. 일부 실시예에서, 에피택셜 물질은 약 2E21/cm3와 약 5E21/cm3 사이의 인 농도를 가지고, 채널 내에 인장 변형(tensile strain)을 만들어 내도록 구성된다.
도 26에서, 화학적 기계적 연마 공정(chemical mechanical polishing operation)과 같은 평탄화 공정(planarization operation)이 제1 도펀트층(109) 및 측벽 스페이서(104)의 일부를 제거하기 위해 수행되고, 따라서 대체 게이트(105')가 노출된다. 도 27은 에칭 공정, 예를 들어 건식 에칭 공정에 의한 대체 게이트(105')의 제거를 나타낸다. 제1 도펀트층(109)은 건식 에칭 공정 동안 부분적으로 제거될 수 있다. 그 뒤에, 도 28에 도시된 바와 같이 습식 에칭 공정(wet etch operation)이 수행된다. 캐핑층(129)과 나머지 제1 도펀트층(109)은 모두 습식 에칭 공정에서 제거될 수 있다. 산화물층(106)은 적합한 에칭 공정을 이용하여 제거된다.
도 29를 참조하면, 금속 게이트(105)는 대체 게이트(105')의 제거로 발생한 트렌치(trench)를 채우기 위해 다층 퇴적에 의해 형성된다. 일부 실시예에서, 하이-k(high-k) 유전층(106')은 금속 게이트(105)의 퇴적 이전에 형성된다. 금속 게이트의 상면은 평탄화 공정을 더 거친다.
본 개시의 일부 실시예는 복수의 핀, 게이트, 및 제1 도펀트층을 포함하는 FinFET 구조체를 제공한다. 상기 게이트는 상기 복수의 핀 위에 실질적으로 수직으로 배치되며, 상기 복수의 핀의 측벽의 일부 및 상면의 일부를 커버한다. 상기 제1 도펀트층은 제1 핀의 접합 부분의 측벽과 상면을 커버하며, 상기 제1 핀의 접합 부분에 제1 도전형의 도펀트들을 제공하도록 구성된다. 상기 접합 부분은 상기 게이트에 인접한다.
일부 실시예에서, 상기 FinFET 구조체는 상기 접합 부분 위의 상기 제1 도펀트층을 커버하는 측벽 스페이서를 더 포함한다.
일부 실시예에서, 상기 FinFET 구조체는 제2 핀의 접합 부분의 측벽과 상면을 커버하며, 상기 제2 핀의 접합 부분에 제2 도전형의 도펀트들을 제공하도록 구성되는 제2 도펀트층을 더 포함한다.
일부 실시예에서, 상기 FinFET 구조체는 제2 핀의 접합 부분의 측벽과 상면을 커버하는 이중층(bi-layer)을 더 포함한다. 상기 이중층은 제1 도펀트층과 확산장벽층(diffusion barrier layer)을 포함한다.
일부 실시예에서, 상기 제1 도펀트층은 보로실리케이트 유리(BSG: borosilicate glass), 포스포실리케이트 유리(PSG: phosphosilicate glass), 보로포스포실리케이트 유리(BPSG: borophosphosilicate glasses), 또는 이들의 조합을 포함한다.
일부 실시예에서, 상기 제1 핀 내 제1 도펀트 농도는 상기 제1 핀의 측벽과 상면 부근에서 균일하게 분포된다.
일부 실시예에서, 상기 제1 도펀트층의 두께는 약 2nm 내지 약 8nm의 범위에 있다.
본 개시의 일부 실시예는 제1 반도체 핀 및 금속 산화물 반도체(MOS: metal oxide semiconductor) 구조체의 채널을 커버하는 금속 게이트를 포함하는 금속 산화물 반도체 구조체를 제공한다. 상기 제1 반도체 핀은 재성장 영역 및 경도핑 영역을 포함한다. 상기 경도핑 영역은 상기 재성장 영역 및 상기 금속 게이트 사이에 있다. 상기 경도핑 영역의 상면 부근에서의 제1 도펀트 농도는 상기 경도핑 영역의 바닥 측벽 부근에서의 제1 도펀트 농도와 실질적으로 동일하다.
일부 실시예에서, 상기 경도핑 영역의 상면 부근에서의 제1 도펀트 농도와 상기 경도핑 영역의 측벽 부근에서의 제1 도펀트 농도 간 차이는 약 5% 미만이다.
일부 실시예에서, 상기 경도핑 영역의 상면 및 측벽은 제1 도전형의 도펀트를 갖는 상기 경도핑 영역을 제공하도록 구성된 제1 도펀트층에 의해 커버된다.
일부 실시예에서, 상기 도펀트층은 BSG, PSG, BPSG, 또는 이들의 조합을 포함한다.
일부 실시예에서, 상기 제1 도펀트층의 두께는 약 1nm 내지 약 8nm의 범위에 있다.
일부 실시예에서, 금속 산화물 반도체 구조체는 재성장 영역 및 상기 금속 게이트 사이에 경도핑 영역을 갖는 제2 반도체 핀을 더 포함한다. 상기 경도핑 영역의 상면 부근에서의 제2 도펀트 농도는 상기 경도핑 영역의 바닥 측벽 부근에서의 제2 도펀트 농도와 실질적으로 동일하다.
일부 실시예에서, 상기 경도핑 영역의 상면 부근에서의 제2 도펀트 농도와 상기 경도핑 영역의 측벽 부근에서의 제2 도펀트 농도 간 차이는 약 5% 미만이다.
본 개시의 일부 실시예는 (1) 복수의 반도체 핀을 형성하는 단계; (2) 상기 복수의 반도체 핀의 제1 세트의 측벽과 상면 위에 확산장벽을 형성하는 단계; (3) 상기 복수의 반도체 핀의 제2 세트의 측벽과 상면 위에 제1 도펀트층을 형성하는 단계로서, 상기 제1 도펀트층은 제1 도전형의 도펀트들을 포함하는 것인, 상기 제1 도펀트층 형성 단계; 및 (4) 어닐링 공정에 의해 상기 복수의 반도체 핀의 제2 세트에 상기 제1 도전형의 도펀트들을 확산하는 단계를 포함하는 FinFET 구조체의 제조 방법을 제공한다. 상기 상면 부근에서의 상기 제1 도전형의 도펀트들의 도펀트 농도는 상기 복수의 반도체 핀의 제2 세트의 바닥 측벽 부근에서의 상기 제1 도전형의 도펀트들의 도펀트 농도와 실질적으로 동일하도록 제어된다.
일부 실시예에서, 상기 제1 도펀트층 형성 단계는, ALD 공정에 의해 약 3nm 내지 약 5nm의 범위의 두께를 가지는 도핑된 산화물층을 형성하는 단계를 포함한다.
일부 실시예에서, 캐핑층 형성 단계는, ALD 공정에 의해 약 8nm 내지 약 12nm의 범위의 두께를 가지는 질화물층을 형성하는 단계를 포함한다.
일부 실시예에서, 상기 어닐링 공정은 약 950℃ 내지 약 1050℃의 온도 범위에서 약 1.5 내지 약 10초의 기간을 포함한다.
일부 실시예에서, 상기 방법은 에칭 공정에 의해 상기 복수의 반도체 핀의 제1 세트로부터 상기 확산장벽층을 제거하는 단계를 더 포함한다.
본 개시의 양태들을 본 발명분야의 당업자가 보다 잘 이해할 수 있도록 앞에서는 여러 개의 실시예들의 특징들을 약술해왔다. 본 발명분야의 당업자는 여기서 소개한 실시예들의 동일한 목적들을 수행하거나 및/또는 동일한 이점들을 달성하기 위한 다른 공정들 및 구조물들을 설계하거나 또는 수정하기 위한 기초로서 본 개시를 자신들이 손쉽게 이용할 수 있다는 것을 알아야 한다. 본 발명분야의 당업자는 또한 이와 같은 등가적 구성들은 본 개시의 사상과 범위를 이탈하지 않는다는 것과, 본 개시의 사상과 범위를 이탈하지 않고서 당업자가 다양한 변경들, 대체들, 및 개조들을 본 발명에서 행할 수 있다는 것을 인식해야 한다.

Claims (10)

  1. FinFET 구조체에 있어서,
    복수의 핀(fin);
    상기 복수의 핀 위에 직교하여 배치되고, 상기 복수의 핀의 측벽의 일부 및 상면의 일부를 커버하는 게이트; 및
    제1 핀의 접합 부분의 측벽과 상면을 커버하고, 상기 제1 핀의 접합 부분에 제1 도전형의 도펀트(dopant)를 제공하도록 구성되는 제1 도펀트층으로서, 상기 접합 부분은 상기 게이트에 인접한 것인, 상기 제1 도펀트층; 및
    상기 제1 도펀트층의 일 단부를 커버하는 측벽 스페이서(sidewall spacer)
    를 포함하는 FinFET 구조체.
  2. 제1항에 있어서, 상기 측벽 스페이서는 상기 접합 부분 위의 상기 제1 도펀트층을 커버하는 FinFET 구조체.
  3. 제1항에 있어서, 제2 핀의 접합 부분의 측벽과 상면을 커버하고, 상기 제2 핀의 접합 부분에 제2 도전형의 도펀트를 제공하도록 구성되는 제2 도펀트층을 더 포함하는 FinFET 구조체.
  4. 제1항에 있어서, 제2 핀의 접합 부분의 측벽과 상면을 커버하는 이중층(bi-layer)을 더 포함하며,
    상기 이중층은 제1 도펀트층과 확산장벽층(diffusion barrier layer)을 포함하는 것인 FinFET 구조체.
  5. 제1항에 있어서, 상기 제1 도펀트층은 보로실리케이트 유리(BSG: borosilicate glass), 포스포실리케이트 유리(PSG: phosphosilicate glass), 보로포스포실리케이트 유리(BPSG: borophosphosilicate glasses), 또는 이들의 조합을 포함하는 것인 FinFET 구조체.
  6. 제1항에 있어서, 상기 제1 핀 내의 제1 도펀트 농도는 상기 제1 핀의 측벽과 상면 부근에서 균일하게 분포되는 것인 FinFET 구조체.
  7. FinFET 구조체의 제조 방법에 있어서,
    복수의 반도체 핀(fin)을 형성하는 단계;
    상기 복수의 반도체 핀의 제1 세트의 측벽과 상면 위에 확산장벽(diffusion barrier)을 형성하는 단계;
    상기 복수의 반도체 핀의 제2 세트의 측벽과 상면 위에 제1 도펀트층을 형성하는 단계로서, 상기 제1 도펀트층은 제1 도전형의 도펀트를 포함하는 것인 상기 제1 도펀트층 형성 단계;
    어닐링 공정에 의해 상기 복수의 반도체 핀의 제2 세트에 상기 제1 도전형의 도펀트를 확산하는 단계; 및
    상기 제1 도펀트층의 일 단부를 커버하는 측벽 스페이서를 형성하는 단계
    를 포함하며,
    상기 복수의 반도체 핀의 제2 세트의 상면 부근에서의 상기 제1 도전형의 도펀트의 도펀트 농도는 상기 복수의 반도체 핀의 제2 세트의 바닥 측벽 부근에서의 상기 제1 도전형의 도펀트의 도펀트 농도와 동일하도록 제어되는 것인 FinFET 구조체의 제조 방법.
  8. 제7항에 있어서, 상기 어닐링 공정 이전에 상기 제1 도펀트층 위에 캐핑층을 형성하는 단계를 더 포함하는 것인 FinFET 구조체의 제조 방법.
  9. 제7항에 있어서, 상기 제1 도펀트층 형성 단계는 원자층 퇴적(Atomic Layer Deposition; ALD) 공정에 의해 3nm 내지 5nm 범위의 두께를 갖는 도핑된 산화물층을 형성하는 단계를 포함하는 것인 FinFET 구조체의 제조 방법.
  10. 제7항에 있어서, 에칭 공정에 의해 상기 복수의 반도체 핀의 제1 세트로부터 상기 확산장벽을 제거하는 단계를 더 포함하는 FinFET 구조체의 제조 방법.
KR1020150165606A 2014-12-26 2015-11-25 Finfet 구조체 및 그 제조 방법 KR101792918B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/583,449 2014-12-26
US14/583,449 US9515072B2 (en) 2014-12-26 2014-12-26 FinFET structure and method for manufacturing thereof

Publications (2)

Publication Number Publication Date
KR20160079645A KR20160079645A (ko) 2016-07-06
KR101792918B1 true KR101792918B1 (ko) 2017-11-02

Family

ID=56165122

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150165606A KR101792918B1 (ko) 2014-12-26 2015-11-25 Finfet 구조체 및 그 제조 방법

Country Status (4)

Country Link
US (2) US9515072B2 (ko)
KR (1) KR101792918B1 (ko)
CN (1) CN105742356B (ko)
TW (1) TWI585855B (ko)

Families Citing this family (330)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN110047933B (zh) 2013-09-25 2022-11-08 太浩研究有限公司 用于finfet架构的用固态扩散源掺杂的隔离阱
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9390976B2 (en) 2014-05-01 2016-07-12 International Business Machines Corporation Method of forming epitaxial buffer layer for finFET source and drain junction leakage reduction
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9847233B2 (en) * 2014-07-29 2017-12-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9620407B2 (en) 2014-12-08 2017-04-11 Applied Materials, Inc. 3D material modification for advanced processing
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9515072B2 (en) * 2014-12-26 2016-12-06 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method for manufacturing thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9548388B1 (en) * 2015-08-04 2017-01-17 International Business Machines Corporation Forming field effect transistor device spacers
KR102427596B1 (ko) * 2015-09-03 2022-07-29 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US11222947B2 (en) 2015-09-25 2022-01-11 Intel Corporation Methods of doping fin structures of non-planar transistor devices
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9735275B2 (en) * 2015-12-18 2017-08-15 International Business Machines Corporation Channel replacement and bimodal doping scheme for bulk finFET threshold voltage modulation with reduced performance penalty
US9634009B1 (en) * 2015-12-18 2017-04-25 International Business Machines Corporation System and method for source-drain extension in FinFETs
US9607837B1 (en) * 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10998443B2 (en) 2016-04-15 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Epi block structure in semiconductor product providing high breakdown voltage
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
TWI704622B (zh) 2016-11-15 2020-09-11 聯華電子股份有限公司 半導體元件及其製作方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10164066B2 (en) * 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US9805988B1 (en) 2016-12-01 2017-10-31 Globalfoundries Inc. Method of forming semiconductor structure including suspended semiconductor layer and resulting structure
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10297663B2 (en) 2017-04-19 2019-05-21 International Business Machines Corporation Gate fill utilizing replacement spacer
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
CN109216448A (zh) * 2017-06-29 2019-01-15 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10727226B2 (en) * 2017-07-18 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN109390401B (zh) * 2017-08-10 2022-07-05 联华电子股份有限公司 半导体元件及其制作方法
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10510671B2 (en) * 2017-11-08 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with conductive line
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
DE102018105741B3 (de) 2018-03-13 2019-07-11 Infineon Technologies Dresden Gmbh Verfahren zum erzeugen komplementär dotierter halbleitergebiete in einem halbleiterkörper und halbleiteranordnung
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10840355B2 (en) * 2018-05-01 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Increasing source/drain dopant concentration to reduced resistance
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11670551B2 (en) * 2019-09-26 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Interface trap charge density reduction
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20210153385A (ko) * 2020-06-10 2021-12-17 삼성전자주식회사 집적회로 장치
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114121671A (zh) * 2021-11-23 2022-03-01 上海华力集成电路制造有限公司 半导体器件的制造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080237719A1 (en) * 2007-03-28 2008-10-02 Doyle Brian S Multi-gate structure and method of doping same
US20130102137A1 (en) * 2011-10-25 2013-04-25 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Doping method in 3d semiconductor device

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT1225625B (it) * 1988-11-03 1990-11-22 Sgs Thomson Microelectronics Procedimento per la realizzazione di strutture di isolamento incassate nel substrato di silicio per dispositivi cmos ed nmos.
JPH08181220A (ja) * 1994-12-21 1996-07-12 Nippondenso Co Ltd 半導体装置の製造方法
KR970052024A (ko) * 1995-12-30 1997-07-29 김주용 에스 오 아이 기판 제조방법
JP4718908B2 (ja) * 2005-06-14 2011-07-06 株式会社東芝 半導体装置および半導体装置の製造方法
US8883597B2 (en) * 2007-07-31 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8980719B2 (en) * 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US9997357B2 (en) * 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8252689B2 (en) * 2010-11-30 2012-08-28 Institute of Microelectronics, Chinese Academy of Sciences Chemical-mechanical planarization method and method for fabricating metal gate in gate-last process
US8815659B2 (en) * 2012-12-17 2014-08-26 Globalfoundries Inc. Methods of forming a FinFET semiconductor device by performing an epitaxial growth process
US9087724B2 (en) * 2013-03-21 2015-07-21 International Business Machines Corporation Method and structure for finFET CMOS
CN104253046B (zh) * 2013-06-26 2016-12-28 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US9515072B2 (en) * 2014-12-26 2016-12-06 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method for manufacturing thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080237719A1 (en) * 2007-03-28 2008-10-02 Doyle Brian S Multi-gate structure and method of doping same
US20130102137A1 (en) * 2011-10-25 2013-04-25 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Doping method in 3d semiconductor device

Also Published As

Publication number Publication date
KR20160079645A (ko) 2016-07-06
US20170077269A1 (en) 2017-03-16
US20160190137A1 (en) 2016-06-30
CN105742356A (zh) 2016-07-06
TW201635379A (zh) 2016-10-01
US9515072B2 (en) 2016-12-06
US9859404B2 (en) 2018-01-02
TWI585855B (zh) 2017-06-01
CN105742356B (zh) 2019-06-11

Similar Documents

Publication Publication Date Title
KR101792918B1 (ko) Finfet 구조체 및 그 제조 방법
US10410871B2 (en) Semiconductor devices and methods of manufacturing the same
JP5551213B2 (ja) 半導体装置の製造方法
KR20130139158A (ko) 핀 전계 효과 트랜지스터 공정을 이용한 호모 접합 다이오드 구조물들
US20190035903A1 (en) Trench gate power mosfet and manufacturing method thereof
US20200058749A1 (en) Transistor layout to reduce kink effect
KR20190055717A (ko) 킹크 효과를 향상시키는 금속 게이트 모듈화
KR101832334B1 (ko) 반도체소자 및 그 제조방법
JP2010123798A (ja) 半導体装置とその製造方法
CN107919281B (zh) 具有非平面侧壁的半导体装置结构
KR20150144192A (ko) 반도체 장치 및 그 제조 방법
US9230861B2 (en) Method of forming a backside contact structure having selective side-wall isolation
US8492221B2 (en) Method for fabricating power semiconductor device with super junction structure
US9984939B2 (en) Well implantation process for FinFET device
US7851329B2 (en) Semiconductor device having EDMOS transistor and method for manufacturing the same
CN112582265B (zh) 半导体结构及其形成方法
US20130307064A1 (en) Power transistor device and fabricating method thereof
CN109545734A (zh) 半导体结构及其形成方法
CN112951765A (zh) 半导体结构及其形成方法
CN107564817B (zh) 一种FinFET器件的制造方法
TWI506705B (zh) 半導體裝置及其製造方法
KR100988775B1 (ko) 반도체 소자의 트랜치 컨택 형성 방법
CN115249746A (zh) 半导体结构及其形成方法
TWI388060B (zh) 溝槽金氧半導體場效電晶體結構及其製程
CN113539828A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant