KR101738738B1 - Mosfet 디바이스를 위한 구조 및 방법 - Google Patents

Mosfet 디바이스를 위한 구조 및 방법 Download PDF

Info

Publication number
KR101738738B1
KR101738738B1 KR1020140159872A KR20140159872A KR101738738B1 KR 101738738 B1 KR101738738 B1 KR 101738738B1 KR 1020140159872 A KR1020140159872 A KR 1020140159872A KR 20140159872 A KR20140159872 A KR 20140159872A KR 101738738 B1 KR101738738 B1 KR 101738738B1
Authority
KR
South Korea
Prior art keywords
gate
isolation
height
isolation gate
group
Prior art date
Application number
KR1020140159872A
Other languages
English (en)
Other versions
KR20160010262A (ko
Inventor
혼 지 리오
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20160010262A publication Critical patent/KR20160010262A/ko
Application granted granted Critical
Publication of KR101738738B1 publication Critical patent/KR101738738B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

본 개시는 기판에 형성되어 제1 방향을 따라 연장되는 하나 이상의 핀; 하나 이상의 핀에 형성되어 제1 방향에 실질적으로 수직인 제2 방향을 따라 연장되는 하나 이상의 게이트 - 하나 이상의 게이트는 제1 아이솔레이션 게이트 및 적어도 하나의 기능성 게이트를 포함함 -; 하나 이상의 게이트 각각의 2개의 측부 상에 형성된 소스/드레인 피쳐들; 소스/드레인 피쳐들에 형성되어 제1 아이솔레이션 게이트와 공면인(coplanar) 상단면을 형성하는 층간 유전층(ILD) 층을 포함하는 반도체 구조를 제공한다. 제1 아이솔레이션 게이트의 제1 높이는 적어도 하나의 기능성 게이트의 각각의 제2 높이보다 크다.

Description

MOSFET 디바이스를 위한 구조 및 방법{STRUCTURE AND METHOD FOR MOSFET DEVICE}
본 발명은 MOSFET 디바이스를 위한 구조 및 방법에 관한 것이다.
반도체 집적 회로(IC) 산업은 급격한 성장을 경험하고 있다. IC 물질 및 설계에서의 기술적 진보들은 IC 세대를 양산했는데, 각각의 세대는 이전 세대에 비해 더 작고 더 복잡한 회로들을 갖는다. IC 진화의 과정에서, 기능성 밀도(functional density)(즉, 칩 면적당 상호 연결된 디바이스들의 개수)는 일반적으로 증가하지만, 기하학적 크기(즉, 제조 공정을 사용하여 생성될 수 있는 가장 작은 컴포넌트(또는 라인))는 감소하였다. 이러한 규모 축소 프로세스는 일반적으로 생산 효율을 증가시키고 관련 비용을 줄임으로써 이점들을 제공한다.
이러한 규모 축소는 또한 IC들을 프로세싱하고 제조하는 복잡성을 증가시켰고, 이들 진보의 실현을 위해 IC 프로세싱 및 제조에 유사한 개발이 필요하다. 예를 들어, 평면 트랜지스터를 대체하기 위해 3차원 트랜지스터가 도입되었다. 일반적으로 기존의 반도체 디바이스들 및 반도체 디바이스들을 제조하는 방법이 이들의 의도한 목적에 적당함에도 불구하고, 모든 관점에서 전적으로 만족스러운 것은 아니다. 예를 들어, 3차원 나노 구조(three dimensional nanostructure)를 게이트 채널에 도입하는 것은 반도체 디바이스 프로세스 개발에 도전 과제를 야기한다. 이 영역에서의 개선이 요구된다.
본 발명에 의한 반도체 디바이스는, 기판 상에 형성되어 제1 방향을 따라 연장되는 하나 이상의 핀; 상기 하나 이상의 핀 상에 형성되고, 상기 제1 방향에 수직인 제2 방향을 따라 연장되며, 제1 아이솔레이션 게이트 및 적어도 하나의 기능성 게이트를 포함하는, 하나 이상의 게이트; 상기 하나 이상의 게이트 각각의 2개의 측부에 형성된 소스/드레인 피쳐들; 및 상기 소스/드레인 피쳐들 상에 형성되어 상기 제1 아이솔레이션 게이트와 공면인(coplanar) 상단면을 형성하는 층간 유전체(ILD: interlayer dielectric) 층을 포함하고, 상기 제1 아이솔레이션 게이트의 제1 높이는 상기 적어도 하나의 기능성 게이트 각각의 제2 높이보다 크다.
본 발명에 의한 핀형 전계 효과 트랜지스터(fin-like field-effect transistor)(FinFET) 디바이스는, 제1 방향으로 서로 이격된 제1 활성 영역과 제2 활성 영역을 포함하는 기판; 상기 제1 활성 영역에 구성된 제1 그룹의 핀들 및 상기 제2 활성 영역에 구성된 제2 그룹의 핀들 - 상기 제1 그룹의 핀들 및 상기 제2 그룹의 핀들 각각은 상기 제1 방향에 수직인 제2 방향을 따라 연장됨 -; 상기 제1 방향을 따라 상기 제1 활성 영역 및 상기 제2 활성 영역 위로 연장되도록 구성되고, 제1 아이솔레이션 게이트 및 적어도 하나의 기능성 게이트를 포함하는, 하나 이상의 게이트; 상기 하나 이상의 게이트의 측부들 상에 형성된 측벽 스페이서들; 상기 측벽 스페이서들의 측부들 상에 형성된 소스/드레인 피쳐들; 및 상기 소스/드레인 피쳐들 상에 형성되고 상기 하나 이상의 게이트와 공면인(coplanar) 상단면을 형성하는 층간 유전체(ILD: interlayer dielectric) 층을 포함하고, 상기 제1 아이솔레이션 게이트의 제1 높이는 상기 제1 아이솔레이션 게이트의 측부들 상에 형성된 측벽 스페이서들의 제2 높이보다 크다.
본 발명에 의한 반도체 디바이스를 형성하는 방법은, 디바이스 프리커서(device precusor)를 제공하는 단계로서, 상기 디바이스 프리커서는, 제1 방향으로 서로 이격된 제1 활성 영역과 제2 활성 영역을 포함하는 기판과, 상기 제1 활성 영역에 구성된 제1 그룹의 핀들 및 상기 제2 활성 영역에 구성된 제2 그룹의 핀들 - 상기 제1 그룹의 핀들 및 상기 제2 그룹의 핀들 각각은 상기 제1 방향에 수직인 제2 방향을 따라 연장됨 - 과, 폴리게이트를 포함하고 각각 상기 제1 방향을 따라 연장되는 하나 이상의 게이트로서, 상기 폴리게이트는 상기 제1 활성 영역 및 상기 제2 활성 영역 위로 연장되도록 그리고 제1 회로와 제2 회로를 분리시키도록 구성되는 것인, 상기 하나 이상의 게이트를 포함하는, 상기 디바이스 프리커서를 제공하는 단계; 상기 기판 위에 층간 유전체(ILD: interlayer dielectric) 층을 퇴적하는 단계; 트렌치를 형성하기 위해 상기 폴리게이트를 제거하는 단계; 식각 마스크 요소들로서 상기 ILD 층을 사용하여 상기 트렌치를 상기 기판으로 리세싱(recessing)하는 단계; 및 상기 제1 회로와 상기 제2 회로 사이에 아이솔레이션 게이트를 형성하기 위해 상기 리세싱된 트렌치에 하나 이상의 물질층들을 퇴적하는 단계를 포함한다.
본 개시의 양태들은 첨부 도면들과 함께 읽을 때 다음의 상세한 설명으로부터 가장 잘 이해된다. 산업에서의 표준 관행에 따라 다양한 특징들이 스케일에 따라 그려지지 않는다는 점에 유의한다. 실제로, 다양한 특징들의 치수들은 설명의 명확성을 위해 임의로 증가 또는 감소될 수 있다.
도 1a, 도 3a, 도 4a, 및 도 5a는 본 개시의 일부 실시예에 따라 다양한 제조 스테이지에서 구성된 FinFET 디바이스의 설계 레이아웃의 상면도이다.
도 1b, 도 5b, 및 도 6b는 본 개시의 일부 실시예에 따라 각각 도 1a, 도 5a, 및 도 6의 라인(A-A)을 따라 절취된 FinFET 디바이스의 단면도이다.
도 1c, 도 2a, 도 3b, 도 4b, 및 도 5c는 본 개시의 일부 실시예에 따라 각각 도 1a, 도 3a, 도 4a, 및 도 5a에서 FinFET 디바이스의 강조된 구조의 확대 상면도이다.
도 1d, 도 2b, 도 3c, 도 4c, 및 도 5d는 본 개시의 일부 실시예에 따라 각각 도 1c, 도 2a, 도 3b, 도 4b, 및 도 5c의 라인(A-A)을 따라 절취된 FinFET 디바이스의 강조된 구조의 단면도이다.
도 1e, 도 2c, 도 3d, 도 4d, 및 도 5e는 본 개시의 일부 실시예에 따라 각각 도 1c, 도 2a, 도 3b, 도 4b, 및 도 5c의 선(B-B)을 따라 절취된 FinFET 디바이스의 강조된 구조의 단면도이다.
도 6a는 본 개시의 일부 실시예들에 따라 구성된 FinFET 디바이스의 설계 레이아웃의 상면도이다.
도 6b는 본 개시의 일부 실시예에 따라 각각 도 6a의 라인(A-A)을 따라 FinFET 디바이스의 단면도이다.
도 7은 본 개시의 다양한 구현예에 따른 FinFET 디바이스를 제조하는 예시적인 방법의 흐름도이다.
다음의 개시는 제공된 요점의 상이한 특징들을 구현하기 위한 여러 상이한 실시예 또는 예시들을 제공한다. 이하, 본 개시를 간략화하기 위해 컴포넌트 및 배열의 특정 예시들이 설명된다. 당연히, 이들은 단순히 예시적인 것으로서 제한하려는 것이 아니다. 예를 들어, 다음과 같은 설명에서 제2 특징 위에 제1 특징의 형성은, 제1 특징 및 제2 특징이 직접 접촉에서 형성되는 실시예들을 포함할 수 있고, 또한 추가적인 특징들이 제1 특징과 제2 특징 사이에 형성되어 제1 특징 및 제2 특징이 직접 접촉하지 않을 수 있는 실시예들을 포함할 수 있다. 또한, 본 개시는 다양한 예시에서 참조 부호들 및/또는 문자들을 반복할 수 있다. 이러한 반복은 간소화 및 명료화를 위한 것으로서, 그것 자체가 설명된 다양한 실시예들 및/또는 구성 사이의 관계를 나타내는 것은 아니다.
또한, 설명의 용이성을 위해, 아래(beneath), 아래쪽에(below), 보다 낮은(lower), 위에(above), 위쪽(upper)에 등과 같은 공간적으로 상대적인 용어들은 도면들에 예시된 바와 같이 하나의 구성요소(들) 또는 피쳐의 다른 구성요소(들) 또는 피쳐(들)에 대한 관계를 설명하는데 사용될 수 있다. 공간적으로 상대적인 용어들은 도면들에 설명된 배향뿐 아니라 사용 중이거나 동작 중인 디바이스의 상이한 배향들을 포괄하기 위한 것이다. 장치는 달리 배향될 수 있고(90도 또는 다른 배향으로 회전될 수 있음), 여기에 설명된 공간적으로 상대적인 기술어(descriptor)들은 마찬가지로 해석될 수 있다.
본 개시는 금속 산화막 반도체 전계 효과 트랜지스터(MOSFET), 예를 들어, 핀 전계 효과 트랜지스터(fin-like field-effect transistor)(FinFET)에 관한 것이지만, 이로 제한되는 것은 아니다. FinFET 디바이스는, 예를 들어, P형 금속 산화막 반도체(PMOS) FinFET 디바이스 및 N형 금속 산화막 반도체(NMOS) FinFET 디바이스를 포함하는 상보성 금속 산화막 반도체(CMOS) 디바이스일 수 있다. 다음의 개시는 본 발명의 다양한 실시예를 예시하기 위해 FinFET를 예로 들어 계속될 것이다. 그러나, 본 출원은 특별히 요구되는 경우를 제외하고 특정한 타입의 디바이스로 제한되지 않아야 한다는 점이 이해된다.
도 1a는 본 개시의 일부 실시예들에 따라 구성된 FinFET 디바이스의 설계 레이아웃(100)의 상면도이다. 도 1에 도시된 바와 같이, 설계 레이아웃(100)은 PMOS(102) 및 NMOS(104)를 포함한다. PMOS(102)는 n-웰(n-well) 영역에 형성되고, NMOS(104)는 p-웰(p-well) 영역에 형성된다. PMOS(102)는 제1 활성 영역(106)에 구성될 수 있고, NMOS(104)는 제2 활성 영역(108)에 구성될 수 있다. 도 1a에 도시된 바와 같이, 제1 활성 영역(106)은 하나 이상의 핀 라인(fin line), 예를 들어, 핀 라인(106-1, 106-2, 및 106-3)을 포함할 수 있다. 이와 유사하게, 제2 활성 영역(108)은 또한 하나 이상의 핀 라인, 예를 들어, 핀 라인(108-1, 108-2, 및 108-3)을 포함할 수 있다. 하나 이상의 핀 라인은 제1 방향(192)을 따라 연장되도록 구성된다.
도 1a를 참조하면, 하나 이상의 게이트(110 내지 115)가 제2 방향(194)을 따라 연장되도록 구성되어 제1 활성 영역(106) 및 제2 활성 영역(108)에 형성된다. 하나 이상의 게이트(110 내지 115)는 서로 평행하도록 구성될 수 있다. 제2 방향(194)은 제1 방향(192)에 실질적으로 수직일 수 있다. 일부 실시예에서, 하나 이상의 게이트는 활성 영역들로 구성되어 셀 내에 하나 이상의 대응 풀-업(PU) 디바이스, 풀-다운(PD) 디바이스, 및 통과-게이트(PG) 디바이스를 형성할 수 있다. 도 1a에 도시된 바와 같이, 각각의 게이트의 도핑 영역들, 예를 들어, 소스들과 드레인들은 인접 게이트의 도핑 영역들에 전기 물리적으로 연결될 수 있다. 예를 들어, 게이트(111)의 소스들은 활성 영역들에 정의된 공통 소스 영역을 공유함으로써 게이트(112)의 소스들에 전기 물리적으로 연결되고, 게이트(111)와 게이트(112) 사이에 위치할 수 있다.
도 1a를 계속 참조하면, 다양한 컨택들(120 내지 127)은 도핑 영역을 전기적으로 연결하기 위한 도핑 영역들에 형성될 수 있다. 예를 들어, 제1 활성 영역(106)에서 게이트(111)의 도핑 드레인 영역에 게이트(110)의 도핑 드레인 영역을 전기적으로 연결시키기 위해 컨택(120)이 사용될 수 있다. 제2 활성 영역(108)에서 게이트(111)의 도핑 드레인 영역에 게이트(110)의 도핑 드레인 영역을 전기적으로 연결시키기 위해 컨택(121)이 사용될 수 있다. 제1 활성 영역(106)에서 게이트(113)의 도핑 드레인 영역에 게이트(112)의 도핑 드레인 영역을 전기적으로 연결시키기 위해 컨택(123)이 사용될 수 있다. 제2 활성 영역(106)에서 게이트(113)의 도핑 드레인 영역에 게이트(112)의 도핑 드레인 영역을 전기적으로 연결시키기 위해 컨택(124)이 사용될 수 있다. 제1 활성 영역(104)에서 게이트(115)의 도핑 드레인 영역에 게이트(114)의 도핑 드레인 영역을 전기적으로 연결시키기 위해 컨택(126)이 사용될 수 있다. 제2 활성 영역(106)에서 게이트(115)의 도핑 드레인 영역에 게이트(114)의 도핑 드레인 영역을 전기적으로 연결시키기 위해 컨택(127)이 사용될 수 있다.
하나 이상의 롱 컨택(long contact)은 제2 방향(194)을 따라 연장되고, 제1 활성 영역(106) 및 제2 활성 영역(108)에 걸쳐 연장되도록 구성될 수 있다. 롱 컨택들은 제1 방향(192)을 따라 연장되는 제1 치수 및 제2 방향(194)을 따라 연장되는 제2 치수를 갖고, 제1 치수는 제2 치수보다 실질적으로 짧다. 하나 이상의 롱 컨택은 제1 활성 영역(106)과 제2 활성 영역(108) 모두에 2개의 인접 게이트들의 도핑 영역들을 전기적으로 연결시키는데 사용될 수 있다. 예를 들어, 제1 활성 영역(106) 및 제2 활성 영역(108)을 통해 연장되는 게이트(111) 및 게이트(112)의 도핑 소스 영역들을 전기적으로 연결시키기 위해 롱 컨택(122)이 사용될 수 있다. 제1 활성 영역(106) 및 제2 활성 영역(108)을 통해 연장되는 게이트(113) 및 게이트(114)의 도핑 소스 영역들을 전기적으로 연결시키기 위해 롱 컨택(125)이 사용될 수 있다.
대응적으로 게이트를 금속 라우팅 라인들(미도시)에 라우팅하기 위한 대응 게이트들에 하나 이상의 게이트 컨택(128 내지 130)이 형성될 수 있다. 금속 라우팅 라인들은 게이트들 상의 하나 이상의 금속층(미도시)에 형성될 수 있다.
도 1a를 계속 참조하면, 설계 레이아웃(100)은 2이상의 회로, 예를 들어, 제1 회로(131) 및 제2 회로(132)를 포함할 수 있다. 일부 실시예에서, 제1 회로(131)와 제2 회로(132) 사이에 더미 게이트(113)와 같은 아이솔레이션 피쳐(isolation feature)가 형성될 수 있다.
도 1b는 본 개시의 일부 실시예에 따라 도 1a의 라인(A-A)을 따라 절취된 FinFET 디바이스(200)의 단면도이다. 도 1b에 도시된 바와 같이, FinFET 디바이스(200)는 기판(202)을 포함한다. 기판(202)은 벌크 실리콘(Si)을 포함할 수 있다. 다른 방법으로, 기판(202)에는 또한 결정 조직에서의 실리콘(Si) 또는 게르마늄(Ge)과 같은 기본 반도체가 포함될 수 있다. 기판(202)은 또한 실리콘 게르마늄(SiGe), 탄화 실리콘(SiC), 비화 갈륨(GaAs), 인화 갈륨(GaP), 인화 인듐(InP), 비화 인듐(InAs), 및/또는 안티몬화 인듐(InSb) 또는 이들의 조합을 포함할 수 있다. 가능한 기판(202)은 또한 SOI(Si-온-인슐레이터) 기판, SGOI(SiGe-온-인슐레이터) 기판, GOI(Ge-온-인슐레이터) 기판과 같은 반도체-온-인슐레이터 기판을 포함할 수 있다. 예를 들어, SOI 기판들은 산소 주입 분리(separation by implantation of oxygen)(SIMOX), 웨이퍼 본딩(wafer bonding), 및/또는 다른 적절한 방법들을 사용하여 제조될 수 있다.
도 1b를 참조하면, 설계 요구사항에 따라 다양한 도핑 영역(204)이 기판(202)에 포함될 수도 있다. 도핑 영역들은 붕소(B) 또는 불화 붕소(BF3)와 같은 p-타입 도펀트(dopant)들로 도핑될 수 있다. 도핑 영역들은 또한 인(P) 또는 비소(As)와 같은 n-타입 도펀트(dopant)들로 도핑될 수 있다. 도핑 영역들은 또한 p-타입 도펀트 및 n-타입 도펀트의 조합들로 도핑될 수 있다. 도핑 영역들은 기판(202) 위, p-웰(p-well) 구조, n-웰(n-well) 구조, 듀얼-웰(dual-well) 구조에 직접 형성되거나, 레이즈드 구조(raised structure)를 이용하여 형성될 수 있다.
도 1b을 계속 참조하면, FinFET 디바이스(200)는 하나 이상의 아이솔레이션 영역(206)을 포함할 수 있다. 하나 이상의 아이솔레이션 영역(206)은 기판(202)에 형성되어 활성 영역을 격리시킨다. 예를 들어, 각각의 아이솔레이션 영역(206)은 기판(202)의 인접 도핑 영역들(204)을 서로 분리시킨다. 하나 이상의 아이솔레이션 영역(206)은 STI(shallow trench isolation)와 같은 전통적인 격리 기술을 사용하여 형성되어 하나 이상의 활성 핀 라인들을 정의하고 전기적으로 격리시킨다. 일부 예시에서, 아이솔레이션 영역들(206)은 산화 실리콘, 질화 실리콘, 산질화 실리콘, 공극(air gap), 다른 적절한 물질 또는 이들의 조합을 포함할 수 있다. 아이솔레이션 영역들(206)은 임의의 절절한 프로세스에 의해 형성될 수 있다. 일부 예시에서, STI의 형성은 포토리소그래피 프로세스, (예를 들어, 건식 식각 및/또는 습식 식각을 사용함으로써) 기판(202)에 트렌치를 식각하는 단계, (예를 들어, 화학 증기 증착 프로세스를 사용함으로써) 트렌치를 하나 이상의 유전 물질로 채워서 아이솔레이션 영역(206)을 형성하는 것을 포함한다. 가득 찬 트렌치는 질화 실리콘 또는 산화 실리콘으로 채워진 열산화 라이너 층(thermal oxide liner layer)으로서 다층 구조를 가질 수 있다. 화학적 기계 연마(CMP) 프로세스는 과도한 유전 물질을 제거하고 아이솔레이션 영역(206)의 상면을 평탄화하기 위해 수행될 수 있다.
도 1b를 참조하면, 제1 활성 영역(106), 제2 활성 영역(108), 및 도핑 영역(204) 위에 하나 이상의 게이트(110 내지 115)가 형성될 수 있다. 하나 이상의 게이트(110 내지 115)는 기능성 게이트들(functional gates) 및/또는 더미 폴리게이트들(dummy polygates)을 포함할 수 있다. 예를 들어, 게이트(113)는 회로(131)과 회로(312)를 격리하도록 구성된 더미 폴리게이트일 수 있다. 더미 폴리게이트(113)는 폴리실리콘(polysilicon)을 포함할 수 있다. 게이트(110 내지 112와 114 및 115)는 기능성 게이트일 수 있다. 하나 이상의 게이트(110 내지 115)는 퇴적, 리소그래피 패턴화, 및/또는 식각 프로세스에 의해 형성될 수 있다. 퇴적 프로세스들은 화학적 증착법(CVD), 물리적 증착법(PVD), 원자층 증착법(atomic layer deposition)(ALD), 그 밖의 적절한 방법들, 및/또는 그 조합들을 포함할 수 있다.
도 1b을 계속 참조하면, 측벽 스페이서들(sidewall spacers; 216)은 게이트(110 내지 115) 각각을 따라 형성될 수 있다. 측벽 스페이서들(216)은 산화 실리콘, 질화 실리콘, 탄화 실리콘, 산질화 실리콘, 또는 이들의 조합과 같은 유전 물질을 포함할 수 있다. 측벽 스페이서들(216)은 다수의 층을 포함할 수 있다. 측벽 스페이서들(216)을 위한 통상적인 형성 방법들은 게이트들(110 내지 115) 각각에 유전 물질을 퇴적하는 단계를 포함한다. 그 후 유전 물질은 이방성 재식각될 수 있다. 재식각 프로세스(etching back process)는 식각 선택성(etch selectivity), 유연성(flexibility), 및 원하는 과식각 제어(over-etch control)를 획득하기 위한 다중 단계 식각을 포함할 수 있다. 일부 실시예에서, 게이트와 대응하는 측벽 스페이서들 사이에는 하나 이상의 물질층(미도시), 예를 들어 계면층(interfacial layer)이 형성될 수 있다. 하나 이상의 물질층은 계면층 및/또는 하이-k 유전층을 포함할 수 있다.
도 1b를 계속 참조하면, 기판(202)에 하나 이상의 소스/드레인 피쳐(208)가 형성될 수 있다. 일부 실시예에서, 하나 이상의 소스/드레인 피쳐(208)의 형성 프로세스들은 소스/드레인 트렌치들을 형성하기 위한 리세싱 단계(recessing) 및 소스/드레인 트렌치들에서 하나 이상의 소스/드레인 피쳐(208)를 형성하기 위한 퇴적 단계(depositing)를 포함할 수 있다. 일부 실시예에서, 하나 이상의 소스/드레인 피쳐(208)는 소스/드레인 리세싱 트렌치들에서 반도체 물질층을 에피택셜 성장시킴(epitaxially growing)으로써 형성될 수 있다. 하나 이상의 소스/드레인 피쳐(208)는 에피택셜 프로세스 동안 인-시츄(in-situ) 도핑될 수 있다. 예를 들어, 에피택셜 성장된 SiGe 소스/드레인 피쳐들은 붕소로 도핑될 수 있고; 에피택셜 성장된 Si 에피택셜 소스/드레인 피쳐들은 탄소로 도핑되어 실리콘:탄소(Si:C) 소스/드레인 피쳐들을 형성하고, 인으로 도핑되어 실리콘:인(Si:P) 소스/드레인 피쳐들을 형성하고, 또는 탄소와 인 모두로 도핑되어 실리콘 탄소 인(SiCP) 소스/드레인 피쳐들을 형성할 수 있다. 몇몇 실시예에서, 소스/드레인 피쳐들을 도핑하기 위해 주입 공정(implantation process)(즉, 접합 이식 프로세스(junction implant process))이 수행될 수 있다. 소스/드레인 에피택셜 피쳐를 활성화하기 위해 하나 이상의 열처리 프로세스(annealing process)가 수행될 수 있다. 어닐링 프로세스들은 급속 열처리(RTA) 프로세스 및/또는 레이저 열처리 프로세스를 포함할 수 있다. 일부 실시예에서, 소스/드레인 피쳐는 소스 영역이고, 다른 소스/드레인 피쳐는 드레인 영역이다. 인접한 소스/드레인 피쳐들(208)은 도 1a 및 도 1b에 도시된 바와 같이 게이트들(110 내지 115)의 대응 게이트 등의 게이트에 의해 분리된다. 도 1b에 도시된 바와 같이, 하나 이상의 컨택(120 내지 126)은 하나 이상의 소스/드레인 피쳐(208)에 형성된다.
추가 설명을 위해, 도 1c는 본 개시의 일부 실시예에 따라 도 1a 및 도 1b의 FinFET 디바이스의 강조된(highlighted) 구조(300)의 확대 상면도를 도시한다. 도 1c에 도시된 바와 같이, 활성 핀 라인(106-3)에 게이트 더미 폴리게이트(113)가 형성된다. 도 1d는 본 개시의 일부 실시예에 따라 각각 도 1c의 라인(A-A)을 따라 절취된 구조(300)의 단면도이다. 도 1e는 본 개시의 일부 실시예에 따라 각각 도 1c의 라인(B-B)을 따라 절취된 구조(300)의 단면도이다.
본 개시의 일부 실시예에 따르면, 층간 유전체(interlayer dielectric)(ILD) 층(218)은 도 2a 내지 도 2c에 도시된 바와 같이 소스/드레인 피쳐(208)에 형성될 수 있다. ILD 층(218)은 산화 실리콘, 산질화 실리콘, 또는 다른 적절한 유전 물질을 포함할 수 있다. ILD 층(218)은 단일 층 또는 다수의 층을 포함할 수 있다. ILD 층(218)은 CVD, ALD, 및 스핀-온 글라스(SOG)와 같은 스핀-온 유전체와 같은 적절한 기법에 의해 형성될 수 있다. ILD 층(218)을 형성한 후, 과도한 ILD 층(218)을 제거하고 ILD 층(218)의 상면을 평탄화하기 위해 화학적 기계 연마(CMP) 프로세스가 수행될 수 있다.
도 3a 내지 도 3d를 참조하면, 트렌치(220)를 형성하기 위해 제1 회로(131)와 제2 회로(132)를 격리하기 위한 더미 폴리게이트(113)가 제거된다. 더미 폴리게이트(113)는 임의의 적절한 리소그래피 및 식각 프로세스들을 사용하여 제거될 수 있다. 식각 프로세스들은 더미 폴리게이트(113)가 도핑 영역(204)에 대한 적당한 식각 선택성을 갖도록 선택적 습식 식각 또는 선택적 건식 식각을 포함할 수 있다. 더미 폴리게이트(113)를 제거한 후, 제1 활성 영역(106) 및 제2 활성 영역(108)에서의 하나 이상의 활성 핀 라인들이 드러난다. 일부 실시예에서, 리소그래피 공정은 포토레지스트 층(레지스트)을 형성하는 단계, 레지스트를 패턴에 노광시키는 단계, 노광 후 굽기(post-exposure bake) 프로세스를 수행하는 단계, 및 레지스트를 현상하여 레지스트를 포함하는 마스킹 요소를 형성하는 단계를 포함할 수 있다. 도 3a에 도시된 바와 같이, 마스킹 요소는 임의의 적절한 건식 식각 및/또는 습식 식각 방법에 의해 더미 폴리게이트(113)를 포함하는 영역(302)을 노광시키기 위해 사용될 수 있다.
도 4a 내지 도 4d를 참조하면, IDL 층(218)은 마스크 요소들로서 영역(302) 내의 트렌치(220)를 더 리세싱하여 트렌치(222)를 형성하기 위해 사용될 수 있다. 일부 실시예에서, 남아 있는 스페이서 측벽들(216)은 또한 마스크 요소들로서 트렌치(220)를 리세싱하기 위해 사용될 수 있다. 이는 자체 정렬 식각 프로세스로서 간주될 수 있다. 일부 실시예에서, 자체 정렬 프로세스를 사용하여 형성된 트렌치는 도 4c에 도시된 바와 같이 V 형상 트렌치(222)이다. 트렌치(220)에 노광된 활성 핀 라인(106-3)의 일부는 도 4a 및 도 4b에 도시된 바와 같이 제거된다. 도 4c에 도시된 바와 같이, 소스/드레인 피쳐(208)의 상단면과 리세싱된 V 형상의 트렌치(222)의 하단면 사이의 깊이(d1)는 약 50nm부터 약 200nm 범위에 있을 수 있다. 본 실시예에서, 더미 폴리게이트의 영역보다 실질적으로 큰 노광 면적을 갖는 마스크 요소가 기판을 식각하여 트렌치를 형성하는데 사용될 수 있다. 예를 들어, 도 1a, 도 3a, 및/또는 도 4a의 노광 영역(302)의 면적은 더미 폴리게이트(113) 및/또는 트렌치(220)의 면적보다 실질적으로 크다. 이는 리소그래피 친화 프로세스를 제공할 수 있다.
도 5a 내지 도 5d를 참조하면, 하나 이상의 물질층(224)은 트렌치(222)에 퇴적되어 아이솔레이션 게이트(224)를 형성할 수 있다. 아이솔레이션 게이트(224)는 도 5b 및 도 5d에 도시된 바와 같이 V 형상 트렌치(222)에 따른 V 형상 하단면을 포함할 수 있다. 도 5b에 도시된 바와 같이, 소스/드레인 피쳐(208)의 상단면과 아이솔레이션 게이트(224)의 하단면 사이의 깊이(d2)는 약 50nm 내지 약 200nm의 범위에 있을 수 있다. 또한, 아이솔레이션 게이트(224)의 높이. 도 5b 및 도 5d에 도시된 일부 실시예에서, 트렌치(222)에 퇴적된 하나 이상의 물질층은 유전층(212) 및 물질층(224)을 포함할 수 있다. 일부 실시예에서, 유전층(212)은 트렌치(222)에서 트렌치(222)의 표면들을 따라 형성된 하이-k(HK) 유전층 및/또는 계면층(IL)을 포함할 수 있다. IL 층은 ALD, CVD, 및/또는 PVD 등의 임의의 적절한 방법에 의해 퇴적될 수 있다. IL 층은 산화 실리콘(SiO2) 또는 산질화 실리콘(SiON)을 포함할 수 있다. HK 유전층은 ALD, CVD, 금속 유기 CVD, PVD, 또는 이들의 조합 등의 임의의 적절한 기법들에 의해 IL 층에 퇴적될 수 있다. HK 유전층은 HfO2, Ta2O5, 및 Al2O3, 및/또는 다른 적절한 물질로 구성된 그룹으로부터 선택된 하나 이상의 물질을 포함할 수 있다.
도 5a 내지 도 5e를 다시 참조하면, 물질층(224)은 일함수 금속층(work function metal layer), 저저항 금속층(low resistance metal layer), 라이너 층(liner layer), 웨팅층(wetting layer), 및/또는 접착층과 같은 하나 이상의 금속 게이트(MG) 층을 포함할 수 있다. 일부 실시예에서, 일함수 금속층은 Tin, TaN, TiAl, TaAl, Ti 포함 물질, Ta 포함 물질, Al 포함 물질, W 포함 물질, TiSi, NiSi, 및 PtSi로 구성된 그룹으로부터 선택된 하나 이상의 물질을 포함할 수 있다. 일부 실시예에서, 저저항 금속층은 실리사이드(silicide)를 구비한 폴리 Si, Al 포함 물질, Cu 포함 물질, W 포함 물질, Ti 포함 물질, Ta 포함 물질, Tin, TaN, TiW, 및 TiAl로 구성된 그룹으로부터 선택된 하나 이상의 물질을 포함할 수 있다. MG 층은 ALD, PVD, CVD, 또는 다른 적절한 프로세스에 의해 형성될 수 있다. 과도 MG 층을 제거하고 ILD 층(218) 및 물질층(224)에 실질적으로 평면인 상면을 제공하도록 CMP 프로세스가 수행될 수 있다. 일함수 금속층에 의해 판단된 디바이스 일함수(device work function)는 약 4eV 내지 약 5eV 범위에 있을 수 있다. 유전층(212)은 트렌치(222)에 채워진 금속층(224)에 충분한 절연 특성을 제공하기 위해 형성된다. 트렌치(222)에 유전층(212) 및 물질층(224)을 형성한 후, 회로(131) 및 회로(132)는 서로로부터 충분히 격리될 수 있다.
일부 실시예에서, 회로(131)와 회로(132) 사이의 효과적인 아이솔레이션을 위해 아이솔레이션 게이트(224)에 제어된 바이어스 전압이 인가될 수 있도록 유전층(212) 및 물질층(224)의 물질, 형성, 및 레이아웃이 설계될 수도 있다.
일부 실시예에서, 트렌치(222)는 또한 유전층에 의해 채워질 수 있다. 유전층은 전술된 바와 같이 유전층(212)을 위한 것과 유사한 방법(들) 및/또는 유사한 물질(들)을 사용하여 형성될 수 있다. 예를 들어, 유전층은 LaO, AlO, ZrO, TiO, Ta2O5, Y2O3, SrTiO3 (STO), HfO2, BaTiO3 (BTO), BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, (Ba,Sr)TiO3 (BST), Al2O3, Si3N4, 및 산질화 실리콘(SiON)으로 구성된 그룹으로부터 선택된 하나 이상의 물질을 포함할 수 있다. 트렌치(222)를 채우는데 사용된 유전층은 산화 실리콘, 질화 실리콘, 탄화 실리콘, 및/또는 산질화 실리콘과 같은 임의의 적절한 물질을 포함할 수 있다. 일부 예시에서, 유전층은 트렌치(222)를 완전히 채워서 충분한 전기적 아이솔레이션 특성을 제공하기 위해 퇴적될 수 있다. 일부 실시예에서, 아이솔레이션 게이트(224)가 트렌치(222)에 채워진 유전 물질을 포함하는 경우, 트렌치(222)를 채우는데 사용된 유전 물질은 아이솔레이션 게이트(224)를 따라 형성된 측벽 스페이서들(216)을 형성하는데 사용되는 물질들과 상이하다. 일부 예시에서, 유전층은 트렌치(222)를 부분적으로 채울 수 있다. 예를 들어, 트렌치(222)의 하부는 유전층에 의해 채워질 수 있고, 트렌치(222)의 상부는 유전층(212) 및 물질층(224)에 의해 채워질 수 있다. 트렌치(222)의 하부에 채워진 유전층은 회로(131)와 회로(132)를 분리시키기 위한 아이솔레이션 영역의 유전층(STI)과 유사한 기능(들)을 가질 수 있다. 유전층은 ALD, PVD, CVD, 또는 다른 적절한 프로세스에 의해 형성될 수 있다.
도 5a를 참조하면, 아이솔레이션 게이트(224)를 형성한 후, FinFET 디바이스(200)는 회로(131)와 회로(132)를 분리시키도록 구성된 아이솔레이션 게이트(224)를 포함한다. 게이트(110, 111, 112, 114, 및 115)는 기능성 금속 게이트들을 포함하는 기능성 게이트들이다. 일부 실시예에서, 기능성 게이트들은 아이솔레이션 게이트의 물질들과 상이한 물질들을 포함할 수 있다. 도 5b 및 도 5d에 도시된 바와 같이, 아이솔레이션 게이트(224)의 높이(h1)는 아이솔레이션 게이트(224)를 따라 형성된 측벽 스페이서(216) 각각의 높이(h2)보다 실질적으로 크다. 아이솔레이션 게이트(224)의 높이(h1)는 또한 기능성 게이트의 높이(hf)보다 실질적으로 크다. 또한, 아이솔레이션 게이트(224)는 도핑 영역(204)으로 연장되어 기능성 게이트(110, 111, 112, 114, 및 115)의 하단면보다 낮은 하부를 갖는다. 아이솔레이션 게이트(224)의 물질은 기능성 게이트와 동일한 물질이거나, 상이한 물질을 가질 수 있다. 예시적인 물질들은 아이솔레이션 게이트(224)에서 SiO2, SiON, Si3N4, 하이-K 유전체, 또는 이들의 조합과 같은 순수 유전 물질을 포함한다. 이 예시에서, 프로세스 플로우는 다음과 같을 것이다.
1. 아이솔레이션 게이트 폴리 제거 및 트렌치 식각
2. 유전체 퇴적(아이솔레이션 게이트 재충전), 및
3. (폴리 게이트, 하이-K 게이트 유전체, 일함수 금속의 제거, 및 저저항 금속 형성을 더 포함할 수 있는) 기능성 게이트 형성.
도 6a는 본 개시의 일부 실시예들에 따라 구성된 FinFET 디바이스의 설계 레이아웃(400)의 상면도이다. 도 6b는 본 개시의 일부 실시예에 따라 도 6a의 라인 A-A에 따라 절취된 FinFET 디바이스(650)의 단면도이다. 일부 실시예에서, 활성 핀 라인의 에지에 위치하는 하나 이상의 게이트, 예를 들어, 도 1a의 게이트(110) 및/또는 게이트(115) 또한 제거될 수 있고, 대응하는 하나 이상의 트렌치는 마스크 요소들로서 게이트들의 측부 상의 스페이서 측벽들 및/또는 ILD 층을 사용하여 형성될 수 있다. 하나 이상의 트렌치를 채워서 활성 핀 라인들의 에지에 위치한 게이트(226) 및/또는 게이트(228)와 같은 아이솔레이션 게이트들을 형성하기 위해 유전 물질들 또는 유전 물질들과 금속 물질들이 사용될 수 있다. 아이솔레이션 게이트(226 및/또는 228)의 형성 프로세스 및/또는 물질들 전술된 바와 같이 아이솔레이션 게이트(224)의 형성 프로세스 및/또는 물질들과 실질적으로 유사할 수 있다. 도 6a에 도시된 바와 같이, 활성 핀 라인들의 에지에서의 아이솔레이션 게이트(226)의 형성 프로세스는 게이트(110)의 면적보다 실질적으로 큰 노광 영역(203)의 면적을 갖는 마스크를 사용하는 것을 포함할 수 있다. 이와 유사하게, 활성 핀 라인들의 에지에서의 아이솔레이션 게이트(228)의 형성 프로세스는 게이트(115)의 면적보다 실질적으로 큰 노광 영역(306)의 면적을 갖는 마스크를 사용하는 것을 포함할 수 있다.
도 6a 및 도 6b에 도시된 바와 같이, FinFET 디바이스(650)는 회로(131) 및 회로(132)를 분리하도록 구성된 아이솔레이션 게이트(224) 및 활성 핀 라인들의 에지에 있도록 구성된 아이솔레이션 게이트들(226 및 228)을 포함한다. 게이트들(111, 112, 및 114)은 기능성 금속 게이트들을 포함한다. 일부 실시예에서, 도 6b에 도시된 바와 같이, 아이솔레이션 게이트(224)의 높이(h1)는 아이솔레이션 게이트(224)를 따라 형성된 측벽 스페이서(216) 각각의 높이(h2)보다 실질적으로 크다. 활성 핀 라인들의 에지들에 위치한 아이솔레이션 게이트들(226 및 228) 및 측벽 스페이서(216)는 도 6b에 도시된 바와 같이 비대칭 구조들을 가질 수 있다. 예를 들어, 아이솔레이션 게이트(226 또는 228)의 외측 상에 형성된 측벽 스페이서(216a) 및 아이솔레이션 게이트(226 또는 228)의 외측부는 높이(h3)를 가질 수 있고, 아이솔레이션 게이트(226 또는 228)의 내측 상에 형성된 측벽 스페이서(216b) 및 아이솔레이션 게이트(226 또는 228)의 내측부는 높이(h4)를 가질 수 있고, 높이(h3)는 높이(h4)보다 실질적으로 크다. 또한, 아이솔레이션 게이트(226 또는 228)는 기능성 게이트들(111 및 114)의 하단면보다 더 낮고, 아이솔레이션 게이트(224)의 하단면보다 높은 하단면을 가질 수 있다.
도 7은 본 개시의 다양한 양태들에 따른 FinFET 디바이스를 제조하는 예시적인 방법(500)의 흐름도다. 방법(500)은 MOSFET 디바이스 프리커서(device precursor)를 제공하기 위한 프로세스(502), 소스/드레인 피쳐들에 ILD 층을 퇴적하기 위한 프로세스(504), 인접 회로 사이에 더미 폴리게이트를 제거하여 트렌치를 형성하는 프로세스(506), 마스크 요소들로서 ILD 층을 사용하여 트렌치를 리세싱하기 위한 프로세스(508), 및 하나 이상의 물질층을 퇴적하여 아이솔레이션 게이트를 형성하는 프로세스(510)를 포함한다. 추가 프로세스들이 도 7의 방법(500) 이전, 도중, 및 이후에 제공될 수 있고, 일부 다른 프로세스들이 여기에 간략히 설명될 수 있다는 점이 이해되어야 한다.
프로세스(502)에서, MOSFET 디바이스 프리커서, 예를 들어, FinFET 디바이스 프리커서(200)가 제공된다. 일부 실시예에서, MOSFET 디바이스 프리커서는 기판, 및 기판 위에 제1 활성 영역 및 제2 활성 영역에 형성된 하나 이상의 핀을 포함한다. 하나 이상의 핀은 하나 이상의 아이솔레이션 영역에 의해 분리될 수 있다. 하나 이상의 게이트가 하나 이상의 핀 위에 형성되어 제1 활성 영역 및 제2 활성 영역을 넘어 연장될 수 있다. 하나 이상의 게이트는, 하나 이상의 핀이 연장되도록 형성될 수 있는 방향에 실질적으로 수직인 방향에 따라 연장되도록 형성될 수 있다. 소스/드레인 피쳐들이 MOSFET 디바이스 프리커서의 소스/드레인 영역들에 형성될 수 있다.
프로세스(504)에서, 핀들 각각의 표면에 ILD 층이 퇴적된다. ILD 층은 산화 실리콘, 산질화 실리콘, 또는 다른 적절한 유전 물질을 포함할 수 있다. ILD 층은 단일 층 또는 다수의 층을 포함할 수 있다. ILD 층은 CVD, ALD, 및 SOG 등의 스핀-온 유전체와 같은 기법에 의해 형성될 수 있다. ILD 층의 평면인 상면을 제공하기 위해 CMP 프로세스가 형성될 수 있다.
프로세스(506)에서, 더미 폴리게이트가 제거되어 2개의 인접 회로 사이에 배치된 트렌치를 형성할 수 있다. 더미 폴리게이트는 임의의 적절한 리소그래피 및 식각 프로세스들을 사용하여 제거될 수 있다. 식각 프로세스들은 선택적 습식 식각 또는 선택적 건식 식각을 포함할 수 있다. 더미 폴리게이트를 제거한 후, 활성 영역들에서의 하나 이상의 활성 핀 라인들이 드러난다. 일부 실시예에서, 리소그래피 공정은 포토레지스트 층(레지스트)을 형성하는 단계, 레지스트를 패턴에 노광시키는 단계, 노광 후 굽기(post-exposure bake) 프로세스를 수행하는 단계, 및 레지스트를 현상하여 레지스트를 포함하는 마스킹 요소를 형성하는 단계를 포함할 수 있다. 도 3a에 도시된 바와 같이, 임의의 적절한 건식 식각 및/또는 습식 식각 방법에 의해 더미 폴리게이트(113)를 포함하는 영역(302)을 노광시키기 위해 마스킹 요소가 사용될 수 있다. 마스크 요소는 더미 폴리게이트의 면적보다 실질적으로 큰 면적을 가질 수 있다.
프로세스(508)에서, 트렌치는 식각 마스크 요소들로서 ILD 층을 사용하여 더 리세싱될 수 있다. 남아 있는 스페이서 측벽들은 또한 마스크 요소들로서 트렌치를 리세싱하기 위해 사용될 수 있다. 예를 들어, 도 4a 및 도 4b에 도시된 바와 같이, 트렌치(220)에 노광된 활성 핀 라인(106-3)의 일부가 제거된다. 본 실시예에서, 더미 폴리게이트의 면적보다 실질적으로 큰 노광 면적을 갖는 마스크 요소는 기판을 식각하여 트렌치를 형성하는데 사용될 수 있다.
프로세스(510)에서, 리세싱된 트렌치에 하나 이상의 물질층이 퇴적되어 2개의 인접 회로 사이에 아이솔레이션 게이트를 형성할 수 있다. 일부 실시예에서, 아이솔레이션 게이트는 IL/HK/MG의 다층 구조를 포함할 수 있다. 일부 실시예에서, 아이솔레이션 게이트는 리세싱된 트렌치에 완전히 채워진 유전 물질을 포함할 수 있다. 일부 실시예에서, 아이솔레이션 게이트는 리세싱된 트렌치의 하부를 채우는 유전 물질을 포함할 수 있고, 리세싱된 트렌치의 상부를 채우는 IL/HK/MG 구조를 포함할 수 있다. 아이솔레이션 게이트는 2개의 인접 회로를 전기적으로 격리하기 위해 형성될 수 있다. 하나 이상의 물질 층은 ALD, PVD, CVD, 또는 다른 적절한 프로세스를 사용하여 형성될 수 있다.
그러나, 본 개시는 특별히 요구되는 경우를 제외하고 특정한 타입의 디바이스로 제한되지 않아야 한다는 점이 이해된다. 예를 들어, 본 개시는 또한 다른 MOSFET 디바이스에 적용 가능하다. 추가 단계들이 방법 이전, 도중, 및 이후에 제공될 수 있고, 설명된 단계들 중 일부는 이 방법의 다른 실시예들에 대해 대체 또는 제거될 수 있다.
본 실시예들은 자체 정렬 식각 프로세스를 사용하여 MOSFET 디바이스들을 형성하여 인접 트랜지스터 사이의 충분한 전기적 아이솔레이션을 위한 아이솔레이션 게이트를 형성하는 구조 및 방법들을 설명한다. 메커니즘들은 식각 마스크 요소들로서 남아 있는 ILD 층 및 스페이서 측벽들을 사용하여 MOSFET 디바이스에 트렌치를 형성하는 것을 포함한다. 하나 이상의 물질층은 트렌치를 채워서 인접 회로 사이에 충분한 전기적 아이솔레이션을 제공하기 위해 퇴적될 수 있다. 메커니즘들은 고급 리소그래피 도구들(advanced lithography tools)을 사용하지 않고, 개선된 오버레이 제어(overlay control)를 사용하여 리소그래피 친화 패턴화 프로세스를 제공한다. 이로 인해, 본 실시예에서는 여분의 비용 또는 면적 패널티(penalty)가 필요 없다. 메커니즘들은 또한 충분한 밸런스 소스/드레인 에피택셜 성장 환경을 제공할 수 있는데, 이는 디바이스 안정성, 칩 속도, 셀 매칭 성능을 개선하고, 대기 사양(standby specification)을 감소시킬 수 있다. 본 개시의 다양한 실시예는 소스/드레인 영역들에 개선된 균일성 제어를 달성할 수 있고, 신뢰성 및 프로세스 마진 개선을 위해 완전히 균일한 핀-엔드 할당을 달성할 수 있다.
본 개시는 기판에 형성되어 제1 방향을 따라 연장되는 하나 이상의 핀; 하나 이상의 핀에 형성되어 제1 방향에 실질적으로 수직인 제2 방향을 따라 연장되는 하나 이상의 게이트 - 하나 이상의 게이트는 제1 아이솔레이션 게이트 및 적어도 하나의 기능성 게이트를 포함함 -; 하나 이상의 게이트 각각의 2개의 측부 상에 형성된 소스/드레인 피쳐들; 소스/드레인 피쳐들에 형성되어 제1 아이솔레이션 게이트와 공면인 상단면을 형성하는 층간 유전체(ILD) 층을 포함하는 반도체 구조를 제공한다. 제1 아이솔레이션 게이트의 제1 높이는 적어도 하나의 기능성 게이트의 각각의 제2 높이보다 크다.
본 개시는 제1 방향으로 서로 이격된 제1 활성 영역 및 제2 활성 영역을 포함하는 기판; 제1 활성 영역에 구성된 제1 그룹의 핀들 및 제2 활성 영역에 구성된 제2 그룹의 핀들 - 제1 그룹의 핀들 및 제2 그룹의 핀들 각각은 제1 방향에 실질적으로 수직인 제2 방향을 따라 연장됨 -; 제1 방향을 따라 제1 활성 영역 및 제2 활성 영역을 넘어 연장되도록 구성된 하나 이상의 게이트 - 하나 이상의 게이트는 제1 아이솔레이션 게이트 및 적어도 하나의 기능성 게이트를 포함함 -; 하나 이상의 게이트의 측부 상에 형성된 측벽 스페이서들; 측벽 스페이서의 측부들 상에 형성된 소스/드레인 피쳐들; 및 소스/드레인 피쳐들에 형성되어 하나 이상의 게이트와 공면인 상단면을 형성하는 층간 유전체(ILD) 층을 포함하는 핀형 전계 효과 트랜지스터(fin-like field-effect transistor)(FinFET) 디바이스를 제공한다. 제1 아이솔레이션 게이트의 제1 높이는 제1 아이솔레이션 게이트의 측부 상에 형성된 측벽 스페이서들의 제2 높이보다 실질적으로 더 크다.
본 개시는 제1 방향으로 서로 이격된 제1 활성 영역 및 제2 활성 영역을 포함하는 기판; 제1 활성 영역에 구성된 제1 그룹의 핀들 및 제2 활성 영역에 구성된 제2 그룹의 핀들 - 제1 그룹의 핀들 및 제2 그룹의 핀들 각각은 제1 방향에 실질적으로 수직인 제2 방향을 따라 연장됨 -; 및 제1 활성 영역 및 제2 활성 영역을 넘어 연장되도록 구성된 폴리게이트를 포함하는 하나 이상의 게이트 - 하나 이상의 게이트 각각은 제1 방향을 따라 연장됨 -;를 포함하는 디바이스 프리커서를 제공하는 단계를 포함하는 반도체 디바이스 형성 방법을 제공한다. 폴리게이트는 제1 회로와 제2 회로를 분리하도록 구성된다. 방법은 기판 위에 층간 유전체(ILD) 층을 퇴적하는 단계; 폴리게이트를 제거하여 트렌치를 형성하는 단계; ILD 층을 식각 마스크 요소들로서 사용하여 트렌치를 기판으로 리세싱하는 단계; 및 리세싱된 트렌치에 하나 이상의 물질층을 퇴적하여 제1 회로와 제2 회로 사이에 아이솔레이션 게이트를 형성하는 단계를 더 포함한다.
본 개시는 기판 상의 n-웰 영역에 제1 그룹의 핀들을 형성하고 p-웰 영역에 제2 그룹의 핀들을 형성하는 단계; 제1 그룹의 핀들 및 제2 그룹의 핀들의 인접 핀들을 분리시키기 위해 하나 이상의 아이솔레이션 피쳐를 형성하는 단계; 제1 그룹의 핀들 및 제2 그룹의 핀들에 폴리게이트를 포함하는 하나 이상의 게이트를 형성하는 단계 - 폴리게이트는 제1 회로와 제2 회로를 분리시키도록 구성됨 -; 폴리게이트를 따라 측벽 스페이서들을 형성하는 단계; 기판 위 및 폴리게이트의 2개의 측부 위에 소스/드레인 피쳐들을 형성하는 단계; 소스/드레인 피쳐들 위에 층간 유전체(IDL) 층을 퇴적하는 단계; 폴리게이트를 제거하여 제1 회로와 제2 회로 사이의 트렌치를 형성하는 단계; 식각 마스크 요소들로서 ILD 층을 사용하여 트렌치를 소스/드레인 피쳐들의 하단면보다 낮은 깊이로 리세싱하여 V 형상의 트렌치를 형성하는 단계; 및 V 형상 트렌치에 하나 이상의 물질층을 퇴적하여 제1 회로와 제2 회로 사이의 아이솔레이션 게이트를 형성하는 단계를 포함하는, 반도체 디바이스 형성 방법을 제공한다.
일부 실시예에서, 트렌치를 리세싱하는 단계는 식각 마스크 요소들로서 폴리게이트를 따라 ILD 층들 및 측벽 스페이서들을 사용하는 단계를 더 포함한다.
일부 실시예에서, 하나 이상의 물질층을 퇴적하는 단계는 V 형상 트렌치에서 계면층(IL)/하이-K(HK) 유전층/물질 게이트(MG)를 퇴적하는 단계를 포함한다.
일부 실시예에서, 하나 이상의 물질층을 퇴적하는 단계는 V 형상 트렌치에서 유전층을 퇴적하는 단계를 포함한다.
당업자가 본 개시의 양태들을 더 잘 이해할 수 있도록 전술된 내용은 여러 실시예들의 특징을 약술한 것이다. 당업자는 여기에 제시된 실시예들과 동일한 목적을 성취하고/거나 동일한 이점을 달성하기 위해 다른 프로세스들 및 구조들을 지정 또는 변형하기 위한 기초로서 본 개시를 용이하게 사용할 수 있다는 점을 이해해야 한다. 당업자는 균등한 구조들이 본 개시의 사상 및 범위에서 벗어나지 않는다는 것을 이해할 것이며, 본 발명의 사상 및 범위를 벗어나지 않는 한 다양한 변경, 대체, 및 변형을 수행할 수 있다.

Claims (10)

  1. 반도체 디바이스에 있어서,
    기판 상에 형성되어 제1 방향을 따라 연장되는 하나 이상의 핀;
    상기 하나 이상의 핀 상에 형성되고, 상기 제1 방향에 수직인 제2 방향을 따라 연장되며, 제1 아이솔레이션 게이트 및 적어도 하나의 기능성 게이트를 포함하는, 하나 이상의 게이트;
    상기 하나 이상의 게이트 각각의 2개의 측부에 형성된 소스/드레인 피쳐들;
    상기 소스/드레인 피쳐들 상에 형성되어 상기 제1 아이솔레이션 게이트와 공면인(coplanar) 상단면을 형성하는 층간 유전체(ILD: interlayer dielectric) 층;
    상기 하나 이상의 핀들의 에지에 형성된 제2 아이솔레이션 게이트;
    상기 제2 아이솔레이션 게이트의 외측 상에 형성된 제1 측벽 스페이서; 및
    상기 제2 아이솔레이션 게이트의 내측 상에 형성된 제2 측벽 스페이서를 포함하고,
    상기 제1 아이솔레이션 게이트의 제1 높이는 상기 적어도 하나의 기능성 게이트 각각의 제2 높이보다 크고,
    상기 제1 아이솔레이션 게이트는 메탈 게이트를 포함하며,
    상기 제1 측벽 스페이서의 제4 높이는 상기 제2 측벽 스페이서의 제5 높이보다 큰 것인, 반도체 디바이스.
  2. 제1항에 있어서, 상기 기판 상에 형성된 하나 이상의 아이솔레이션 영역을 더 포함하고, 상기 하나 이상의 핀은 상기 하나 이상의 아이솔레이션 영역에 의해 분리되는 것인, 반도체 디바이스.
  3. 제1항에 있어서, 상기 하나 이상의 핀은 n-웰 영역에 형성된 제1 그룹의 핀들 및 p-웰 영역에 형성된 제2 그룹의 핀들을 포함하는 것인, 반도체 디바이스.
  4. 제1항에 있어서, 상기 하나 이상의 게이트 각각을 따라 형성된 측벽 스페이서들을 더 포함하고,
    상기 제1 아이솔레이션 게이트의 상기 제1 높이는 상기 제1 아이솔레이션 게이트를 따라 형성된 상기 측벽 스페이서들 각각의 제3 높이보다 큰 것인, 반도체 디바이스.
  5. 제4항에 있어서, 상기 제1 아이솔레이션 게이트를 따라 형성된 상기 측벽 스페이서들은 상기 제1 아이솔레이션 게이트에 포함된 제2 물질과 상이한 제1 물질을 포함하는 것인, 반도체 디바이스.
  6. 삭제
  7. 핀형 전계 효과 트랜지스터(fin-like field-effect transistor)(FinFET) 디바이스에 있어서,
    제1 방향으로 서로 이격된 제1 활성 영역과 제2 활성 영역을 포함하는 기판;
    상기 제1 활성 영역에 구성된 제1 그룹의 핀들 및 상기 제2 활성 영역에 구성된 제2 그룹의 핀들 - 상기 제1 그룹의 핀들 및 상기 제2 그룹의 핀들 각각은 상기 제1 방향에 수직인 제2 방향을 따라 연장됨 -;
    상기 제1 방향을 따라 상기 제1 활성 영역 및 상기 제2 활성 영역 위로 연장되도록 구성되고, 제1 아이솔레이션 게이트 및 적어도 하나의 기능성 게이트를 포함하는, 하나 이상의 게이트;
    상기 하나 이상의 게이트의 측부들 상에 형성된 측벽 스페이서들;
    상기 측벽 스페이서들의 측부들 상에 형성된 소스/드레인 피쳐들;
    상기 소스/드레인 피쳐들 상에 형성되고 상기 하나 이상의 게이트와 공면인(coplanar) 상단면을 형성하는 층간 유전체(ILD: interlayer dielectric) 층;
    상기 하나 이상의 핀의 에지에 형성된 제2 아이솔레이션 게이트;
    상기 제2 아이솔레이션 게이트의 외측 상에 형성된 제1 측벽 스페이서; 및
    상기 제2 아이솔레이션 게이트의 내측 상에 형성된 제2 측벽 스페이서를 포함하고,
    상기 제1 아이솔레이션 게이트의 제1 높이는 상기 제1 아이솔레이션 게이트의 측부들 상에 형성된 측벽 스페이서들의 제2 높이보다 크고,
    상기 제1 아이솔레이션 게이트는 메탈 게이트를 포함하며,
    상기 제1 측벽 스페이서 및 상기 제2 아이솔레이션 게이트의 외측부는 제4 높이를 갖고,
    상기 제2 측벽 스페이서 및 상기 제2 아이솔레이션 게이트의 내측부는 제5 높이를 갖고,
    상기 제4 높이는 상기 제5 높이보다 큰 것인, 핀형 전계 효과 트랜지스터(FinFET) 디바이스.
  8. 제7항에 있어서, 상기 제1 아이솔레이션 게이트의 상기 제1 높이는 상기 적어도 하나의 기능성 게이트 각각의 제3 높이보다 큰 것인, 핀형 전계 효과 트랜지스터(FinFET) 디바이스.
  9. 삭제
  10. 반도체 디바이스를 형성하는 방법에 있어서,
    디바이스 프리커서(device precusor)를 제공하는 단계로서, 상기 디바이스 프리커서는,
    제1 방향으로 서로 이격된 제1 활성 영역과 제2 활성 영역을 포함하는 기판과,
    상기 제1 활성 영역에 구성된 제1 그룹의 핀들 및 상기 제2 활성 영역에 구성된 제2 그룹의 핀들 - 상기 제1 그룹의 핀들 및 상기 제2 그룹의 핀들 각각은 상기 제1 방향에 수직인 제2 방향을 따라 연장됨 - 과,
    제1 폴리게이트 및 제2 폴리게이트를 포함하고 각각 상기 제1 방향을 따라 연장되는 하나 이상의 게이트로서, 상기 제1 폴리게이트 및 상기 제2 폴리게이트는 상기 제1 활성 영역 및 상기 제2 활성 영역 위로 연장되도록 구성되고, 상기 제1 폴리게이트는 제1 회로와 제2 회로를 분리시키도록 구성되며, 상기 제2 폴리게이트는 상기 제1 그룹 및 상기 제2 그룹 내의 핀들 각각의 에지에 형성되는 것인, 상기 하나 이상의 게이트를 포함하는, 상기 디바이스 프리커서를 제공하는 단계;
    상기 기판 위에 층간 유전체(ILD: interlayer dielectric) 층을 퇴적하는 단계;
    트렌치들을 형성하기 위해 상기 제1 폴리게이트 및 상기 제2 폴리게이트를 제거하는 단계;
    식각 마스크 요소들로서 상기 ILD 층을 사용하여 상기 트렌치들을 상기 기판으로 리세싱(recessing)하는 단계; 및
    상기 제1 회로와 상기 제2 회로 사이에 제1 아이솔레이션 게이트를 형성하고 상기 제1 그룹 및 상기 제2 그룹 내의 핀들 각각의 에지에 제2 아이솔레이션 게이트를 형성하기 위해 상기 리세싱된 트렌치들에 하나 이상의 물질층들을 퇴적하는 단계를 포함하고,
    상기 제1 아이솔레이션 게이트는 메탈 게이트를 포함하며,
    상기 제2 아이솔레이션 게이트의 외측부는 상기 제2 아이솔레이션 게이트의 내측부보다 더 큰 높이를 갖는 것인, 반도체 디바이스 형성 방법.
KR1020140159872A 2014-07-18 2014-11-17 Mosfet 디바이스를 위한 구조 및 방법 KR101738738B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/334,842 2014-07-18
US14/334,842 US9793273B2 (en) 2014-07-18 2014-07-18 Fin-based semiconductor device including a metal gate diffusion break structure with a conformal dielectric layer

Publications (2)

Publication Number Publication Date
KR20160010262A KR20160010262A (ko) 2016-01-27
KR101738738B1 true KR101738738B1 (ko) 2017-05-22

Family

ID=55021885

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140159872A KR101738738B1 (ko) 2014-07-18 2014-11-17 Mosfet 디바이스를 위한 구조 및 방법

Country Status (5)

Country Link
US (6) US9793273B2 (ko)
KR (1) KR101738738B1 (ko)
CN (1) CN105280700B (ko)
DE (1) DE102015104698B4 (ko)
TW (1) TWI570785B (ko)

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110047933B (zh) 2013-09-25 2022-11-08 太浩研究有限公司 用于finfet架构的用固态扩散源掺杂的隔离阱
US9793273B2 (en) * 2014-07-18 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-based semiconductor device including a metal gate diffusion break structure with a conformal dielectric layer
US9613953B2 (en) * 2015-03-24 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, semiconductor device layout, and method of manufacturing semiconductor device
US9564489B2 (en) * 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
US11222947B2 (en) 2015-09-25 2022-01-11 Intel Corporation Methods of doping fin structures of non-planar transistor devices
KR20170065271A (ko) * 2015-12-03 2017-06-13 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9748226B1 (en) 2016-02-27 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Decoupling capacitor
CN109417094B (zh) * 2016-07-01 2022-10-21 英特尔公司 自-对准栅极边缘三栅极和finFET器件
CN107564953B (zh) 2016-07-01 2021-07-30 中芯国际集成电路制造(上海)有限公司 变容晶体管及其制造方法
KR102524806B1 (ko) 2016-08-11 2023-04-25 삼성전자주식회사 콘택 구조체를 포함하는 반도체 소자
CN107799524B (zh) * 2016-09-06 2020-10-09 中芯国际集成电路制造(北京)有限公司 半导体装置、存储器件以及制造方法
US11088033B2 (en) * 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
KR102318560B1 (ko) * 2017-04-12 2021-11-01 삼성전자주식회사 반도체 소자
CN108871608B (zh) * 2017-05-12 2020-08-25 中芯国际集成电路制造(上海)有限公司 半导体装置和检测器件发热的方法
CN109216468B (zh) * 2017-06-29 2021-08-13 中芯国际集成电路制造(上海)有限公司 电阻器件及其制造方法
KR102320047B1 (ko) 2017-07-05 2021-11-01 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10510875B2 (en) * 2017-07-31 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain structure with reduced contact resistance and enhanced mobility
US10446555B2 (en) 2017-08-31 2019-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Buried metal track and methods forming same
US10515967B2 (en) 2017-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabrication thereof
CN109873035B (zh) * 2017-12-04 2022-09-27 联华电子股份有限公司 半导体元件及其制作方法
KR102606237B1 (ko) * 2018-02-09 2023-11-24 삼성전자주식회사 모스 트랜지스터를 포함하는 집적 회로 반도체 소자
US10622306B2 (en) 2018-03-26 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure in semiconductor devices
KR102535087B1 (ko) 2018-04-20 2023-05-19 삼성전자주식회사 반도체 장치
US10522546B2 (en) 2018-04-20 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd FinFET devices with dummy fins having multiple dielectric layers
KR102479996B1 (ko) * 2018-05-17 2022-12-20 삼성전자주식회사 반도체 장치
US10529860B2 (en) * 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with contact over dielectric gate
CN110571193B (zh) * 2018-06-05 2021-07-30 中芯国际集成电路制造(上海)有限公司 单扩散隔断结构的制造方法和半导体器件的制造方法
KR102568057B1 (ko) 2018-06-14 2023-08-17 삼성전자주식회사 반도체 장치
KR102560368B1 (ko) * 2018-06-20 2023-07-27 삼성전자주식회사 확산 방지 영역을 구비하는 반도체 소자
US10672795B2 (en) 2018-06-27 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Bulk semiconductor substrate configured to exhibit semiconductor-on-insulator behavior
KR102626334B1 (ko) * 2018-07-27 2024-01-16 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR102567631B1 (ko) * 2018-08-03 2023-08-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102574321B1 (ko) * 2018-08-08 2023-09-04 삼성전자주식회사 게이트 분리층을 갖는 반도체 소자
KR102577262B1 (ko) * 2018-08-14 2023-09-11 삼성전자주식회사 확산 방지 영역을 갖는 반도체 소자
US10868020B2 (en) 2018-08-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Well strap structures and methods of forming the same
US11031397B2 (en) 2018-09-27 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device integration with separated Fin-like field effect transistor cells and gate-all-around transistor cells
US11411090B2 (en) 2018-09-27 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures for gate-all-around devices and methods of forming the same
US10923474B2 (en) 2018-09-28 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having gate-all-around devices
KR102455609B1 (ko) 2018-09-28 2022-10-17 삼성전자주식회사 반도체 장치
KR102492304B1 (ko) 2018-10-01 2023-01-27 삼성전자주식회사 반도체 소자
US10854518B2 (en) 2018-10-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Configuring different via sizes for bridging risk reduction and performance improvement
US10964695B2 (en) 2018-10-30 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
US11004852B2 (en) * 2018-10-30 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
US10825741B2 (en) * 2018-11-20 2020-11-03 Globalfoundries Inc. Methods of forming single diffusion breaks on integrated circuit products comprised of FinFET devices and the resulting products
KR102620342B1 (ko) * 2018-12-05 2024-01-03 삼성전자주식회사 게이트 전극을 갖는 반도체 소자 및 그 제조 방법
US10720391B1 (en) * 2019-01-04 2020-07-21 Globalfoundries Inc. Method of forming a buried interconnect and the resulting devices
US11126775B2 (en) * 2019-04-12 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. IC layout, method, device, and system
US11094695B2 (en) * 2019-05-17 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device and method of forming the same
DE102020115154A1 (de) * 2019-06-14 2020-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Multiplexer
US10825835B1 (en) 2019-07-17 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. IC including standard cells and SRAM cells
KR20210013811A (ko) 2019-07-29 2021-02-08 삼성전자주식회사 반도체 장치
US11728431B2 (en) * 2019-07-30 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacers for gate-all-around semiconductor devices
US11127819B2 (en) 2019-09-16 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around transistors for different applications
US11495662B2 (en) 2019-09-16 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around transistors with different threshold voltages
US11239335B2 (en) 2019-09-27 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for semiconductor devices
US11393817B2 (en) 2019-10-18 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for gate-all-around metal-oxide-semiconductor devices with improved channel configurations
KR102663811B1 (ko) 2019-11-06 2024-05-07 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
US11177367B2 (en) * 2020-01-15 2021-11-16 International Business Machines Corporation Self-aligned bottom spacer EPI last flow for VTFET
US11721728B2 (en) * 2020-01-30 2023-08-08 Globalfoundries U.S. Inc. Self-aligned contact
US11482617B2 (en) * 2020-03-17 2022-10-25 International Business Machines Corporation Vertical transport field-effect transistor including replacement gate
DE102020119428A1 (de) 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around-vorrichtungen mit optimierten gateabstandhaltern und gate-ende-dielektrikum
US11581414B2 (en) 2020-03-30 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices with optimized gate spacers and gate end dielectric
KR20210158607A (ko) 2020-06-24 2021-12-31 삼성전자주식회사 캡핑층을 포함하는 반도체 소자
KR20220007986A (ko) * 2020-07-13 2022-01-20 삼성전자주식회사 반도체 장치
US11742347B2 (en) * 2020-07-31 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Fin end isolation structure for semiconductor devices
US11605708B2 (en) 2020-08-07 2023-03-14 Samsung Electronics Co., Ltd. Integrated circuit devices including a vertical field-effect transistor and methods of forming the same
US11374088B2 (en) 2020-08-14 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage reduction in gate-all-around devices
US20220278197A1 (en) * 2021-02-26 2022-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
CN114097080B (zh) * 2021-07-01 2023-12-22 英诺赛科(苏州)科技有限公司 氮化物基多通道开关半导体器件和其制造方法
US11710789B2 (en) * 2021-07-07 2023-07-25 Qualcomm Incorporated Three dimensional (3D) double gate semiconductor

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040209468A1 (en) * 2003-04-17 2004-10-21 Applied Materials Inc. Method for fabricating a gate structure of a field effect transistor
KR100763330B1 (ko) * 2005-12-14 2007-10-04 삼성전자주식회사 활성 핀들을 정의하는 소자분리 방법, 이를 이용하는반도체소자의 제조방법 및 이에 의해 제조된 반도체소자
KR101083644B1 (ko) 2008-07-04 2011-11-16 주식회사 하이닉스반도체 반도체 장치 및 그 제조방법
KR20110101408A (ko) 2010-03-08 2011-09-16 주식회사 하이닉스반도체 반도체 장치 및 그 제조 방법
US8378419B2 (en) 2010-11-22 2013-02-19 International Business Machines Corporation Isolation FET for integrated circuit
US8735991B2 (en) * 2011-12-01 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. High gate density devices and methods
US9041115B2 (en) 2012-05-03 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for FinFETs
US8610241B1 (en) 2012-06-12 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Homo-junction diode structures using fin field effect transistor processing
US8969163B2 (en) * 2012-07-24 2015-03-03 International Business Machines Corporation Forming facet-less epitaxy with self-aligned isolation
US8896030B2 (en) 2012-09-07 2014-11-25 Intel Corporation Integrated circuits with selective gate electrode recess
US8609510B1 (en) * 2012-09-21 2013-12-17 Globalfoundries Inc. Replacement metal gate diffusion break formation
US20140103452A1 (en) 2012-10-15 2014-04-17 Marvell World Trade Ltd. Isolation components for transistors formed on fin features of semiconductor substrates
KR101983633B1 (ko) 2012-11-30 2019-05-29 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8901607B2 (en) 2013-01-14 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
KR101998666B1 (ko) * 2013-06-25 2019-10-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9953975B2 (en) * 2013-07-19 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming STI regions in integrated circuits
KR102072410B1 (ko) * 2013-08-07 2020-02-03 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9379106B2 (en) * 2013-08-22 2016-06-28 Samsung Electronics Co., Ltd. Semiconductor devices having 3D channels, and methods of fabricating semiconductor devices having 3D channels
KR102025309B1 (ko) * 2013-08-22 2019-09-25 삼성전자 주식회사 반도체 장치 및 그 제조 방법
CN107818943B (zh) * 2013-11-28 2019-03-29 中国科学院微电子研究所 半导体装置及其制造方法
US9564445B2 (en) * 2014-01-20 2017-02-07 International Business Machines Corporation Dummy gate structure for electrical isolation of a fin DRAM
US9515172B2 (en) * 2014-01-28 2016-12-06 Samsung Electronics Co., Ltd. Semiconductor devices having isolation insulating layers and methods of manufacturing the same
KR102115552B1 (ko) 2014-01-28 2020-05-27 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102158962B1 (ko) * 2014-05-08 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9793273B2 (en) 2014-07-18 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-based semiconductor device including a metal gate diffusion break structure with a conformal dielectric layer

Also Published As

Publication number Publication date
DE102015104698A1 (de) 2016-01-21
US10170480B2 (en) 2019-01-01
US11264385B2 (en) 2022-03-01
KR20160010262A (ko) 2016-01-27
US20200365590A1 (en) 2020-11-19
TW201604941A (zh) 2016-02-01
US20190115349A1 (en) 2019-04-18
US20230275093A1 (en) 2023-08-31
US20160020210A1 (en) 2016-01-21
US10748902B2 (en) 2020-08-18
US20220278100A1 (en) 2022-09-01
US20180040621A1 (en) 2018-02-08
US9793273B2 (en) 2017-10-17
CN105280700B (zh) 2018-09-14
DE102015104698B4 (de) 2021-05-20
CN105280700A (zh) 2016-01-27
TWI570785B (zh) 2017-02-11

Similar Documents

Publication Publication Date Title
US11264385B2 (en) Fin-based device having an isolation gate in physical contact with a source/drain
US10937909B2 (en) FinFET device including an dielectric region and method for fabricating same
US10985261B2 (en) Dummy gate structure and methods thereof
US9153670B2 (en) Semiconductor device and fabricating the same
US9214558B2 (en) Method of forming semiconductor device including silicide layers
KR20150126777A (ko) 핀 구조 전계 효과 트랜지스터 소자용 구조체 및 방법
US20140213048A1 (en) Method of Making a FinFET Device
US11682588B2 (en) Epitaxial source/drain and methods of forming same
US9799569B2 (en) Method of forming field effect transistors (FETs) with abrupt junctions and integrated circuit chips with the FETs
CN113471147A (zh) 制造半导体器件的方法和静电放电器件
US9887100B2 (en) Methods of forming semiconductor devices and structures thereof

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
E601 Decision to refuse application
E801 Decision on dismissal of amendment
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant