KR101670556B1 - 집적 회로 패터닝 방법 - Google Patents

집적 회로 패터닝 방법 Download PDF

Info

Publication number
KR101670556B1
KR101670556B1 KR1020140182998A KR20140182998A KR101670556B1 KR 101670556 B1 KR101670556 B1 KR 101670556B1 KR 1020140182998 A KR1020140182998 A KR 1020140182998A KR 20140182998 A KR20140182998 A KR 20140182998A KR 101670556 B1 KR101670556 B1 KR 101670556B1
Authority
KR
South Korea
Prior art keywords
layer
spacer layer
forming
patterned
substrate
Prior art date
Application number
KR1020140182998A
Other languages
English (en)
Other versions
KR20150072362A (ko
Inventor
치에 한 우
충 주 리
쳉 슝 차이
밍 펭 시에
류 군 유
티엔 이 바오
샤우 린 슈
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20150072362A publication Critical patent/KR20150072362A/ko
Application granted granted Critical
Publication of KR101670556B1 publication Critical patent/KR101670556B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 제1 마스크를 이용하여 복수의 라인을 기판 위에 형성하는 단계와, 기판 위에, 복수의 라인 위에 그리고 복수의 라인의 측벽 상에 제1 스페이서 층을 형성하는 단계를 포함하는 목표 패턴 형성 방법에 관한 것이다. 복수의 라인이 제거됨으로써, 패터닝된 제1 스페이서 층이 기판 위에 제공된다. 또한, 본 발명의 목표 패턴 형성 방법은 기판 위에, 패터닝된 제1 스페이서 층 위에 그리고 패터닝된 제1 스페이서 층의 측벽 상에 제2 스페이서 층을 형성하는 단계와, 제2 마스크를 이용하여 패터닝된 재료 층을 제2 스페이서 층 위에 형성하는 단계를 포함한다. 패터닝된 재료 층과 제2 스페이서 층은 총괄하여 복수의 트렌치를 형성한다.

Description

집적 회로 패터닝 방법{METHOD FOR INTEGRATED CIRCUIT PATTERNING}
본 발명은 집적 회로 패터닝 방법에 관한 것이다.
반도체 집적 회로(IC) 산업은 급격히 성장하고 있다. IC 재료 및 설계에 있어서의 기술적인 진보는 IC의 세대를 초래하였는데, 각각의 세대는 기존 세대보다 더 소형이고 더 복잡하다. IC 진화의 과정에서, 기능적 밀도(즉, 칩 영역 당 상호연결 디바이스의 개수)는 대체로 증가되면서 기하학적 크기[즉, 제조 공정을 이용하여 생성될 수 있는 최소 구성요소(또는 라인)]는 감소하고 있다. 이런 축소 공정은 통상 제조 효율의 상승 및 관련 비용의 감소에 의해 이익을 제공한다. 또한, 이런 축소 공정은 IC 처리 및 제조의 복잡성도 증가시키고 있는데, 실현되어야 하는 이런 진보를 위해서는 IC 처리 및 제조에 있어서의 유사한 발전도 요구된다.
본 발명의 목적은 개선된 집적 회로 패터닝 방법을 제공하는 것이다.
상술된 본 발명의 목적은 청구항에 개시된 본원 발명에 의해 달성된다.
본 발명에 따르면, 엔드 투 엔드 거리의 감소로 인해 패턴 밀도를 증가시킬 수 있다. 또한, 맨드릴 라인 트리밍 공정의 제거와 레지스트 층의 두께의 감소로 인해 비용을 절감할 수 있다.
도 1은 본 발명의 하나 이상의 실시예를 수행하기 위해 목표 패턴 또는 디바이스를 기판 상에 형성하는 방법의 흐름도.
도 2는 본 발명의 다양한 양태에 따른 예시적인 기판과, 기판 상부에 형성된 목표 패턴을 도시하는 도면.
도 3a 내지 도 14b는 본 발명의 실시예에 따라 도 1의 방법에 따른 도 2의 목표 패턴의 형성에 대한 상면도 및 단면도.
도 15는 본 발명의 다양한 양태에 따라 조정될 수 있는 다양한 치수를 갖는 최종 패턴을 도시하는 도면.
도 16a 내지 도 17b는 본 발명의 실시예에 따라 도 1의 방법에 따른 도 2의 목표 패턴을 위한 맨드릴 라인의 형성에 대한 상면도 및 단면도.
도 18a 및 도 18b는 본 발명의 실시예에 따라 도 1의 방법에 따른 도 2의 목표 패턴을 위한 트랜치의 형성에 대한 상면도 및 단면도.
본 발명의 양태들은 첨부된 도면을 참조하는 이하의 상세한 설명으로부터 가장 잘 이해될 것이다. 산업상의 표준 관행에 따라 다양한 도면들은 일정한 비율로 도시된 것은 아니다. 실제로, 다양한 구성요소들의 치수는 설명의 명료함을 위해 임의로 증감될 수도 있다.
이하의 상세한 설명은 본 발명의 다양한 구성요소를 실시하기 위한 다양한 실시예 또는 예를 제공한다. 구성요소 및 장치의 특정한 예들이 본 발명의 단순화를 위해 이하에 제공된다. 물론, 그런 예들은 예일 뿐 제한적인 것이 아니다. 또한, 본 발명은 다양한 예들에서 도면부호 및/또는 용어를 반복할 수도 있다. 그런 반복은 단순화와 명료함을 위한 것일 뿐, 개시된 다양한 실시예 및/또는 구성 사이의 관계를 자체로 나타내는 것이 아니다. 또한, 이하의 상세한 설명에서 제2 공정 이전의 제1 공정의 수행은 제2 공정이 제1 공정 직후에 수행되는 실시예, 또는 추가의 공정이 제1 공정과 제2 공정 사이에 수행되는 실시예도 포함할 수 있다. 다양한 구성요소들은 단순화와 명료함을 위해 다른 스케일로 임의로 도시될 수도 있다. 또한, 이하의 상세한 설명에서 제2 구성요소 위의 또는 상의 제1 구성요소의 형성은 제1 구성요소와 제2 구성요소가 직접 접촉되게 형성되는 실시예, 또는 제1 구성요소와 제2 구성요소가 직접 접촉되지 않도록 추가의 구성요소가 제1 구성요소와 제2 구성요소 사이에 형성되는 실시예도 포함할 수 있다.
또한, "아래" "하부" "위" "상부" 등과 같은 공간적으로 상대적인 용어들은 도면에 도시된 바와 같이 하나의 요소 또는 구성요소의 다른 요소(들) 또는 구성요소(들)에 대한 관계를 나타내기 위해 본 명세서에 사용될 수 있다. 공간적으로 상대적인 용어들은 도면에 도시된 배향 이외에도 사용시 장치의 다른 배향 또는 작업을 포함하는 것이다. 예컨대, 도면에 도시된 장치가 뒤집힌 경우, 다른 요소 "아래"에 있는 것으로 도시된 요소는 다른 요소 또는 구성요소 "위에" 배향될 수 있다. 따라서, 예시적인 용어 "아래"는 위 그리고 아래의 배향 양자 모두를 포함할 수 있다. 장치는 달리(90도로 회전되거나 다른 배향으로) 배향될 수도 있기 때문에, 본 명세서에 사용된 공간적으로 상대적인 기술 용어들은 유사하게 해석될 수도 있다.
본 발명은 전체적으로 193㎚ 침지 리소그래피 또는 다른 적절한 리소그래피 기술을 이용하여 14 나노미터(㎚), 10㎚ 등과 같이 후기 공정 노드에서 집적 회로 패턴 밀도를 향상시키기 위해 스페이서 기술을 이용하는 것에 관한 것이다. 하나의 스페이서 기술에서, 포토레지스트 재료가 기판 상에 패너팅된 후에 트리밍된다. 후속하여, 트리밍된 포토레지스트 패턴은 하부의 맨드릴 층(mandrel layer)으로 전사됨으로써 맨드릴 라인을 형성하고, 후속하여 트리밍된 포토레지스트 패턴이 제거된다. 스페이서가 맨드릴 라인의 측벽에 형성된다. 후속하는 스페이서 에칭 및 맨드릴 제거 공정으로 인해, 최종 패턴으로서 스페이서가 기판 상에 남겨진다. 최종 패턴의 피치는 포토레지스트 트리밍 공정으로 인해 감소되지만, 최종 패턴의 라인 엔드 투 엔드(end-to-end; EtE) 거리는 동일한 포토레지스트 트리밍 공정에 의해 바람직하지 않게 증가된다. 이는 측방향과 수직 방향에서의 포토레지스트 재료의 거의 동일한 에칭률에 의해 설명될 수 있다. 본 발명은 포토레지스트 트리밍 공정이 없는 경우에도 최종 패턴 밀도를 증가시키기 위해 이중 스페이서 공정을 이용한다. 본 발명의 이점은 최종 패턴의 피치, 라인 투 라인 간격 및 EtE 거리가 스페이서의 두께를 조절함으로써 유연하게 조정될 수 있다는 점이다.
도 1을 이제 참조하면, 본 발명의 다양한 양태에 따라 목표 패턴 또는 디바이스를 형성하기 위한 방법(100)의 흐름도가 도시되어 있다. 추가적인 작업이 방법(100) 이전에, 동안에 그리고 이후에 제공될 수 있으며, 개시된 몇몇 작업은 방법의 추가적인 실시를 위해 대체되거나, 제거되거나 이동될 수 있다. 방법(100)은 이하에서 추가로 기술될 것이다. 방법(100)은 예일 뿐, 첨부된 특허청구범위에 명시된 본 발명의 범주를 벗어나게 본 발명을 한정하는 것이 아니다.
도 2는 예시적인 목표 패턴(200)을 도시한다. 목표 패턴(200)은 2열로 배열된 조밀한 특징부(180a, 180b, 182a, 182b, 184a, 184b)와, 격리된 특징부(186)를 포함한다. 예시를 위해, "b" 특징부(180b, 182b, 184b)는 "a" 특징부(180a, 182a, 184a)와 각각 동일한 치수와 간격을 가지며, "a" 특징부와 "b" 특징부 양자 모두는 Y 방향으로 동일한 치수 L을 갖는다. "b" 특징부와 "a" 특징부 사이의 Y 방향으로서의 엔드 투 엔드 거리는 목표 패턴(200)의 임계 치수이다. 특징부(180a, 182a, 184a)는 X 방향으로 폭 W1, W2 및 W3을 각각 갖는다. 특징부(180a, 182a, 184a)는 간격 S1 및 S2에 의해 X 방향으로 이격되어 있다. 목표 패턴(200)은 집적 회로(IC)의 당양한 구성요소를 형성하는데 이용될 수 있다. 일 실시예에서, 목표 패턴(200)은 다층 상호연결 구조체에서 금속 라인을 형성하는데 이용된다. 다른 실시예에서, 목표 패턴(200)은 얕은 트렌치 격리(STI) 특징부를 위한 반도체 기판에서 복수의 트렌치를 형성하는데 이용된다. 집적 회로의 밀도가 증가됨에 따라, 몇몇 특징부들은 마스크(또는 포토 마스크)의 해상도에 비해 서로 너무 근접해있을 수도 있다. 이런 문제점을 극복하기 위해, 특징부(180a, 180b, 184a, 184b)에는 제1 마스크가 할당되고, 특징부(182a, 182b, 186)에는 제2 마스크가 할당된다. 이하에서 기술되는 바와 같이, 제2 마스크는 스페이서 자체 정렬 기술을 이용하여 어느 정도의 정확성으로 특징부(180a, 180b, 184a, 184b)에 중첩되는 패턴을 포함한다. 이런 점이 이하에서 상세히 기술될 것이다.
이하의 설명에서, 본 발명의 방법(100)(도 1 참조)은 목표 패턴(200)이 본 발명의 다양한 양태에 따른 제1 마스크 및 제2 마스크를 이용하여 형성되는 방법을 도시하는 도 3a 내지 도 17b를 참조하여 기술될 것이다. 각각의 도 3a 내지 도 18b에서, 접미사 "a"(예컨대, 도 3a 참조)가 표시된 도면은 접미사 "b" "c" 등(예컨대, 도 3b 참조)이 표시된 도면의 단면도를 나타내는 점선을 포함한다.
본 발명의 방법(100)(도 1 참조)은 작업 102에서 기판(202)을 수용한다. 도 3a 및 도 3b를 참조하면, 본 실시예에서 기판(202)은 재료 층(214, 216)을 포함한다. 재료 층(216)은 비정질 실리콘(a-Si), 실리콘 산화물, 실리콘 질화물(SiN), 또는 다른 적절한 재료 또는 조성물을 사용할 수 있다. 재료 층(214)은 무질소 반사방지 코팅(NFARC), 스핀 온 유리(SOG), 티타늄 질화물, 또는 다른 적절한 재료 또는 조성물을 사용할 수 있다. 재료 층(214, 216)은 다양한 공정에 의해 형성될 수 있다. 예컨대, 재료 층(214)은 퇴적과 같은 방법에 의해 다른 기판 위에 형성될 수 있다. 일 실시예에서, 재료 층(214)은 열산화에 의해 형성된 실리콘 산화물을 포함할 수 있다. 일 실시예에서, 재료 층(216)은 화학 증착(CVD)에 의해 형성된 SiN을 포함할 수 있다. 예컨대, 재료 층(216)은 헥사클로로디실란(HCD 또는 Si2Cl6), 디클로로실란(DCS 또는 SiH2Cl2), 비스(삼차부틸아미노) 실란(BTBAS 또는 C8H22N2Si), 및 디실란(DS 또는 Si2H6)을 포함하는 케미컬을 사용하는 CVD에 의해 형성될 수도 있다. 재료 층(214, 216)은 유사한 또는 상이한 방법에 의해 형성될 수도 있다. 상술된 재료 층(214, 216)의 예시적인 조성은 신규한 본 발명의 범주를 제한하는 것이 아니다.
본 발명의 방법(100)(도 1 참조)은 포토리소그래피 공정을 포함하는 공정과 같은 적절한 공정을 통해 제1 마스크를 이용하여 기판(202) 위에 맨드릴(mandrel) 라인을 형성함으로써 작업 104로 진행된다. 도 4a 및 도 4b를 참조하면, 맨드릴 라인(218a 내지 218d)은 기판(202) 위에 형성된다. 맨드릴 라인(218a 내지 218d) 각각은 특징부(180a, 180b, 184a, 184b)(도 2 참조)에 대응하는 제1 마스크에 피치 Pm를 갖고 형성된다. 맨드릴 라인(218a 내지 218c)(218b 내지 218d)은 X 방향으로의 제1 치수 W1m(W3m) 및 Y 방향으로의 제2 치수 Lm을갖는다. 치수 W1m, W3m 및 Lm은 각각 대응하는 치수 W1, W2 및 L(도 2 참조)보다 크다. 이런 점은 도 15를 참조하여 추후에 기술되는 부분에서 더 명확해질 것이다.
일 실시예에서, 맨드릴 라인(218a 내지 218d)은 포토리소그래피 공정에서 네가티브 또는 파지티브 레지스트(또는 포토레지스트) 재료에 형성된다. 예시적인 포토리소그래피 공정은 네가티브 레지스트 층(218)을 재료 층(216) 위에 코팅하는 단계와, 레지스트 층(218)을 소프트 베이킹하는 단계와, 제1 마스크를 이용하여 디프 자외선(DUV) 광에 레지스트 층(218)을 노출시키는 단계를 포함한다. 또한, 포토리소그래피 공정은 노출후 베이킹(PEB) 단계와, 현상 단계와, 하드 베이킹하여 레지스트 층(218)의 비노출 부분을 제거하고 레지스트 층(218)의 노출 부분을 맨드릴 라인(218a 내지 218d)으로서 기판(202) 상에 남겨두는 단계를 포함한다. 다른 실시예에서, 맨드릴 라인(218a 내지 218d)은 유사한 포토리소그래피 공정에서 파지티브 레지스트 재료 층의 비노출 부분에 의해 형성될 수도 있다.
다른 실시예에서, 맨드릴 라인(218a 내지 218d)은 에칭 공정이 뒤따라는 포토리소그래피 공정을 이용하여 하드 마스크 층에 형성될 수 있다. 도 16a 내지 도 17b를 참조하면, 하드 마스크 층[218(2), 217] 및 레지스트 층(219)이 재료 층(216) 위에 형성된다. 레지스트 층(219)은 상술된 포토리소그래피 공정과 같은 포토리소그래피 공정을 통해 제1 마스크를 이용하여 패터닝된다(도 16a 및 도 16b 참조). 하드 마스크 층(217)은 패터닝된 레지스트 층(219)의 개구를 통해 에칭되고, 후속하여 패터닝된 레지스트 층(219)이 습식 스트리핑(wet stripping) 또는 플라즈마 애싱(plasma ashing)과 같은 적절한 공정을 이용하여 제거된다. 후속하여, 하드 마스크 층[218(2)]이 패터닝된 하드 마스크 층(217)을 에칭 마스크로서 이용하여 에칭된 다음, 하드 마스크 층(217)이 제거되어 하드 마스크 층[218(2)]에 맨드릴 라인(218a 내지 218d)이 남겨진다. 일례에서, 하드 마스크 층(217)을 에칭하는 단계는 패터닝된 레지스트 층(219)의 개구 내의 하드 마스크 층(217)을 제거하기 위해 건식(또는 플라즈마) 에칭을 적용하는 단계를 포함한다. 예컨대, 건식 에칭 공정은 산소 함유 가스, 플루오르 함유 가스(예컨대, CF4, SF6, CH2F2, CHF3 및/또는 C2F6), 염소 함유 가스(예컨대, Cl2, CHCl3, CCl4 및/또는 BCl3), 브롬 함유 가스(예컨대, HBr 및/또는 CHBR3), 요오드 함유 가스, 다른 적절한 가스 및/또는 플라즈마, 및/또는 이들의 조합물을 이용할 수도 있다. 하드 마스크 층[218(2)]은 유사한 또는 다른 에칭 공정을 이용하여 에칭될 수도 있다.
본 발명의 방법(100)(도 1 참조)은 기판(202) 위에 그리고 맨드릴 라인(218a 내지 218d) 위로 걸쳐 제1 스페이서 층(220)을 형성함으로써 작업 106으로 진행된다. 도 5a 및 도 5b를 참조하면, 제1 스페이서 층(220)은 기판(202) 위에, 보다 구체적으로는 재료 층(216) 위에 형성된다. 또한, 제1 스페이서 층(220)은 맨드릴 라인(218a 내지 218d) 위에 그리고 맨드릴 라인(218a 내지 218d)의 측벽 상에 형성된다. 제1 스페이서 층(220)은 제1 두께 T1을 갖는다. 제1 스페이서 층(220)은 재료 층(216)과 맨드릴 라인(218a 내지 218d)과 상이한 하나 이상의 재료 또는 조성물을 포함한다. 일 실시예에서, 제1 스페이서 층(220)은 티타늄 질화물, 실리콘 질화물, 실리콘 산화물 또는 티타늄 산화물과 같은 유전체 재료를 포함할 수도 있다. 제1 스페이서 층(220)은 퇴적 공정과 같은 적절한 공정에 의해 형성될 수 있다. 예컨대, 퇴적 공정은 화학 증착(CVD) 공정 또는 물리 증착(PVD) 공정을 포함한다.
본 발명의 방법(100)(도 1 참조)은 맨드릴 라인(218a, 218b)과 재료 층(216)을 노출시키기 위해 제1 스페이서 층(220)을 에칭함으로써 작업 108로 진행된다. 도 6a 및 도 6b를 참조하면, 맨드릴 라인(218a, 218b)의 상부면이 이 에칭 공정에 의해 노출되고 그리고 재료 층(216) 위에 배치된 제1 스페이서 재료가 또한 부분적으로 제거되어, 맨드릴 라인(218a 내지 218d)의 측벽 상에 제1 스페이서 특징부(220a 내지 220d)를 각각 제공한다. 일 실시예에서, 제1 스페이서 층(220)의 에칭 공정은 플라즈마 에칭과 같은 이방성 에칭을 포함한다.
본 발명의 방법(100)(도 1 참조)은 맨드릴 라인(218a 내지 218d)을 제거함으로써 작업 110으로 진행된다. 도 7a 내지 도 7b를 참조하면, 맨드릴 라인(218a 내지 218d)이 제거되어, 제1 스페이서 특징부(220a 내지 220d)가 기판(202) 위에 남겨진다. 맨드릴 라인(218a 내지 218d)은 맨드릴 라인(218a 내지 218d)을 선택적으로 제거하도록 조정되는 공정을 이용하여 제거되지만, 제1 스페이서 특징부(220a 내지 220d)는 남겨진다.
본 발명의 방법(100)(도 1 참조)은 기판(202) 위에 그리고 제1 스페이서 특징부(220a 내지 220d) 위로 걸쳐 제2 스페이서 층(222)을 형성함으로써 작업 112로 진행된다. 도 8a 및 도 8b를 참조하면, 제2 스페이서 층(222)은 기판(202) 위에, 보다 구체적으로는 재료 층(216) 위에 형성된다. 또한, 제2 스페이서 층(222)은 제1 스페이서 특징부(220a 내지 220d) 위에 그리고 제1 스페이서 특징부(220a 내지 220d)의 측벽 상에 형성된다. 제2 스페이서 층(222)은 제2 두께 T2를 갖는다. 제2 스페이서 층(222)은 재료 층(216)과 상이한 하나 이상의 재료 또는 조성물을 포함한다. 제2 스페이서 층(222)은 제1 스페이서 층(220)과 동일한 또는 상이한 재료나 조성물을 사용할 수도 있다. 그러나, 2개의 스페이서 층(220, 222)에 사용된 재료는 2개의 스페이서 층이 후속 단계에서 에칭될 때 바람직하지 않은 마이크로 트렌치의 형성을 방지하기 위해 유사한 에칭 선택도를 가질 수 있다. 일 실시예에서, 제2 스페이서 층(222)은 티타늄 질화물, 실리콘 질화물, 실리콘 산화물 또는 티타늄 산화물과 같은 유전체 재료를 포함할 수도 있다. 제2 스페이서 층(222)은 퇴적 공정과 같은 적절한 공정에 의해 형성될 수 있다. 예컨대, 퇴적 공정은 화학 증착(CVD) 공정 또는 물리 증착(PVD) 공정을 포함한다.
본 발명의 방법(100)(도 1 참조)은 제2 스페이서 층(222) 위에 다른 재료 층을 형성함으로써 작업 114로 진행된다. 도 9a 및 도 9b를 참조하면, 재료 층(224)은 기판(202) 위에 그리고 제2 스페이서 층(222) 위에 형성된다. 일 실시예에서, 재료 층(224)은 처음에 제2 스페이서 층(222) 위에 퇴적된 다음, 제1 스페이서 특징부(220a 내지 220d)의 상부면 위의 제2 스페이서 층(222)이 노출되도록 부분적으로 제거된다. 재료 층(224)의 부분적인 제거는 화학 기계적 연마(CMP) 또는 에칭 백(etch back)과 같은 방법에 의해 수행될 수도 있다. 일 실시예에서, 재료 층(224)은 하부 반사 방지 코팅(BARC) 또는 스핀 온 유리(SOG)를 사용한다.
본 발명의 방법(100)(도 1 참조)은 제2 마스크를 이용하여 재료 층(224) 및 제2 스페이서 층(222) 상에 트렌치를 형성함으로써 작업 116으로 진행된다. 이 작업은 퇴적 공정, 리소그래피 공정 및 에칭 공정과 같은 다양한 공정을 포함한다. 이 작업은 도 10a 내지 도 11b, 및 도 18a와 도 18b를 참조하여 기술될 것이다.
도 10a 및 도 10b를 참조하면, 재료 층(226)이 제2 스페이서 층(222)과 재료 층(224) 위에 퇴적된다. 연마 공정이 재료 층(226)에 후속적으로 수행될 수도 있다. 하드 마스크 층(228)이 재료 층(226) 위에 퇴적된다. 일 실시예에서, 재료 층(226)은 하부 반사 방지 코팅(BARC) 층일 수 있지만, 하드 마스크 층(228)은 실리콘으로 제조될 수 있다. 다른 실시예에선, 2개의 재료 층(226, 228)을 사용하는 대신 하나의 재료 층이 사용될 수 있다. 레지스트 층(230)이 하드 마스크 층(228) 상에 형성되고, 리소그래피 공정을 이용하여 트렌치로서의 제2 마스크를 사용하여 패터닝된다. 본 실시예에서, 제2 마스크는 트렌치로서의 3개의 패턴(230a, 230b, 230g)을 포함한다. 패턴(230a)은 제1 스페이서 특징부(220a, 220b)와 중첩됨으로써, 특징부(180a, 182a, 184a)(도 2 참조)를 위한 트렌치를 형성한다. 패턴(230b)은 제1 스페이서 특징부(220c, 220d)와 중첩됨으로써, 특징부(180b, 182b, 184b)(도 2 참조)를 위한 트렌치를 형성한다. 이런 트렌치의 형성은 맨드릴 라인(218a 내지 218d)(도 4a 참조)의 치수와 피치, 제1 두께 T1(도 5b 참조), 및 제2 두께 T2(도 8b 참조)에 기인한다. 이런 점은 도 15를 참조하여 상세히 기술될 것이다. 본 실시예에서, 제1 스페이서 특징부(220a, 220b) 위에 배치된 제2 스페이서 층(222)의 외부면들 사이의 간격은 특징부(182)의 폭 W2와 동일하게 되도록 조정된다. 도 18a 및 도 18b에 도시된 다른 실시예에서, 제1 스페이서 특징부(220a, 220b) 위에 배치된 제2 스페이서 층(222)의 외부면들 사이의 간격이 W2보다 큰 경우, 제2 마스크는 6개의 패턴(230a 내지 230f)을 포함한다. 이와 관련하여, 도 10a는, 도 18a의 패턴(230a 내지 230c)이 도 10a의 패턴(230a)으로 통합되고 도 18a의 패턴(230d 내지 230f)이 도 10a의 패턴(230b)로 통합된, 도 18a의 특별한 경우의 도면일 수 있다.
도 10c를 참조하면, 하드 마스크 층(228)은 패터닝된 레지스트 층(230)의 개구를 통한 에칭에 의해 패터닝된다. 일례에서, 에칭 공정은 패터닝된 레지스트 층(230)의 개구 내의 하드 마스크 층(228)을 제거하기 위해 건식(또는 플라즈마) 에칭을 적용하는 단계를 포함한다. 예컨대, 건식 에칭 공정은 산소 함유 가스, 플루오르 함유 가스(예컨대, CF4, SF6, CH2F2, CHF3 및/또는 C2F6), 염소 함유 가스(예컨대, Cl2, CHCl3, CCl4 및/또는 BCl3), 브롬 함유 가스(예컨대, HBr 및/또는 CHBR3), 요오드 함유 가스, 다른 적절한 가스 및/또는 플라즈마, 및/또는 이들의 조합물을 이용할 수도 있다. 일 실시예에서, 하드 마스크 층(228)이 패터닝된 후에, 패터닝된 레지스트 층(230)이 습식 스트리핑 또는 플라즈마 애싱과 같은 적절한 공정을 이용하여 제거되거나 부분적으로 제거된다.
도 10d를 참조하면, 하드 마스크 층(228)이 패터닝된 후에, 재료 층(226, 224)은 재료 층(226, 224)을 선택적으로 제거하도록 조정되는 에칭 공정과 같은 적절한 공정을 이용하여 에칭 마스크로서의 패터닝된 하드 마스크 층(228)을 사용하여 에칭되지만, 제2 스페이서 층(222)은 남겨진다. 일 실시예에서, 하드 마스크 층(228)의 패터닝 단계 이후의 레지스트 층(230)의 임의의 잔류 부분도 또한 그런 에칭 공정에 의해 제거된다. 일 실시예에서, 재료 층(226, 224)의 패터닝 단계 이후의 하드 마스크 층(228)의 임의의 잔류 부분도 또한 그런 에칭 공정에 의해 제거된다. 후속하여, 재료 층(228, 226)이 재료 층(228, 226)을 선택적으로 제거하도록 조정되는 에칭 공정과 같은 적절한 공정을 이용하여 제거되지만, 재료 층(224)과 제2 스페이서 층(222)은 남겨진다.
도 11a 및 도 11b를 참조하면, 트렌치(232a 내지 232g)가 상술된 에칭 공정에 의해 재료 층(224)과 제2 스페이서 층(222)에 형성된다.
본 발명의 방법(100)(도 1 참조)은 재료 층(216)을 노출시키기 위해 제2 스페이서 층(222)을 에칭함으로써 작업 118로 진행된다. 도 12a 및 도 12b를 참조하면, 재료 층(216) 위에 배치된 제2 스페이서 층이 트렌치(232a 내지 232g)의 바닥부에서 제거된다. 또한, 제1 스페이서 특징부(220a 내지 220d)도 에칭 공정에 의해 노출되고 부분적으로 제거될 수 있다. 재료 층(224)은 에칭 공정에 의해 부분적으로 제거될 수 있다. 일 실시예에서, 제2 스페이서 층의 에칭 공정은 플라즈마 에칭과 같은 이방성 에칭을 포함한다. 작업 118의 결과로서, 제1 및 제2 스페이서 층(220, 222)과 재료 층(224)이 목표 패턴(200)(도 2 참조)의 특징부(180a, 180b, 182a, 182b, 184a, 184b, 186)에 대응하는 복수의 개구로 패터닝된다.
본 발명의 방법(100)(도 1 참조)은 이방성 에칭과 같은 적절한 공정을 이용하여 스페이서 층(220, 222)과 재료 층(224)으로부터의 패턴을 재료 층(216)(도 13a 및 도 13b)으로 전사함으로써 작업 120으로 진행된다. 후속하여, 스페이서 층(220, 222)과 재료 층(224)이 제거된다(도 14a 및 도 14b 참조). 도 14a 및 도 14b를 참조하면, 목표 패턴(200)(도 2 참조)과 일치하는 패턴이 재료 층(216)에 형성된다.
본 발명의 방법(100)(도 1 참조)은 패터닝된 재료 층(216)을 이용하여 최종 패턴 또는 디바이스를 형성하기 위해 작업 122로 진행된다. 일 실시예에서, 목표 패턴은 다층 상호연결 구조의 금속 라인으로서 형성될 것이다. 예컨대, 금속 라인은 내부층 유전체(ILD) 층에 형성될 수도 있다. 이런 경우, 작업 122에서, 패터닝된 재료 층(216)을 이용하여 ILD 층에 복수의 트렌치를 형성하고, 금속과 같은 전도성 재료로 트렌치를 충전하고, 패터닝된 ILD 층을 노출시키기 위해 화학 기계적 연마와 같은 공정을 이용하여 전도성 재료를 연마함으로써, ILD 층에 금속 라인을 형성한다.
다른 실시예에서, 작업 122에서, 패터닝된 재료 층(216)을 이용하여 반도체 기판 상에 핀 구조 전계 효과 트랜지스터(FinFET) 구조를 형성한다. 이 실시예에서는, 작업 122에서 반도체 기판에 복수의 트렌치를 형성한다. 또한, 유전체 재료로 트렌치를 충전하기 위한 퇴적 단계와, 초과 유전체 재료를 제거하고 반도체 기판의 상부면을 평탄화하기 위한 (CMP와 같은)연마 단계를 포함하는 방법에 의해 얕은 트렌치 격리(STI) 특징부가 트렌치에 형성된다. 후속하여, STI 특징부를 리세싱하여(recess) 핀형(fin-like) 활성 구역을 형성하기 위해 선택적 에칭 공정이 유전체 재료에 적용된다.
도 15는 목표 패턴(200)(도 2 참조)의 다양한 치수, 맨드릴 라인(218a 내지 218d)(도 4a 참조)의 다양한 치수, 제1 스페이서 층(220)(도 5b 참조)의 두께 T1, 및 제2 스페이서 층(222)(도 8b 참조)의 두께 T2 사이의 관계를 도시한다. 도 13a의 시계방향으로 90도 회전된 부분도일 수 있는 도 15를 참조하면, 상술된 다양한 치수들은 다음과 같다.
Lm = L + 2 × T2 (1)
W1m = W1 + 2 × T2 (2)
W3m = W3 + 2 × T2 (3)
Pm = W1 + W2 + 2 × T1 + 4 × T2 (4)
S1 ≥ T1 + 2 × T2 (5)
S2 ≥ T1 + 2 × T2 (6)
EtE = EtEm + 2 × T2 (7)
본 발명은 스페이서가 패턴 위에 형성되기 전에 패턴이 트리밍되는 종래의 스페이서 기술에 비해 다양한 이점을 제공한다. 하나의 이점은 더 작은 EtE가 두께 T2의 조정에 의해 달성될 수 있다는 것이다. 예로서, 종래의 스페이서 기술을 이용하는 공정 P에 있어서, 맨드릴 라인(218a 내지 218d)의 폭은 폭이 최종 패턴 피치를 충족시키도록 트리밍 공정에서 T만큼 감소된다. 또한, 맨드릴 라인(218a 내지 218d)의 길이도 동일한 트리밍 공정에 의해 대략 T만큼 감소된다. 따라서, 맨드릴 라인(218a 내지 218d) 사이의 엔드 투 엔드 거리는 EtEm에서 공정 P에 의해 최종 패턴의 엔드 투 엔드 거리와 거의 동일해지는 (EtEm + 2 × T)로 증가된다. 대조적으로, 본 실시예에서, 두께 T2는 T보다 작아지도록 조정될 수 있는데, 이는 최종 패턴의 엔드 투 엔드 거리를 간접적으로 감소시킨다[상술된 등식(7) 참조]. 감소된 EtE 거리 이외에도, 목표 패턴(200)의 특징부(180a, 180b, 182a, 182b, 184a, 184b)의 폭과 길이, 및 특징부(180a, 180b, 182a, 182b, 184a, 184b) 사이의 간격이 두께 T1 및 T2의 조정에 의해 더 작아질 수 있다. 이는 일반적으로 패턴 밀도를 증가시키는 이점이 있다. 본 발명의 다른 이점은 (1) 본 발명의 실시예는 맨드릴 라인 트리밍 공정이 제거되고 (2) 레지스트 층(218)(도 4b 참조)이 더 얇아질 수 있기 때문에 비용이 절감된다.
당업자들이 본 발명의 양태를 더 잘 이해할 수 있도록 몇몇 실시예에 대한 상술된 구성요소가 개시되어 있다. 당업자들은 본 명세서에 개시된 실시예의 동일한 목적 및/또는 이점을 달성하기 위해 다른 공정 및 구조를 설계하거나 변경하기 위한 기초로서 본 명세서의 내용을 용이하게 이용할 수 있을 것이다. 또한, 당업자들은 그런 등가 구성들은 본 발명의 기술 사상 및 범주를 벗어나지 않음을 알 것이며, 본 발명의 기술 사상 및 범주 내에서 다양한 변형예, 대체예 및 변경예를 실시할 수 있을 것이다.
본 발명의 예시적인 일 양태는 집적 회로(IC)를 위한 목표 패턴을 형성하는 방법에 관한 것이다. 본 발명의 방법은 제1 마스크를 이용하여 복수의 라인을 기판 위에 형성하는 단계와; 기판 위에, 복수의 라인 위에 그리고 복수의 라인의 측벽 상에 제1 스페이서 층을 형성하는 단계와; 복수의 라인을 노출시키기 위해 제1 스페이서 층의 적어도 일부를 제거하는 단계와; 복수의 라인을 제거함으로써 패터닝된 제1 스페이서 층을 기판 위에 제공하는 단계와; 기판 위에, 패터닝된 제1 스페이서 층 위에 그리고 패터닝된 제1 스페이서 층의 측벽 상에 제2 스페이서 층을 형성하는 단계와; 제2 마스크를 이용하여 패터닝된 재료 층을 제2 스페이서 층 위에 형성하는 단계를 포함하며, 패터닝된 재료 층과 제2 스페이서 층은 총괄하여 복수의 트렌치를 형성한다.
본 발명의 예시적인 다른 양태는 복수의 하드 마스크 층을 갖는 기판 위에 패턴을 형성하는 방법에 관한 것이다. 본 발명의 방법은 라인을 기판 위에 형성하는 단계와; 기판 위에, 라인들 위에 그리고 라인들의 측벽 상에 제1 재료를 제1 두께로 퇴적하는 단계와; 라인들을 제거함으로써 패터닝된 제1 재료를 기판 위에 제공하는 단계와; 기판 위에, 패터닝된 제1 재료 위에 그리고 패터닝된 제1 재료의 측벽 상에 제2 재료를 제2 두께로 퇴적하는 단계와; 제3 재료를 제2 재료 위에 퇴적하는 단계와; 트렌치를 형성하기 위해 제2 재료와 제3 재료를 패터닝하는 단계를 포함한다.
본 발명의 예시적인 또 다른 양태는 집적 회로를 위한 목표 패턴을 형성하는 방법에 관한 것이다. 본 발명의 방법은 목표 패턴을 적어도 제1 마스크 패턴을 갖는 제1 마스크 및 제2 마스크 패턴을 갖는 제2 마스크로 분해하는 단계를 포함하며, 제1 마스크 패턴의 적어도 일부는 제2 마스크 패턴의 적어도 일부와 중첩된다. 또한, 본 발명의 방법은 제1 마스크를 이용하여 기판을 패터닝함으로써 제1의 복수의 특징부를 형성하는 단계와; 기판 위에, 제1의 복수의 특징부 위에 그리고 제1의 복수의 특징부의 측벽 상에 제1 스페이서 층을 형성하는 단계와; 기판과 제1의 복수의 특징부를 노출시키기 위해 제1 스페이서 층을 부분적으로 제거하는 단계와; 제1의 복수의 특징부를 후속적으로 제거하는 단계를 포함한다. 또한, 본 발명의 방법은 기판 위에, 제1 스페이서 층 위에 그리고 제1 스페이서 층의 측벽 상에 제2 스페이서 층을 형성하는 단계와; 제1 재료 층을 제2 스페이서 층 위에 형성하는 단계와; 제2 마스크를 이용하여 제1 재료 층을 패터닝하는 단계를 포함하며, 제2 스페이서 층과 패터닝된 제1 재료 층은 총괄하여 제2의 복수의 특징부를 형성한다.
200 : 목표 패턴
180a, 180b, 182a, 182b, 184a, 184b : 특징부
202 : 기판
214, 216 : 재료 층
220 : 제1 스페이서 층
222; : 제2 스페이서 층
218a, 218b, 218c, 218d : 맨드릴 라인

Claims (20)

  1. 집적 회로를 위한 목표 패턴을 형성하는 방법으로서,
    제1 마스크를 이용하여 복수의 라인을 기판 위에 형성하는 단계와,
    상기 기판 위에, 상기 복수의 라인 위에 그리고 상기 복수의 라인의 측벽 상에 제1 스페이서 층을 형성하는 단계와,
    상기 복수의 라인을 노출시키기 위해 상기 제1 스페이서 층의 적어도 일부를 제거하는 단계와,
    상기 복수의 라인을 제거함으로써 패터닝된 제1 스페이서 층을 상기 기판 위에 제공하는 단계와,
    상기 기판 위에, 상기 패터닝된 제1 스페이서 층 위에 그리고 상기 패터닝된 제1 스페이서 층의 측벽 상에 제2 스페이서 층을 형성하는 단계와,
    제2 마스크를 이용하여 패터닝된 재료 층을 상기 제2 스페이서 층 위에 형성하는 단계를 포함하며,
    상기 패터닝된 재료 층과 제2 스페이서 층은 총괄하여 복수의 트렌치를 형성하고,
    복수의 트렌치들이 정의되고 난 이후에 상기 패터닝된 제1 스페이서 층 위에 그리고 상기 패터닝된 제1 스페이서 층의 상기 측벽 상에 상기 제2 스페이서 층이 형성된 채로 남아있는 것인
    목표 패턴 형성 방법.
  2. 제1항에 있어서, 상기 복수의 트렌치를 상기 기판으로 전사하는 단계를 더 포함하는 목표 패턴 형성 방법.
  3. 제1항에 있어서, 상기 기판을 노출시키기 위해 상기 복수의 트렌치의 개구를 통해 상기 제2 스페이서 층을 에칭하는 단계와,
    상기 복수의 트렌치의 개구를 통해 상기 기판을 에칭하는 단계와,
    상기 에칭하는 단계 후에, 상기 제1 스페이서 층, 제2 스페이서 층 및 패터닝된 재료 층을 제거하는 단계를 더 포함하는 목표 패턴 형성 방법.
  4. 제1항에 있어서, 상기 복수의 라인을 형성하는 단계는,
    레지스트 층을 상기 기판 위에 형성하는 단계와,
    상기 제1 마스크를 이용하여 상기 레지스트 층을 패터닝하는 단계를 포함하는 것인 목표 패턴 형성 방법.
  5. 제1항에 있어서, 상기 복수의 라인을 형성하는 단계는,
    하드 마스크 층을 상기 기판 위에 형성하는 단계와,
    레지스트 층을 상기 하드 마스크 층 위에 형성하는 단계와,
    상기 제1 마스크를 이용하여 상기 레지스트 층을 패너팅하는 단계와,
    에칭 마스크로서 상기 패터닝된 레지스트 층을 이용하여 상기 하드 마스크 층을 에칭하는 단계와,
    상기 패터닝된 레지스트 층을 후속적으로 제거하는 단계를 포함하는 것인 목표 패턴 형성 방법.
  6. 제1항에 있어서, 상기 제1 스페이서 층과 제2 스페이서 층을 형성하는 단계는 퇴적 단계를 포함하는 것인 목표 패턴 형성 방법.
  7. 제1항에 있어서, 상기 패터닝된 재료 층을 형성하는 단계는,
    제1 재료 층을 상기 제2 스페이서 층 위에 형성하는 단계와,
    제2 재료 층을 상기 제1 재료 층과 제2 스페이서 층 위에 형성하는 단계와,
    상기 제2 마스크를 이용하여 상기 제2 재료 층을 패터닝하는 단계와,
    에칭 마스크로서 상기 패터닝된 제2 재료 층을 이용하여 상기 제1 재료 층을 에칭하는 단계와,
    상기 제2 재료 층을 후속적으로 제거하는 단계를 포함하는 것인 목표 패턴 형성 방법.
  8. 제1항에 있어서, 상기 복수의 트렌치의 적어도 하나의 치수는 상기 제1 마스크의 패턴 간격과, 상기 복수의 라인의 측벽 위의 제1 및 제2 스페이서 층의 두께에 의해 적어도 부분적으로 결정되는 것인 목표 패턴 형성 방법.
  9. 복수의 하드 마스크 층을 갖는 기판 위에 라인들을 형성하는 단계와,
    상기 기판 위에, 상기 라인들 위에 그리고 상기 라인들의 측벽 상에 제1 재료를 제1 두께로 퇴적하는 단계와,
    상기 라인들을 제거함으로써 패터닝된 제1 재료를 상기 기판 위에 제공하는 단계와,
    상기 기판 위에, 상기 패터닝된 제1 재료 위에 그리고 상기 패터닝된 제1 재료의 측벽 상에 제2 재료를 제2 두께로 퇴적하는 단계와,
    제3 재료를 상기 제2 재료 위에 퇴적하는 단계와,
    트렌치를 형성하기 위해 상기 제2 재료와 제3 재료를 패터닝하는 단계를 포함하고,
    상기 제2 재료는 상기 패터닝된 제1 재료 위에 그리고 상기 패터닝된 제1 재료의 상기 측벽 상에 퇴적된 채로 남아있는 것인 방법.
  10. 집적 회로를 위한 목표 패턴을 형성하는 방법으로서,
    상기 목표 패턴을 적어도 제1 마스크 패턴을 갖는 제1 마스크 및 제2 마스크 패턴을 갖는 제2 마스크로 분해하는 단계로서, 상기 제1 마스크 패턴의 적어도 일부는 상기 제2 마스크 패턴의 적어도 일부와 중첩되는 것인 분해 단계와,
    상기 제1 마스크를 이용하여 기판을 패터닝함으로써 제1의 복수의 특징부를 형성하는 단계와,
    상기 기판 위에, 상기 제1의 복수의 특징부 위에 그리고 상기 제1의 복수의 특징부의 측벽 상에 제1 스페이서 층을 형성하는 단계와,
    상기 기판과 제1의 복수의 특징부를 노출시키기 위해 상기 제1 스페이서 층을 부분적으로 제거하는 단계와,
    상기 제1의 복수의 특징부를 제거하는 단계와,
    상기 기판 위에, 상기 제1 스페이서 층 위에 그리고 상기 제1 스페이서 층의 측벽 상에 제2 스페이서 층을 형성하는 단계와,
    제1 재료 층을 상기 제2 스페이서 층 위에 형성하는 단계와,
    상기 제2 마스크를 이용하여 상기 제1 재료 층을 패터닝하는 단계를 포함하며,
    상기 제2 스페이서 층과 패터닝된 제1 재료 층은 총괄하여 제2의 복수의 특징부를 형성하고,
    상기 제2의 복수의 특징부들이 정의되고 난 이후에 상기 패터닝된 제1 스페이서 층 위에 그리고 상기 패터닝된 제1 스페이서 층의 상기 측벽 상에 상기 제2 스페이서 층이 형성된 채로 남아있는 것인
    목표 패턴 형성 방법.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020140182998A 2013-12-19 2014-12-18 집적 회로 패터닝 방법 KR101670556B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/134,027 2013-12-19
US14/134,027 US9136106B2 (en) 2013-12-19 2013-12-19 Method for integrated circuit patterning

Publications (2)

Publication Number Publication Date
KR20150072362A KR20150072362A (ko) 2015-06-29
KR101670556B1 true KR101670556B1 (ko) 2016-10-28

Family

ID=53400807

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140182998A KR101670556B1 (ko) 2013-12-19 2014-12-18 집적 회로 패터닝 방법

Country Status (3)

Country Link
US (3) US9136106B2 (ko)
KR (1) KR101670556B1 (ko)
CN (1) CN104733291B (ko)

Families Citing this family (1186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9773696B2 (en) 2014-01-24 2017-09-26 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9853154B2 (en) 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US9281363B2 (en) 2014-04-18 2016-03-08 Taiwan Semiconductor Manufacturing Company Ltd. Circuits using gate-all-around technology
US9385197B2 (en) 2014-08-29 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with contact over source/drain structure and method for forming the same
US9818744B2 (en) 2014-09-04 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Leakage current suppression methods and related structures
US9553172B2 (en) 2015-02-11 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US9991343B2 (en) 2015-02-26 2018-06-05 Taiwan Semiconductor Manufacturing Company Ltd. LDD-free semiconductor structure and manufacturing method of the same
US9768261B2 (en) 2015-04-17 2017-09-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
US9553088B1 (en) * 2015-09-24 2017-01-24 International Business Machines Corporation Forming semiconductor device with close ground rules
US9954081B2 (en) 2015-12-15 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9722081B1 (en) 2016-01-29 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and method of forming the same
US9768170B2 (en) 2016-02-05 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9847330B2 (en) 2016-02-05 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US10002867B2 (en) 2016-03-07 2018-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor structure and manufacturing method thereof
US10056265B2 (en) 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
US9818623B2 (en) 2016-03-22 2017-11-14 Globalfoundries Inc. Method of forming a pattern for interconnection lines and associated continuity blocks in an integrated circuit
US9893120B2 (en) 2016-04-15 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
US10079291B2 (en) 2016-05-04 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor structure and manufacturing method thereof
US10032877B2 (en) 2016-08-02 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of forming same
US10157918B2 (en) 2016-08-03 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9786545B1 (en) 2016-09-21 2017-10-10 Globalfoundries Inc. Method of forming ANA regions in an integrated circuit
US9818640B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in a non-mandrel line of an array of metal lines
US9818641B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in mandrel and a non-mandrel lines of an array of metal lines
US9818613B1 (en) * 2016-10-18 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US10840350B2 (en) 2016-10-31 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Nanolaminate structure, semiconductor device and method of forming nanolaminate structure
US10276677B2 (en) 2016-11-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10879354B2 (en) 2016-11-28 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and forming method thereof
US10326003B2 (en) 2016-11-28 2019-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and methods of forming
US9852986B1 (en) 2016-11-28 2017-12-26 Globalfoundries Inc. Method of patterning pillars to form variable continuity cuts in interconnection lines of an integrated circuit
US10700181B2 (en) 2016-11-28 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finFET) device structure and method for forming the same
US10115808B2 (en) 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. finFET device and methods of forming
US10164066B2 (en) 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US10008497B2 (en) 2016-11-29 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10515951B2 (en) 2016-11-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10453943B2 (en) 2016-11-29 2019-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETS
US10170378B2 (en) 2016-11-29 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all-around semiconductor device and manufacturing method thereof
US10510888B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10553720B2 (en) 2016-11-29 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of removing an etch mask
US9991165B1 (en) 2016-11-29 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric source/drain epitaxy
US10510851B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10269906B2 (en) 2016-11-30 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having two spacers
US10707328B2 (en) 2016-11-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming epitaxial fin structures of finFET
US10672824B2 (en) 2016-11-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor
US9953875B1 (en) 2016-11-30 2018-04-24 Taiwan Semiconductor Manufacturing Company Contact resistance control in epitaxial structures of finFET
US10453741B2 (en) 2016-12-13 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device contact
US10037912B2 (en) 2016-12-14 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10522642B2 (en) 2016-12-14 2019-12-31 Taiwan Semiconductor Manufacturing Co. Ltd. Semiconductor device with air-spacer
DE102017113681A1 (de) 2016-12-14 2018-06-14 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-bauelement mit luft-abstandshalter
US10879370B2 (en) 2016-12-15 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Etching back and selective deposition of metal gate
US10043703B2 (en) 2016-12-15 2018-08-07 Globalfoundries Inc. Apparatus and method for forming interconnection lines having variable pitch and variable widths
US9887127B1 (en) 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
US10522694B2 (en) 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing semiconductor device
US10276691B2 (en) 2016-12-15 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Conformal transfer doping method for fin-like field effect transistor
US11476349B2 (en) 2016-12-15 2022-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10510762B2 (en) 2016-12-15 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain formation technique for fin-like field effect transistor
US10269646B2 (en) 2016-12-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10002796B1 (en) 2016-12-15 2018-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial growth process for semiconductor device
US9812351B1 (en) * 2016-12-15 2017-11-07 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned continuity cuts
US10497811B2 (en) 2016-12-15 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10002786B1 (en) 2016-12-15 2018-06-19 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned variable length continuity cuts
TWI746673B (zh) 2016-12-15 2021-11-21 台灣積體電路製造股份有限公司 鰭式場效電晶體裝置及其共形傳遞摻雜方法
US10121675B2 (en) 2016-12-29 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US10325911B2 (en) 2016-12-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10516030B2 (en) 2017-01-09 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
US10170374B2 (en) 2017-03-23 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US11923252B2 (en) 2017-03-23 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for manufacturing the same
US10804375B2 (en) 2017-03-23 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10950605B2 (en) 2017-03-24 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US10304945B2 (en) 2017-03-24 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. High-speed semiconductor device and method for forming the same
US10355095B2 (en) 2017-03-31 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with composite gate helmet
US10090325B1 (en) 2017-03-31 2018-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit cells having separated gate electrodes
US10056473B1 (en) 2017-04-07 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10163731B2 (en) 2017-04-12 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET semiconductor structure having hybrid substrate and method of fabricating the same
US10269621B2 (en) 2017-04-18 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
US10312332B2 (en) 2017-04-18 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US10483380B2 (en) 2017-04-20 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10186456B2 (en) 2017-04-20 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming contact plugs with reduced corrosion
US10062784B1 (en) 2017-04-20 2018-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned gate hard mask and method forming same
US10483266B2 (en) 2017-04-20 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Flexible merge scheme for source/drain epitaxy regions
US10475908B2 (en) 2017-04-25 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10872980B2 (en) 2017-04-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10373879B2 (en) 2017-04-26 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with contracted isolation feature and formation method thereof
US10332786B2 (en) 2017-04-27 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device
US10157997B2 (en) 2017-04-27 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming the same
US10141225B2 (en) 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
US10319832B2 (en) 2017-04-28 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10170318B2 (en) 2017-04-28 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US10115825B1 (en) 2017-04-28 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with asymmetric contact
DE102017122702B4 (de) 2017-04-28 2023-11-09 Taiwan Semiconductor Manufacturing Co. Ltd. Struktur und Verfahren für FinFET-Vorrichtung mit asymmetrischem Kontakt
US10157785B2 (en) 2017-05-01 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10332965B2 (en) 2017-05-08 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10043712B1 (en) 2017-05-17 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10050149B1 (en) 2017-05-18 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure for semiconductor device
US10665569B2 (en) 2017-05-25 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical transistor device and method for fabricating the same
US10269636B2 (en) 2017-05-26 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10269800B2 (en) 2017-05-26 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical gate semiconductor device with steep subthreshold slope
US10636910B2 (en) 2017-05-30 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method of forming the same
US10522392B2 (en) 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10147787B1 (en) 2017-05-31 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10163621B1 (en) 2017-05-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for FinFET devices
US10163628B1 (en) 2017-05-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Lattice-mismatched semiconductor substrates with defect reduction
US9991268B1 (en) 2017-06-08 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell structure
US9991262B1 (en) 2017-06-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device on hybrid substrate and method of manufacturing the same
US10727131B2 (en) 2017-06-16 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain epitaxy re-shaping
US10510840B2 (en) 2017-06-20 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. GAA FET with u-shaped channel
US10283414B2 (en) 2017-06-20 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation manufacturing method for semiconductor structures
EP3419047A1 (en) * 2017-06-22 2018-12-26 IMEC vzw A method for patterning a target layer
US11334703B2 (en) 2017-06-29 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit layouts with fill feature shapes
US10170307B1 (en) * 2017-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for patterning semiconductor device using masking layer
US10269940B2 (en) 2017-06-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
TWI743252B (zh) 2017-06-30 2021-10-21 台灣積體電路製造股份有限公司 鰭狀場效電晶體裝置與其形成方法
DE102018104944A1 (de) 2017-06-30 2019-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement mit einer Auskleidungsschicht mit einem konfigurierten Profil und Verfahren zu dessen Herstellung
US10516037B2 (en) 2017-06-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming shaped source/drain epitaxial layers of a semiconductor device
US10483267B2 (en) 2017-06-30 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Eight-transistor static random-access memory, layout thereof, and method for manufacturing the same
US10347764B2 (en) 2017-06-30 2019-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with multi-layered source/drain regions having different dopant concentrations and manufacturing method thereof
US10720358B2 (en) 2017-06-30 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a liner layer with a configured profile and method of fabricating thereof
US10468529B2 (en) 2017-07-11 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with etch stop layer
US10181524B1 (en) 2017-07-14 2019-01-15 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical transistor device and method for fabricating the same
US10134640B1 (en) 2017-07-18 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with semiconductor wire
US10727226B2 (en) 2017-07-18 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US10157988B1 (en) 2017-07-18 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with dual spacers and method for forming the same
US10211307B2 (en) 2017-07-18 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing inner spacers in a gate-all-around (GAA) FET through multi-layer spacer replacement
US10290635B2 (en) 2017-07-26 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Buried interconnect conductor
US10141430B1 (en) 2017-07-27 2018-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. Fin structures with uniform threshold voltage distribution and method of making the same
US10283623B2 (en) 2017-07-27 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with gate stacks
US10283503B2 (en) 2017-07-31 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US10510875B2 (en) 2017-07-31 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain structure with reduced contact resistance and enhanced mobility
US10269624B2 (en) 2017-07-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods of forming same
US10685884B2 (en) 2017-07-31 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including a Fin-FET and method of manufacturing the same
DE102017126027B4 (de) 2017-07-31 2022-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Metallgatestruktur und Verfahren
US10515952B2 (en) 2017-08-04 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10833152B2 (en) 2017-08-15 2020-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10515850B2 (en) 2017-08-25 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method and IC design with non-linear power rails
US10504898B2 (en) 2017-08-28 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor structure and method for forming the same
US10147611B1 (en) * 2017-08-28 2018-12-04 Nanya Technology Corporation Method for preparing semiconductor structures
US10529833B2 (en) 2017-08-28 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with a fin and gate structure and method making the same
US10403714B2 (en) 2017-08-29 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Fill fins for semiconductor devices
US10685880B2 (en) 2017-08-30 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for reducing contact depth variation in semiconductor fabrication
US10535654B2 (en) 2017-08-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate with slanted sidewalls
US10403550B2 (en) 2017-08-30 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10699956B2 (en) 2017-08-30 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10535525B2 (en) 2017-08-31 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US10290548B2 (en) 2017-08-31 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with semiconductor wire
US10475654B2 (en) 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact plug and method manufacturing same
US10522680B2 (en) 2017-08-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet semiconductor device structure with capped source drain structures
US10164053B1 (en) 2017-08-31 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10332985B2 (en) 2017-08-31 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10276445B2 (en) 2017-08-31 2019-04-30 Taiwan Semiconductor Manfacturing Co., Ltd. Leakage reduction methods and structures thereof
US10276720B2 (en) 2017-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming fin field effect transistor (FINFET) device structure
US10297508B2 (en) 2017-08-31 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10515896B2 (en) 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US10163904B1 (en) * 2017-08-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure
US10374059B2 (en) 2017-08-31 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with nanowires
US10276718B2 (en) 2017-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having a relaxation prevention anchor
US10446555B2 (en) 2017-08-31 2019-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Buried metal track and methods forming same
US10121870B1 (en) 2017-08-31 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with strain-relaxed buffer
US10629679B2 (en) 2017-08-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10483378B2 (en) 2017-08-31 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial features confined by dielectric fins and spacers
US10497577B2 (en) 2017-08-31 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US10374058B2 (en) 2017-09-15 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10679988B2 (en) 2017-09-18 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including FinFETS having different channel heights and manufacturing method thereof
US10453752B2 (en) 2017-09-18 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a gate-all-around semiconductor device
US10361133B2 (en) 2017-09-18 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. High-K metal gate and method for fabricating the same
US10505040B2 (en) 2017-09-25 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device having a gate with ferroelectric layer
US10700177B2 (en) 2017-09-27 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with low resistivity contact structure and method for forming the same
US10468275B2 (en) 2017-09-27 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fabrication with electrochemical apparatus
US10269914B2 (en) 2017-09-27 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10868181B2 (en) 2017-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with blocking layer and method for forming the same
US10403545B2 (en) 2017-09-28 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Power reduction in finFET structures
US10535736B2 (en) 2017-09-28 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fully strained channel
US10763104B2 (en) 2017-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming differential etch stop layer using directional plasma to activate surface on device structure
US10157790B1 (en) 2017-09-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10153278B1 (en) 2017-09-28 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor structure and manufacturing method thereof
US10325993B2 (en) 2017-09-28 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device and fabrication thereof
US10516032B2 (en) 2017-09-28 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US10763114B2 (en) 2017-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating gate oxide of semiconductor device
US10297636B2 (en) 2017-09-28 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating complementary metal-oxide-semiconductor image sensor
US10283639B2 (en) 2017-09-28 2019-05-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US10074558B1 (en) 2017-09-28 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with controlled air gaps
US10686074B2 (en) 2017-09-28 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same
US10636673B2 (en) 2017-09-28 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US10515687B2 (en) 2017-09-28 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Strap cell design for static random access memory (SRAM) array
US10509334B2 (en) 2017-09-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods and apparatus for removing contamination from lithographic tool
US10483372B2 (en) 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US10505021B2 (en) 2017-09-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFet device and method of forming the same
US10804367B2 (en) 2017-09-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate stacks for stack-fin channel I/O devices and nanowire channel core devices
US10497624B2 (en) 2017-09-29 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10510580B2 (en) 2017-09-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy fin structures and methods of forming same
US10269965B1 (en) 2017-10-25 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Multi-gate semiconductor device and method for forming the same
US10672742B2 (en) 2017-10-26 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10535737B2 (en) 2017-10-27 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10868127B2 (en) 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and manufacturing method for the same
US10818777B2 (en) 2017-10-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10847634B2 (en) 2017-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistor and method of forming the same
US10522418B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US11380803B2 (en) 2017-10-30 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10347720B2 (en) 2017-10-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Doping for semiconductor device with conductive feature
US11444173B2 (en) 2017-10-30 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with salicide layer and method for forming the same
US10714592B2 (en) 2017-10-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10867866B2 (en) 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10325912B2 (en) 2017-10-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US10217815B1 (en) 2017-10-30 2019-02-26 Taiwan Semiconductor Manufacturing Co., Ltd Integrated circuit device with source/drain barrier
US10276693B1 (en) 2017-10-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10355105B2 (en) 2017-10-31 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors and methods of forming the same
US10535738B2 (en) 2017-10-31 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method of the same
US10163623B1 (en) 2017-10-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Etch method with surface modification treatment for forming semiconductor structure
US10629497B2 (en) 2017-11-02 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device structure and method for enlarging gap-fill window
US10403551B2 (en) 2017-11-08 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain features with an etch stop layer
US10872762B2 (en) 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10431696B2 (en) 2017-11-08 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with nanowire
US11404413B2 (en) 2017-11-08 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US10439135B2 (en) 2017-11-09 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. VIA structure and methods of forming the same
US10367078B2 (en) 2017-11-09 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and FinFET devices having shielding layers
US10680084B2 (en) 2017-11-10 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial structures for fin-like field effect transistors
US10847622B2 (en) 2017-11-13 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming source/drain structure with first and second epitaxial layers
CN107731665B (zh) * 2017-11-13 2023-07-25 长鑫存储技术有限公司 用于间距倍增的集成电路制造
US10629708B2 (en) 2017-11-14 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with barrier layer and method for forming the same
US10727178B2 (en) 2017-11-14 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Via structure and methods thereof
US10283624B1 (en) 2017-11-14 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US10396184B2 (en) 2017-11-15 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device fins
US10515948B2 (en) 2017-11-15 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including vertical routing structure and method for manufacturing the same
US10707318B2 (en) 2017-11-15 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10680106B2 (en) 2017-11-15 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming source/drain epitaxial stacks
US10840358B2 (en) 2017-11-15 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor structure with source/drain structure having modified shape
US10468527B2 (en) 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods of fabricating thereof
US10468530B2 (en) 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with source/drain multi-layer structure and method for forming the same
US10269576B1 (en) 2017-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and structures formed thereby
US10964590B2 (en) * 2017-11-15 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Contact metallization process
US10355102B2 (en) 2017-11-15 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10515809B2 (en) 2017-11-15 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Selective high-K formation in gate-last process
US10483168B2 (en) 2017-11-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and formation thereof
US10170322B1 (en) 2017-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition based process for contact barrier layer
US10867859B2 (en) 2017-11-17 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having isolation structures with liners
US10510619B2 (en) 2017-11-17 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing the same
US10269648B1 (en) 2017-11-17 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a semiconductor device structure
US10658508B2 (en) 2017-11-17 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with low resistance contact
US10629693B2 (en) 2017-11-17 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with barrier layer and method for forming the same
US10978351B2 (en) 2017-11-17 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer between substrate and isolation structure
US10727117B2 (en) 2017-11-20 2020-07-28 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US10504990B2 (en) 2017-11-21 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation features and methods of fabricating the same
US11037924B2 (en) 2017-11-21 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US10867809B2 (en) 2017-11-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Laser anneal process
US10672613B2 (en) 2017-11-22 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming semiconductor structure and semiconductor device
US10418453B2 (en) 2017-11-22 2019-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Forming metal contacts on metal gates
US10497628B2 (en) 2017-11-22 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming epitaxial structures in fin-like field effect transistors
US10867986B2 (en) 2017-11-24 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device having fin structure
US10276449B1 (en) 2017-11-24 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming fin field effect transistor (FinFET) device structure
US10340190B2 (en) 2017-11-24 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10374038B2 (en) 2017-11-24 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device source/drain region with arsenic-containing barrier region
US10361279B2 (en) 2017-11-24 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing FinFET structure with doped region
US10658362B2 (en) 2017-11-27 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor component and fabricating method thereof
US10971493B2 (en) 2017-11-27 2021-04-06 Taiwan Semiconductor Manufacturing Company Ltd. Integrated circuit device with high mobility and system of forming the integrated circuit
US10714475B2 (en) 2017-11-27 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10332789B2 (en) 2017-11-27 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with TiN adhesion layer for forming a contact plug
US10790142B2 (en) 2017-11-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selective capping processes and structures formed thereby
US10840154B2 (en) 2017-11-28 2020-11-17 Taiwan Semiconductor Manufacturing Co.. Ltd. Method for forming semiconductor structure with high aspect ratio
US10777466B2 (en) 2017-11-28 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Fin cutting process and structures formed thereby
US10714334B2 (en) 2017-11-28 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
US10164048B1 (en) 2017-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US10312089B1 (en) 2017-11-29 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for controlling an end-to-end distance in semiconductor device
US11114549B2 (en) 2017-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US10804378B2 (en) 2017-11-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved epitaxial source/drain proximity control
US10510838B2 (en) 2017-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. High surface dopant concentration formation processes and structures formed thereby
US10510889B2 (en) 2017-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. P-type strained channel in a fin field effect transistor (FinFET) device
US10840376B2 (en) 2017-11-29 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method with enhanced gate contact and threshold voltage
US10319581B1 (en) 2017-11-30 2019-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate process for reducing transistor spacing
US10510874B2 (en) * 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US10504899B2 (en) 2017-11-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with various threshold voltages and method for manufacturing the same
US10460994B2 (en) 2017-11-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Residue-free metal gate cutting for fin-like field effect transistor
US11011618B2 (en) 2017-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit devices with gate seals
US10804180B2 (en) 2017-11-30 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10515849B2 (en) 2017-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device, interconnection structure and method for forming the same
US10672889B2 (en) 2017-11-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10475929B2 (en) 2017-11-30 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10361120B2 (en) 2017-11-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
US10497778B2 (en) 2017-11-30 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10366982B2 (en) 2017-11-30 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure with embedded memory device and contact isolation scheme
US10861745B2 (en) 2017-11-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10867833B2 (en) 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Buried metal for FinFET device and method
US10629749B2 (en) 2017-11-30 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of treating interfacial layer on silicon germanium
US10177038B1 (en) 2017-11-30 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Prevention of contact bottom void in semiconductor fabrication
US10748774B2 (en) 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10446669B2 (en) 2017-11-30 2019-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain surface treatment for multi-gate field effect transistors
DE102018106581B4 (de) 2017-11-30 2020-07-09 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-Bauelement und Verfahren zu dessen Herstellung
US10700066B2 (en) 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10516039B2 (en) 2017-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
DE102018128925B4 (de) 2017-11-30 2024-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur Herstellung einer Halbleitervorrichtung und Halbleitervorrichtung
US10847413B2 (en) 2017-11-30 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact plugs for semiconductor device
US10923595B2 (en) 2017-11-30 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a SiGe epitaxial layer containing Ga
US11127594B2 (en) * 2017-12-19 2021-09-21 Tokyo Electron Limited Manufacturing methods for mandrel pull from spacers for multi-color patterning
US10756114B2 (en) 2017-12-28 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor circuit with metal structure and manufacturing method
US10461171B2 (en) 2018-01-12 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stacks
US10658225B2 (en) 2018-01-19 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices and methods of forming the same
US10608094B2 (en) 2018-01-23 2020-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US10651292B2 (en) 2018-02-19 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal via for contact resistance reduction
US10461078B2 (en) 2018-02-26 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Creating devices with multiple threshold voltage by cut-metal-gate process
US10867851B2 (en) 2018-02-26 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and semiconductor device and method of forming the same
US10522656B2 (en) 2018-02-28 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd Forming epitaxial structures in fin field effect transistors
US11031286B2 (en) 2018-03-01 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
US10535748B2 (en) 2018-03-01 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact with a silicide region
US10468409B2 (en) 2018-03-14 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with oxidation-resist STI liner structure
US10475702B2 (en) 2018-03-14 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure using bottom-up filling deposition
US10943822B2 (en) 2018-03-15 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Forming gate line-end of semiconductor structures
US10290535B1 (en) 2018-03-22 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication with a passivation agent
US10867844B2 (en) 2018-03-28 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Wet cleaning with tunable metal recess for VIA plugs
US11056392B2 (en) 2018-03-29 2021-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having gate stacks with protruding parts and method of forming the same
US10510776B2 (en) 2018-03-29 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device with common active area and method for manufacturing the same
US10804140B2 (en) 2018-03-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect formation and structure
US10763363B2 (en) 2018-04-10 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gradient doped region of recessed fin forming a FinFET device
US10854715B2 (en) 2018-04-13 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Supportive layer in source/drains of FinFET devices
US10854714B2 (en) 2018-04-20 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium containing nanowires and methods for forming the same
US10522546B2 (en) 2018-04-20 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd FinFET devices with dummy fins having multiple dielectric layers
US11270994B2 (en) 2018-04-20 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, fin field-effect transistor, and method of manufacturing fin-field effect transistor
US10629492B2 (en) 2018-04-27 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure having a dielectric gate and methods thereof
US10276719B1 (en) 2018-04-30 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10699943B2 (en) 2018-04-30 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contacts in a semiconductor device
US10867848B2 (en) 2018-04-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10522622B2 (en) 2018-05-14 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate semiconductor device and method for forming the same
US11257672B2 (en) * 2018-05-14 2022-02-22 Globalfoundries U.S. Inc. Semiconductor devices including active regions in RAM areas with deposition determined pitch
US11245005B2 (en) 2018-05-14 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor structure with extended contact structure
US10685966B2 (en) 2018-05-16 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with contacting gate structures
US10756089B2 (en) 2018-05-16 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid semiconductor transistor structure and manufacturing method for the same
US11398476B2 (en) 2018-05-16 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with hybrid fins
US10636878B2 (en) 2018-05-18 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Steep sloped vertical tunnel field-effect transistor
US10269655B1 (en) 2018-05-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10529860B2 (en) 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with contact over dielectric gate
US10529414B2 (en) 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell having SiGe PMOS fin lines
US10504775B1 (en) 2018-05-31 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming metal layer structures in semiconductor devices
US10825933B2 (en) 2018-06-11 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and manufacturing method for the same
US10644125B2 (en) 2018-06-14 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gates and manufacturing methods thereof
US10522390B1 (en) 2018-06-21 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench isolation for integrated circuits
US10522662B1 (en) 2018-06-22 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with T-shaped fin and method for forming the same
US11107902B2 (en) 2018-06-25 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric spacer to prevent contacting shorting
US11043556B2 (en) 2018-06-26 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Local epitaxy nanofilms for nanowire stack GAA device
US10651314B2 (en) 2018-06-26 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device with inner spacer and methods for producing the same
US10840153B2 (en) 2018-06-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Notched gate structure fabrication
US10950434B2 (en) 2018-06-27 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of reducing gate spacer loss during semiconductor manufacturing
US10790391B2 (en) 2018-06-27 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial layer profile
US11694933B2 (en) 2018-06-28 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming metal gate spacer
US10665673B2 (en) 2018-06-28 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure with non-gated well tap cell
US11410890B2 (en) 2018-06-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial layers in source/drain contacts and methods of forming the same
US10868128B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Ohmic contact structure, semiconductor device including an ohmic contact structure, and method for forming the same
US11031239B2 (en) 2018-06-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium nanosheets and methods of forming the same
US11081356B2 (en) 2018-06-29 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for metal gate cut and structure thereof
US10672899B2 (en) 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Tunnel field-effect transistor with reduced trap-assisted tunneling leakage
US10840375B2 (en) 2018-06-29 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with channel-strain liner
US11018053B2 (en) 2018-06-29 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with material modification and low resistance plug
US11244898B2 (en) 2018-06-29 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd Integrated circuit interconnect structures with air gaps
US11081403B2 (en) 2018-06-29 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact features in field-effect transistors
US11315933B2 (en) 2018-06-29 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM structure and method for forming the same
US11355339B2 (en) 2018-06-29 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Forming nitrogen-containing layers as oxidation blocking layers
US10468500B1 (en) 2018-06-29 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET fabrication methods
US10755917B2 (en) 2018-06-29 2020-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment for adhesion improvement
US11437497B2 (en) 2018-06-29 2022-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11296225B2 (en) 2018-06-29 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US11011623B2 (en) 2018-06-29 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for increasing germanium concentration of FIN and resulting semiconductor device
US10861750B2 (en) 2018-07-02 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10872825B2 (en) 2018-07-02 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10998310B2 (en) 2018-07-09 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Fins with wide base in a FINFET
US10777413B2 (en) * 2018-07-12 2020-09-15 Globalfoundries Inc. Interconnects with non-mandrel cuts formed by early block patterning
US11114566B2 (en) 2018-07-12 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US11127631B2 (en) 2018-07-13 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with contact structures
US10541175B1 (en) 2018-07-13 2020-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with fin structures
US11205700B2 (en) 2018-07-16 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap spacer and related methods
US10755945B2 (en) 2018-07-16 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Metal contacts on metal gates and methods thereof
US11276695B2 (en) 2018-07-16 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US10861969B2 (en) 2018-07-16 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming FinFET structure with reduced Fin buckling
US10854503B2 (en) 2018-07-16 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with air gap and method sealing the air gap
US10949597B2 (en) 2018-07-16 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Through-silicon vias in integrated circuit packaging
US10672870B2 (en) 2018-07-16 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11271111B2 (en) 2018-07-26 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structure with barrier in FinFET device and method for forming the same
US11171053B2 (en) 2018-07-27 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor device and related methods
US10700180B2 (en) 2018-07-27 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10672879B2 (en) 2018-07-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming FinFET and gate-all-around FET with selective high-K oxide deposition
US10535667B1 (en) 2018-07-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array and semiconductor chip
US10734474B2 (en) 2018-07-30 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-insulator-metal structure and methods of fabrication thereof
US10854716B2 (en) 2018-07-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with source/drain contact formed using bottom-up deposition
US11296236B2 (en) 2018-07-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10784278B2 (en) 2018-07-30 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method thereof
US10707333B2 (en) 2018-07-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10840189B2 (en) 2018-07-30 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit devices having raised via contacts and methods of fabricating the same
US11978802B2 (en) 2018-07-31 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming the same
US11158644B2 (en) 2018-07-31 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with field effect transistors of differing gate dielectric thickness on the same substrate and method of manufacturing the same
US10629490B2 (en) 2018-07-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field-effect transistor device and method of fabricating the same
US11158727B2 (en) 2018-07-31 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for gate-all-around device with extended channel
US11227918B2 (en) 2018-07-31 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Melt anneal source and drain regions
US11217479B2 (en) 2018-07-31 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple metallization scheme
US11114303B2 (en) 2018-07-31 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device, method for manufacturing FinFET device, and method for manufacturing gate all around device
US11049775B2 (en) 2018-07-31 2021-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having FinFET with work function layers and method of manufacturing the same
US10679995B2 (en) 2018-07-31 2020-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10868184B2 (en) 2018-07-31 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same
US10658237B2 (en) 2018-07-31 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices
US10714342B2 (en) * 2018-07-31 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US11031300B2 (en) 2018-07-31 2021-06-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing the same
US11121129B2 (en) 2018-07-31 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US11081395B2 (en) 2018-07-31 2021-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor having air gap and method for manufacturing the same
US10886182B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11211293B2 (en) 2018-07-31 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and methods of forming the same
US10879394B2 (en) 2018-07-31 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US10790195B2 (en) 2018-07-31 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Elongated pattern and formation thereof
US10868182B2 (en) 2018-07-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistor and manufacturing method thereof
US11069692B2 (en) 2018-07-31 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM cells with dielectric fins
US11038043B2 (en) 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11038059B2 (en) 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11362001B2 (en) 2018-08-14 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing nanostructures with various widths
US10797161B2 (en) 2018-08-14 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor structure using selective forming process
US10763255B2 (en) 2018-08-14 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10679856B2 (en) 2018-08-14 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with insulating structure over fin isolation structure and method for forming the same
US10693004B2 (en) 2018-08-14 2020-06-23 Taiwan Semiconductor Manufactruing Co., Ltd. Via structure with low resistivity and method for forming the same
US10840342B2 (en) 2018-08-14 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming source/drain contacts in field-effect transistors
US11031383B2 (en) 2018-08-14 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US11211479B2 (en) 2018-08-14 2021-12-28 Taiwan Semiconductor Manufaciuring Co., Ltd. Method of fabricating trimmed fin and fin structure
US11018226B2 (en) 2018-08-14 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10720503B2 (en) 2018-08-14 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device
US10741558B2 (en) 2018-08-14 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet CMOS device and method of forming
US10879393B2 (en) 2018-08-14 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate structure with bent sidewalls
US11037837B2 (en) 2018-08-15 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain and methods of forming same
US11062963B2 (en) 2018-08-15 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and process of integrated circuit having latch-up suppression
US10522623B1 (en) 2018-08-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium nitride layers on semiconductor structures, and methods for forming the same
DE102019120821A1 (de) 2018-08-15 2020-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur und prozess einer integrierten schaltung mit einer latch-up-unterdrückung
US11024550B2 (en) 2018-08-16 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11152491B2 (en) 2018-08-23 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with inner spacer layer
US11264380B2 (en) 2018-08-27 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US11018011B2 (en) 2018-08-29 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact features in semiconductor devices
US10868020B2 (en) 2018-08-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Well strap structures and methods of forming the same
US11043578B2 (en) 2018-08-30 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device with inner spacer
US11043425B2 (en) 2018-08-31 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of reducing parasitic capacitance in semiconductor devices
US10868118B2 (en) 2018-08-31 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming epitaxial source/drain features in semiconductor devices
US10867862B2 (en) 2018-08-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor method and device
US10930564B2 (en) 2018-08-31 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure cutting process
US11222951B2 (en) 2018-08-31 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain structure and method
US10886269B2 (en) 2018-09-18 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10861928B2 (en) 2018-09-18 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with capacitors
US10714395B2 (en) 2018-09-18 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fin isolation structure for FinFET and method of forming the same
US11101385B2 (en) 2018-09-19 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with air gap and method for forming the same
US11024721B2 (en) 2018-09-20 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11018012B2 (en) 2018-09-21 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures with deposited silicide layers
US11437385B2 (en) 2018-09-24 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM cells with reduced fin pitch
US10923393B2 (en) 2018-09-24 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts and interconnect structures in field-effect transistors
US11245011B2 (en) 2018-09-25 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical tunnel field-effect transistor with U-shaped gate and band aligner
US10872891B2 (en) 2018-09-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with gate cut features
US11217585B2 (en) 2018-09-25 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Forming dielectric dummy fins with different heights in different regions of a semiconductor device
US11626507B2 (en) 2018-09-26 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing FinFETs having barrier layers with specified SiGe doping concentration
US11038036B2 (en) 2018-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Separate epitaxy layers for nanowire stack GAA device
US11563167B2 (en) 2018-09-26 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an MRAM device with a multi-layer top electrode
US11508827B2 (en) 2018-09-26 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer for a gate structure of a transistor
US11094826B2 (en) 2018-09-27 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10840133B2 (en) 2018-09-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with staggered selective growth
US11411090B2 (en) 2018-09-27 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures for gate-all-around devices and methods of forming the same
US10964816B2 (en) 2018-09-27 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for boosting performance of FinFETs via strained spacer
US10840152B2 (en) 2018-09-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11171209B2 (en) 2018-09-27 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11004740B2 (en) 2018-09-27 2021-05-11 Taiwan Semicondctor Manufacturing Co., Ltd. Structure and method for interconnection with self-alignment
US10991630B2 (en) 2018-09-27 2021-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11031397B2 (en) 2018-09-27 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device integration with separated Fin-like field effect transistor cells and gate-all-around transistor cells
US11024729B2 (en) 2018-09-27 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd Method for manufacturing semiconductor device
US10879355B2 (en) 2018-09-27 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Profile design for improved device performance
US10923659B2 (en) 2018-09-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Wafers for use in aligning nanotubes and methods of making and using the same
US10636702B2 (en) 2018-09-27 2020-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive interconnect structures in integrated circuits
US10937691B2 (en) 2018-09-27 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming an abrasive slurry and methods for chemical-mechanical polishing
US11011636B2 (en) 2018-09-27 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same
US11121025B2 (en) 2018-09-27 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Layer for side wall passivation
US11450571B2 (en) 2018-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US11374126B2 (en) 2018-09-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with fin top hard mask and method of forming the same
US10804162B2 (en) 2018-09-27 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dual channel gate all around transistor device and fabrication methods thereof
US11349008B2 (en) 2018-09-27 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor having a multilayer ferroelectric structure or a ferroelectric layer with a gradient doping profile
US10854506B2 (en) 2018-09-27 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10763863B2 (en) 2018-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device for logic and memory co-optimization
US10923474B2 (en) 2018-09-28 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having gate-all-around devices
US10680075B2 (en) 2018-09-28 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including source/drain epitaxial layer having facets and manufacturing method thereof
US11069812B2 (en) 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11289583B2 (en) 2018-09-28 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gate structure formation
US11222958B2 (en) 2018-09-28 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with external ferroelectric structure
US11257671B2 (en) 2018-09-28 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system of control of epitaxial growth
US11094597B2 (en) 2018-09-28 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with fin structures
US11107925B2 (en) 2018-09-28 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact features in field-effect transistors
US10950725B2 (en) 2018-09-28 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial source/drain structure and method of forming same
US10910375B2 (en) 2018-09-28 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabrication thereof
US10872805B2 (en) 2018-09-28 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
DE102019117897B4 (de) 2018-09-28 2024-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung zur logik- und speicher-co-optimierung sowie schaltung
US11205714B2 (en) 2018-09-28 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy structure at fin cut
US11088262B2 (en) 2018-09-28 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Radical etching in gate formation
US11024549B2 (en) 2018-09-28 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10867861B2 (en) 2018-09-28 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US10672665B2 (en) 2018-09-28 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US11264237B2 (en) 2018-09-28 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of epitaxy and semiconductor device
US10790184B2 (en) 2018-09-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation with multi-step structure for FinFET device and method of forming the same
US11121036B2 (en) 2018-10-16 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11069579B2 (en) 2018-10-19 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10825721B2 (en) 2018-10-23 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Insulating cap on contact structure and method for forming the same
US11380682B2 (en) 2018-10-23 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with FinFET gate structures
US10847373B2 (en) 2018-10-23 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming silicide contact in field-effect transistors
US11107904B2 (en) 2018-10-23 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacer formation in multi-gate transistors
US10872906B2 (en) 2018-10-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10840251B2 (en) 2018-10-25 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method
US10868018B2 (en) 2018-10-25 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM structure and connection
US11133222B2 (en) 2018-10-26 2021-09-28 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US10833167B2 (en) 2018-10-26 2020-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finFET) device structure and method for forming the same
US10950729B2 (en) 2018-10-26 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure with insulating cap
US10937876B2 (en) 2018-10-26 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain feature to contact interfaces
US10985022B2 (en) 2018-10-26 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures having interfacial layers
US10847426B2 (en) 2018-10-28 2020-11-24 Taiwan Semicondutor Manufacturing Company, Ltd. FinFET devices and methods of forming the same
US10943983B2 (en) 2018-10-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits having protruding interconnect conductors
US10811255B2 (en) 2018-10-30 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor devices
US10868114B2 (en) 2018-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures of semiconductor devices
US11145544B2 (en) 2018-10-30 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contact etchback in room temperature ionic liquid
US10727134B2 (en) 2018-10-30 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices with gate-all-around structure
US10916550B2 (en) 2018-10-30 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Memory devices with gate all around transistors
US11335604B2 (en) 2018-10-31 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10868183B2 (en) 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and methods of forming the same
US11087987B2 (en) 2018-10-31 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10867842B2 (en) 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shrinking openings in forming integrated circuits
US10950730B2 (en) 2018-10-31 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Merged source/drain features
US11043558B2 (en) 2018-10-31 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain metal contact and formation thereof
US10957604B2 (en) 2018-10-31 2021-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10943818B2 (en) 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10971408B2 (en) 2018-10-31 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Contact air gap formation and structures thereof
US11217486B2 (en) 2018-10-31 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10998238B2 (en) 2018-10-31 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with buried interconnect conductors
US10944009B2 (en) 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating a FinFET device with wrap-around silicide source/drain structure
US11038029B2 (en) 2018-11-08 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11296077B2 (en) 2018-11-19 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with recessed silicon cap and method forming same
US11476196B2 (en) 2018-11-27 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with multi-layer dielectric
US11257928B2 (en) 2018-11-27 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial growth and device
US10868185B2 (en) 2018-11-27 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
US11195951B2 (en) 2018-11-27 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with self-aligned wavy contact profile and method of forming the same
US10923598B2 (en) 2018-11-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and methods of forming the same
US11276832B2 (en) 2018-11-28 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with diffusion break and method
US11031291B2 (en) 2018-11-28 2021-06-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
US11271094B2 (en) 2018-11-29 2022-03-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
US11264268B2 (en) 2018-11-29 2022-03-01 Taiwan Semiconductor Mtaiwananufacturing Co., Ltd. FinFET circuit devices with well isolation
US11101360B2 (en) 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11164944B2 (en) 2018-11-30 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
DE102019115490B4 (de) 2018-11-30 2022-10-20 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-bauelement und verfahren zu dessen herstellung
US11107690B2 (en) 2018-11-30 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11387362B2 (en) 2018-11-30 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10879400B2 (en) 2018-12-24 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistor and method of manufacturing the same
US10868000B2 (en) 2019-01-25 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with epitaxial structure and method for forming the same
US10720431B1 (en) 2019-01-25 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate-all-around structure with oxygen blocking layers
US11685015B2 (en) 2019-01-28 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for performing chemical mechanical polishing
US11088150B2 (en) 2019-01-28 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10777455B2 (en) 2019-01-29 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-etching process for forming via opening in semiconductor device structure
US11211381B2 (en) 2019-01-29 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10825918B2 (en) 2019-01-29 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11164866B2 (en) 2019-02-20 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for manufacturing the same
US10825919B2 (en) 2019-02-21 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate-all-around structure with inner spacer last process
US11823896B2 (en) 2019-02-22 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive structure formed by cyclic chemical vapor deposition
US10868171B2 (en) 2019-02-26 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with gate dielectric layer and method for forming the same
US11217526B2 (en) 2019-02-28 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with source resistor and manufacturing method thereof
US10535524B1 (en) 2019-03-11 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning threshold voltage through meta stable plasma treatment
US10867867B2 (en) 2019-03-14 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices with mixed threshold voltages boundary isolation of multiple gates and structures formed thereby
US11164796B2 (en) 2019-03-14 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US11469109B2 (en) 2019-03-14 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having metal contact features and method for forming the same
US10872810B2 (en) 2019-03-14 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US10978354B2 (en) 2019-03-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation
US11043594B2 (en) 2019-03-26 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Low parasitic resistance contact structure
US11101353B2 (en) 2019-04-17 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11201060B2 (en) 2019-04-17 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11232943B2 (en) 2019-04-24 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for semiconductor interconnect
US10971630B2 (en) 2019-04-24 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having both gate-all-around devices and planar devices
US11121234B2 (en) 2019-04-24 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked gate spacers
US11031336B2 (en) 2019-04-25 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory device having contact element of rectangular shape
US11038058B2 (en) 2019-04-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11239339B2 (en) 2019-04-29 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US11069784B2 (en) 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11094695B2 (en) 2019-05-17 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device and method of forming the same
US11088255B2 (en) 2019-05-17 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices
US11088034B2 (en) 2019-05-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11183574B2 (en) 2019-05-24 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Work function layers for transistor gate electrodes
US10818768B1 (en) 2019-05-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming metal cap layers to improve performance of semiconductor structure
US11183580B2 (en) 2019-05-30 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US10879379B2 (en) 2019-05-30 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US10755964B1 (en) 2019-05-31 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain isolation structure and methods thereof
US11069578B2 (en) 2019-05-31 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US11342229B2 (en) 2019-06-13 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a semiconductor device structure having an electrical connection structure
US10868174B1 (en) 2019-06-14 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Devices with strained isolation features
US11107923B2 (en) 2019-06-14 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions of FinFET devices and methods of forming same
US11056573B2 (en) 2019-06-14 2021-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Implantation and annealing for semiconductor device
US11043595B2 (en) 2019-06-14 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate in memory macro edge and middle strap
US11004725B2 (en) 2019-06-14 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET device with gaps in the source/drain region
US10971402B2 (en) 2019-06-17 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including interface layer and method of fabricating thereof
US11682665B2 (en) 2019-06-19 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor layout with different row heights
US11264284B2 (en) 2019-06-20 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10872821B1 (en) 2019-06-24 2020-12-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US11245071B2 (en) 2019-06-25 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell, method of forming the same, and semiconductor device having the same
US11373870B2 (en) 2019-06-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device including performing thermal treatment on germanium layer
US11244871B2 (en) 2019-06-27 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices for tightening spacing between nanosheets in GAA structures and structures formed thereby
US10879469B1 (en) 2019-06-28 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a field effect transistor using nanotube structures and a field effect transistor
US11515197B2 (en) 2019-07-11 2022-11-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the semiconductor device
US11152486B2 (en) 2019-07-15 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET semiconductor device having source/drain contact(s) separated by airgap spacer(s) from the gate stack(s) to reduce parasitic capacitance
US11133223B2 (en) 2019-07-16 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Selective epitaxy
US11049774B2 (en) 2019-07-18 2021-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid source drain regions formed based on same Fin and methods forming same
US11476166B2 (en) 2019-07-30 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-sheet-based complementary metal-oxide-semiconductor devices with asymmetric inner spacers
US11411112B2 (en) 2019-07-31 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, method of forming the same, and semiconductor device having the same
US11348839B2 (en) 2019-07-31 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices with multiple silicide regions
US11532550B2 (en) 2019-07-31 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure having a multi-layer conductive feature and method making the same
US11145660B2 (en) 2019-07-31 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dual-port SRAM cell structure
US11342225B2 (en) 2019-07-31 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier-free approach for forming contact plugs
US11335817B2 (en) 2019-08-15 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Composite etch stop layers for sensor devices
US10985266B2 (en) 2019-08-20 2021-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of gap filling for semiconductor device
US11152488B2 (en) 2019-08-21 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure with dummy pattern top in channel region and methods of forming the same
US11094788B2 (en) 2019-08-21 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11114345B2 (en) 2019-08-22 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. IC including standard cells and SRAM cells
US11245029B2 (en) 2019-08-22 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11069811B2 (en) 2019-08-22 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10985265B2 (en) 2019-08-22 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US11127639B2 (en) 2019-08-22 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with fin structures
US20210057273A1 (en) 2019-08-22 2021-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier-Less Structures
US11456368B2 (en) 2019-08-22 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with hard mask layer over fin structure and method for forming the same
US11011372B2 (en) 2019-08-23 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture
US11101180B2 (en) 2019-08-23 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10978344B2 (en) 2019-08-23 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Melting laser anneal of epitaxy regions
US11133416B2 (en) 2019-08-23 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor devices having plural epitaxial layers
US11189531B2 (en) 2019-08-23 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US11189727B2 (en) 2019-08-23 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET contacts and method forming same
US11515199B2 (en) 2019-08-26 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures including standard cells and tap cells
US11133386B2 (en) 2019-08-27 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer fin structure
US11710667B2 (en) 2019-08-27 2023-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around device with trimmed channel and dipoled dielectric layer and methods of forming the same
US11315925B2 (en) 2019-08-28 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Uniform gate width for nanostructure devices
US11315936B2 (en) 2019-08-29 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
US11282942B2 (en) 2019-08-30 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with uniform threshold voltage distribution and method of forming the same
US11489063B2 (en) 2019-08-30 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd Method of manufacturing a source/drain feature in a multi-gate semiconductor structure
US11367782B2 (en) 2019-08-30 2022-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor manufacturing
US11239368B2 (en) 2019-08-30 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11462614B2 (en) 2019-08-30 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
US11456383B2 (en) 2019-08-30 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a contact plug with an air gap spacer
DE102020114875B4 (de) 2019-08-30 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet-vorrichtung und verfahren
US11355363B2 (en) 2019-08-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
US11201243B2 (en) 2019-09-03 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device and methods for producing the same
US11545573B2 (en) 2019-09-10 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid nanostructure and fin structure device
US11239114B2 (en) 2019-09-16 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced contact resistance and methods of forming the same
US11430891B2 (en) 2019-09-16 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around structure with additional silicon layer and method for forming the same
US10937652B1 (en) 2019-09-16 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure of cut end with self-aligned double patterning
US11227950B2 (en) 2019-09-16 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming air spacers in semiconductor devices
US10937884B1 (en) 2019-09-16 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gate spacer with air gap for semiconductor device structure and method for forming the same
US11107836B2 (en) 2019-09-16 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11227828B2 (en) 2019-09-16 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US10867863B1 (en) 2019-09-16 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11302818B2 (en) 2019-09-16 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gate resistance reduction through low-resistivity conductive layer
US11282920B2 (en) 2019-09-16 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with air gap on gate structure and method for forming the same
US11315785B2 (en) 2019-09-17 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial blocking layer for multi-gate devices and fabrication methods thereof
US11094821B2 (en) 2019-09-17 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor structure and method with strain effect
US11088249B2 (en) 2019-09-17 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with implant and method of manufacturing same
US11335592B2 (en) 2019-09-17 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Contact resistance between via and conductive line
US11342231B2 (en) 2019-09-17 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device with low threshold voltage
US11362212B2 (en) 2019-09-17 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact interface engineering for reducing contact resistance
US11114547B2 (en) 2019-09-17 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor with negative capacitance dieletric structures
US11469139B2 (en) 2019-09-20 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-up formation of contact plugs
US11646311B2 (en) 2019-09-23 2023-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of fabricating the same
US11164868B2 (en) 2019-09-24 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US11177344B2 (en) 2019-09-25 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device with air gap spacer and fabrication methods thereof
US11508624B2 (en) 2019-09-26 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around device with different channel semiconductor materials and method of forming the same
US11670551B2 (en) 2019-09-26 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Interface trap charge density reduction
US11387146B2 (en) 2019-09-26 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with air gaps between metal gates and method of forming the same
US11621224B2 (en) 2019-09-26 2023-04-04 Taiwan Semiconductor Manufacturing Co. Ltd. Contact features and methods of fabricating the same in semiconductor devices
US11282748B2 (en) 2019-09-26 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US11322409B2 (en) 2019-09-26 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices and method of fabricating the same
US11239121B2 (en) 2019-09-26 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate contacts and methods of forming the same
US11145765B2 (en) 2019-09-26 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure with self substrate isolation and methods of forming the same
US11282935B2 (en) 2019-09-26 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around device with protective dielectric layer and method of forming the same
US11482610B2 (en) 2019-09-26 2022-10-25 Taiwan Semiconductor Manufacturing Co. Method of forming a gate structure
US11342222B2 (en) 2019-09-26 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned scheme for semiconductor device and method of forming the same
US11222948B2 (en) 2019-09-27 2022-01-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of fabricating the semiconductor structure
US11271083B2 (en) 2019-09-27 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, FinFET device and methods of forming the same
US11121037B2 (en) * 2019-09-27 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11443980B2 (en) 2019-09-27 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with metal pad extending into top metal layer
US11211116B2 (en) 2019-09-27 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded SRAM write assist circuit
US11581226B2 (en) 2019-09-27 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with tunable epitaxy structures and method of forming the same
US11328990B2 (en) 2019-09-27 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Via structure having a metal hump for low interface resistance
US11587927B2 (en) 2019-09-27 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Crown bulk for FinFET device
US11728405B2 (en) 2019-09-28 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Stress-inducing silicon liner in semiconductor devices
US11296084B2 (en) 2019-09-29 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition method, semiconductor device and method of fabricating the same
US11031292B2 (en) 2019-09-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11374104B2 (en) 2019-09-30 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of reducing capacitance in field-effect transistors
US11289417B2 (en) 2019-09-30 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of forming the same
US11264393B2 (en) 2019-09-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact having a protruding segment
US11094796B2 (en) 2019-09-30 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor spacer structures
US11417653B2 (en) 2019-09-30 2022-08-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11127832B2 (en) 2019-10-01 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11088251B2 (en) 2019-10-01 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain contacts for semiconductor devices and methods of forming
US11158539B2 (en) 2019-10-01 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for barrier-less plug
US10937704B1 (en) 2019-10-01 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Mixed workfunction metal for nanosheet device
US11296227B2 (en) 2019-10-16 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11189708B2 (en) 2019-10-17 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with gate stack and method for forming the same
US11211470B2 (en) * 2019-10-18 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11502197B2 (en) 2019-10-18 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain epitaxial layers
US11037925B2 (en) 2019-10-18 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method of integrated circuit having decouple capacitance
US11335774B2 (en) 2019-10-18 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure for semiconductor device and method
US11018257B2 (en) 2019-10-18 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure having a plurality of threshold voltages and method of forming the same
US11201229B2 (en) 2019-10-18 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11522085B2 (en) 2019-10-18 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric semiconductor device and method
US11145650B2 (en) 2019-10-18 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gate cut dielectric feature and method of forming the same
US11233130B2 (en) 2019-10-25 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11251305B2 (en) 2019-10-25 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US11322495B2 (en) 2019-10-28 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary metal-oxide-semiconductor device and method of manufacturing the same
US11264270B2 (en) 2019-10-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Air-replaced spacer for self-aligned contact scheme
US11450754B2 (en) 2019-10-29 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture
US11296199B2 (en) 2019-10-29 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods
US11658245B2 (en) 2019-10-29 2023-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing
US11251284B2 (en) 2019-10-29 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy gate cutting process and resulting gate structures
US10977409B1 (en) 2019-10-29 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method of generating a layout for a semiconductor device
US11264327B2 (en) 2019-10-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Backside power rail structure and methods of forming same
US11322619B2 (en) 2019-10-30 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11145728B2 (en) 2019-10-30 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming same
US11417748B2 (en) 2019-10-30 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of fabricating a semiconductor device
US11621195B2 (en) 2019-10-30 2023-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US11515212B2 (en) 2019-10-30 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices having controlled S/D epitaxial shape
US11355605B2 (en) 2019-10-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US11756997B2 (en) 2019-10-31 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same
US11201225B2 (en) 2019-10-31 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with stressor
DE102020114813A1 (de) 2019-10-31 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur und bildungsverfahren für halbleitervorrichtung mit isolierungsstruktur
US11631770B2 (en) 2019-10-31 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with stressor
US11374090B2 (en) 2019-10-31 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11424242B2 (en) 2019-10-31 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with isolation structure
US11488857B2 (en) 2019-10-31 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture using a contact etch stop layer (CESL) breakthrough process
US10964792B1 (en) 2019-11-22 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal capped via contact structures for semiconductor devices
US11081401B2 (en) 2019-11-29 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US11133221B2 (en) 2019-12-17 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with gate electrode layer
US11545490B2 (en) 2019-12-17 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11380781B2 (en) 2019-12-17 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Contact and via structures for semiconductor devices
US11233134B2 (en) 2019-12-19 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with dual silicide contact structures
US11227794B2 (en) 2019-12-19 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for making self-aligned barrier for metal vias In-Situ during a metal halide pre-clean and associated interconnect structure
US11296187B2 (en) 2019-12-20 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Seal material for air gaps in semiconductor devices
US11728223B2 (en) 2019-12-20 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US11901220B2 (en) 2019-12-20 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bilayer seal material for air gaps in semiconductor devices
US11502166B2 (en) 2019-12-20 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Seal material for air gaps in semiconductor devices
US11276571B2 (en) 2019-12-26 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method of breaking through etch stop layer
US11075195B2 (en) 2019-12-26 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated hybrid standard cell structure with gate-all-around device
US11444200B2 (en) 2019-12-26 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with isolating feature and method for forming the same
US11664420B2 (en) 2019-12-26 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11362096B2 (en) 2019-12-27 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11488859B2 (en) 2019-12-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11380548B2 (en) 2019-12-30 2022-07-05 Taiwan Semiconductor Manufacturing Company Ltd. Method of manufacturing semiconductor structure through multi-implantation to fin structures
US11282944B2 (en) 2019-12-30 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11393925B2 (en) 2019-12-31 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with nanostructure
US11508623B2 (en) 2019-12-31 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Local gate height tuning by CMP and dummy gate design
US11107886B2 (en) 2020-01-10 2021-08-31 Taiwan Semiconductor Manufacturing Company Ltd. Memory device and method of fabricating the memory device
US11855619B2 (en) 2020-01-15 2023-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Power switch circuit, IC structure of power switch circuit, and method of forming IC structure
US11233156B2 (en) 2020-01-15 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method thereof
US11302692B2 (en) 2020-01-16 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices having gate dielectric layers of varying thicknesses and methods of forming the same
US11495491B2 (en) 2020-01-16 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with stacked conductive structures
US11139379B2 (en) 2020-01-16 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11476365B2 (en) 2020-01-16 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US11309419B2 (en) 2020-01-17 2022-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11244899B2 (en) 2020-01-17 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Butted contacts and methods of fabricating the same in semiconductor devices
US11355615B2 (en) 2020-01-17 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET having fluorine-doped gate sidewall spacers
US11183584B2 (en) 2020-01-17 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11302784B2 (en) 2020-01-17 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having contact feature and method of fabricating the same
US11444202B2 (en) 2020-01-17 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11302577B2 (en) 2020-01-17 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US11393910B2 (en) 2020-01-21 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and formation method thereof
US11322603B2 (en) 2020-01-21 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Anti-punch-through doping on source/drain region
US11201106B2 (en) 2020-01-24 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with conductors embedded in a substrate
US11251268B2 (en) 2020-01-28 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with doped structure
DE102020119831A1 (de) 2020-01-29 2021-07-29 Taiwan Semiconductor Manufacturing Co., Ltd. Überzugfreie leitfähige strukturen mit ankerpunkten
US11521969B2 (en) 2020-01-29 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures for semiconductor devices
US11264273B2 (en) 2020-01-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Electron migration control in interconnect structures
US11417764B2 (en) 2020-01-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interface profile control in epitaxial structures for semiconductor devices
US11929327B2 (en) 2020-01-29 2024-03-12 Taiwan Semiconductor Manufacturing Co., Inc. Liner-free conductive structures with anchor points
US11522050B2 (en) 2020-01-30 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11245028B2 (en) 2020-01-30 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures of semiconductor devices
DE102020119963A1 (de) 2020-01-30 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11563110B2 (en) 2020-01-30 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same
US11444177B2 (en) 2020-01-30 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11437287B2 (en) 2020-01-31 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gates and methods of forming thereof
US11610822B2 (en) 2020-01-31 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structures for tuning threshold voltage
DE102020114860A1 (de) 2020-01-31 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor-gates und verfahren zum bilden davon
US11217586B2 (en) 2020-01-31 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having dummy fin physically separating the first and second gate stacks
US11444197B2 (en) 2020-02-07 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11094702B1 (en) 2020-02-10 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. One-time programmable memory device including anti-fuse element and manufacturing method thereof
US11177383B2 (en) 2020-02-10 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11158741B2 (en) 2020-02-11 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Nanostructure device and method
US11335776B2 (en) 2020-02-11 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid channel semiconductor device and method
US11189706B2 (en) 2020-02-11 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with airgap and method of forming the same
US11264287B2 (en) 2020-02-11 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with cut metal gate and method of manufacture
US11705372B2 (en) 2020-02-11 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin loss prevention
US11177180B2 (en) * 2020-02-11 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Profile control of a gap fill structure
US11830948B2 (en) 2020-02-19 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11862712B2 (en) 2020-02-19 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of semiconductor device fabrication including growing epitaxial features using different carrier gases
US11854688B2 (en) 2020-02-19 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11664279B2 (en) 2020-02-19 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple threshold voltage implementation through lanthanum incorporation
US11211472B2 (en) 2020-02-24 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US11257950B2 (en) 2020-02-24 2022-02-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method for the semiconductor structure
US11201085B2 (en) 2020-02-25 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure having air gap and method for forming the same
US11133230B2 (en) 2020-02-26 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with dual isolation liner and method of forming the same
US11373947B2 (en) 2020-02-26 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming interconnect structures of semiconductor device
US11329165B2 (en) 2020-02-26 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with isolation structure
US11211256B2 (en) 2020-02-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd Method with CMP for metal ion prevention
US11715781B2 (en) 2020-02-26 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with improved capacitors
US11328963B2 (en) 2020-02-27 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11152475B2 (en) 2020-02-27 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts utilizing an inhibitor
US11393898B2 (en) 2020-02-27 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11374128B2 (en) 2020-02-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for air gap inner spacer in gate-all-around devices
US11799019B2 (en) 2020-02-27 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Gate isolation feature and manufacturing method thereof
CN113113311A (zh) 2020-02-27 2021-07-13 台湾积体电路制造股份有限公司 半导体装置的形成方法
US11316046B2 (en) 2020-02-27 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11495682B2 (en) 2020-02-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11404570B2 (en) 2020-02-27 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with embedded ferroelectric field effect transistors
US11515211B2 (en) 2020-02-27 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Cut EPI process and structures
TW202145443A (zh) 2020-02-27 2021-12-01 台灣積體電路製造股份有限公司 半導體裝置的形成方法
TW202139270A (zh) 2020-02-27 2021-10-16 台灣積體電路製造股份有限公司 半導體裝置的形成方法
US11515216B2 (en) 2020-02-27 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Dual silicide structure and methods thereof
US11769820B2 (en) 2020-02-27 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing a FinFET by forming a hollow area in the epitaxial source/drain region
US11545432B2 (en) 2020-02-27 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device with source and drain vias having different sizes
CN113113359A (zh) 2020-02-27 2021-07-13 台湾积体电路制造股份有限公司 半导体装置的制造方法
US11264502B2 (en) 2020-02-27 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11233119B2 (en) 2020-03-02 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Core-shell nanostructures for semiconductor devices
US11233149B2 (en) 2020-03-03 2022-01-25 Taiwan Semiconductor Manufacturing Co., . Ltd. Spacer structures for semiconductor devices
US11695055B2 (en) 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices
US11677013B2 (en) 2020-03-30 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial layers for transistors
US11588038B2 (en) 2020-03-30 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit structure with gate configuration
US11563001B2 (en) 2020-03-30 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer and capping structures in semiconductor devices
US11107736B1 (en) 2020-03-31 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11374105B2 (en) 2020-03-31 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet device with dipole dielectric layer and methods of forming the same
US11251073B2 (en) 2020-04-01 2022-02-15 Taiwan Semiconductor Manufacturing Co. Selective deposition of barrier layer
US11257911B2 (en) 2020-04-01 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Sacrificial layer for semiconductor process
US11158632B1 (en) 2020-04-01 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd Fin-based strap cell structure for improving memory performance
US11296202B2 (en) 2020-04-01 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Memory chip structure having GAA transistors with different threshold voltages and work functions for improving performances in multiple applications
US11387365B2 (en) 2020-04-01 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device for recessed fin structure having rounded corners
US11302796B2 (en) 2020-04-01 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming self-aligned source/drain metal contacts
US11309398B2 (en) 2020-04-01 2022-04-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method for the semiconductor device
US11443979B2 (en) 2020-04-01 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US11271096B2 (en) 2020-04-01 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming fin field effect transistor device structure
US11189697B2 (en) 2020-04-01 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Ultra-thin fin structure and method of fabricating the same
US11450602B2 (en) 2020-04-01 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid method for forming semiconductor interconnect structure
US11508572B2 (en) 2020-04-01 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11417751B2 (en) 2020-04-01 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11139432B1 (en) 2020-04-01 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming a FinFET device
US11495661B2 (en) 2020-04-07 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including gate barrier layer
CN113053878A (zh) 2020-04-09 2021-06-29 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11489053B2 (en) 2020-04-09 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11309424B2 (en) 2020-04-13 2022-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11177212B2 (en) 2020-04-13 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation method and related structure
US11335638B2 (en) 2020-04-15 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing RC delay in semiconductor devices
US11342501B2 (en) 2020-04-17 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell, method of forming the same, and semiconductor device having the same
US11164789B1 (en) 2020-04-17 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device that includes covering metal gate with multilayer dielectric
US11335552B2 (en) 2020-04-17 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with oxide semiconductor channel
US11342413B2 (en) 2020-04-24 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Selective liner on backside via and method thereof
US11121138B1 (en) 2020-04-24 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance pickup cells for SRAM
US11450660B2 (en) 2020-04-27 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of fabricating the same
US11349004B2 (en) 2020-04-28 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Backside vias in semiconductor device
US11342326B2 (en) 2020-04-28 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned etch in semiconductor devices
US11251308B2 (en) 2020-04-28 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11355410B2 (en) 2020-04-28 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Thermal dissipation in semiconductor devices
US11521929B2 (en) 2020-04-28 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Capping layer for liner-free conductive structures
US11410930B2 (en) 2020-04-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
CN113140565A (zh) 2020-04-28 2021-07-20 台湾积体电路制造股份有限公司 半导体器件和制造方法
TWI741935B (zh) 2020-04-28 2021-10-01 台灣積體電路製造股份有限公司 半導體元件與其製作方法
US11955370B2 (en) 2020-04-28 2024-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
TWI761827B (zh) 2020-05-05 2022-04-21 力晶積成電子製造股份有限公司 半導體圖案化製程
US11398385B2 (en) 2020-05-08 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11239208B2 (en) 2020-05-12 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor devices including backside power rails and methods of forming the same
DE102020122823B4 (de) 2020-05-12 2022-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtungen mit entkopplungskondensatoren
US11450600B2 (en) 2020-05-12 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices including decoupling capacitors
US11670692B2 (en) 2020-05-13 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices having self-aligned capping between channel and backside power rail
US11257712B2 (en) 2020-05-13 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact formation methods and devices
US11631745B2 (en) 2020-05-15 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with uneven gate profile
US11393924B2 (en) 2020-05-18 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with high contact area
US11217629B2 (en) 2020-05-19 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
DE102020127567A1 (de) 2020-05-20 2021-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren zu ihrer herstellung
US11437492B2 (en) 2020-05-20 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11791218B2 (en) 2020-05-20 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Dipole patterning for CMOS devices
US11527527B2 (en) 2020-05-21 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Tap cell, integrated circuit structure and forming method thereof
US11450572B2 (en) 2020-05-22 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11282843B2 (en) 2020-05-22 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device, SRAM cell, and manufacturing method thereof
US11349005B2 (en) 2020-05-22 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide structures in transistors and methods of forming
US11374089B2 (en) 2020-05-22 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench isolation (STI) contact structures and methods of forming same
TWI762196B (zh) 2020-05-26 2022-04-21 台灣積體電路製造股份有限公司 半導體裝置與其製造方法
US11295989B2 (en) 2020-05-26 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11637101B2 (en) 2020-05-26 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11417767B2 (en) 2020-05-27 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices including backside vias and methods of forming the same
US11532703B2 (en) 2020-05-27 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11289383B2 (en) 2020-05-27 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11502199B2 (en) 2020-05-28 2022-11-15 Taiwan Semiconductor Manufacturing Co, Ltd. Independent control of stacked semiconductor device
US11532731B2 (en) 2020-05-28 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11600528B2 (en) 2020-05-28 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11380768B2 (en) 2020-05-28 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11929329B2 (en) 2020-05-28 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Damascene process using cap layer
US11862561B2 (en) 2020-05-28 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside routing and method of forming same
US11682711B2 (en) 2020-05-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having multi-layered gate spacers
US11410876B2 (en) 2020-05-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device with air gaps and method of fabrication thereof
DE102020131611A1 (de) 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung mit luftspalten und verfahren zu deren herstellung
US11527539B2 (en) 2020-05-29 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Four-poly-pitch SRAM cell with backside metal tracks
US11723209B2 (en) 2020-05-29 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional memory device and manufacturing method thereof
US11637126B2 (en) 2020-05-29 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method of forming the same
US11715777B2 (en) 2020-05-29 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11444198B2 (en) 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Work function control in gate structures
US11443987B2 (en) 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside air gap dielectric
US11699742B2 (en) 2020-05-29 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with varying numbers of channel layers and method of fabrication thereof
US11935793B2 (en) 2020-05-29 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual dopant source/drain regions and methods of forming same
US11664374B2 (en) 2020-05-29 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Backside interconnect structures for semiconductor devices and methods of forming the same
US11527533B2 (en) 2020-05-29 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET pitch scaling
US11302798B2 (en) 2020-05-29 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with air gate spacer and air gate cap
US11195752B1 (en) 2020-05-29 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming same
US11799002B2 (en) 2020-05-29 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
US11264283B2 (en) 2020-05-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-channel devices and methods of manufacture
US11302580B2 (en) 2020-05-29 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet thickness
US11888064B2 (en) 2020-06-01 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11699735B2 (en) 2020-06-05 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
CN113299648A (zh) 2020-06-05 2021-08-24 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11417777B2 (en) 2020-06-11 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Enlargement of GAA nanostructure
US11515165B2 (en) 2020-06-11 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11424347B2 (en) 2020-06-11 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11374006B2 (en) 2020-06-12 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11271113B2 (en) 2020-06-12 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11316033B2 (en) 2020-06-12 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11296095B2 (en) 2020-06-12 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method for forming the same
US11417571B2 (en) 2020-06-12 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dopant profile control in gate structures for semiconductor devices
US11637099B2 (en) 2020-06-15 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Forming ESD devices using multi-gate compatible processes
US11631736B2 (en) 2020-06-15 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain feature with enlarged lower section interfacing with backside via
US20210391470A1 (en) 2020-06-15 2021-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Layered structure, semiconductor device including the same, and manufacturing method thereof
US11282943B2 (en) 2020-06-15 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate devices and fabricating the same with etch rate modulation
US11600728B2 (en) 2020-06-15 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a facet-free source/drain epitaxial structure having an amorphous or polycrystalline layer
US11367784B2 (en) 2020-06-15 2022-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11367621B2 (en) 2020-06-15 2022-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11296080B2 (en) 2020-06-15 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain regions of semiconductor devices and methods of forming the same
US11342334B2 (en) 2020-06-15 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Memory cell and method
US11316023B2 (en) 2020-06-15 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Dumbbell shaped self-aligned capping layer over source/drain contacts and method thereof
US11398550B2 (en) 2020-06-15 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with facet S/D feature and methods of forming the same
US11257755B2 (en) 2020-06-15 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Metal loss prevention in conductive structures
US20210399013A1 (en) 2020-06-18 2021-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method of forming the same
US11444025B2 (en) 2020-06-18 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor and fabrication method thereof
US11527630B2 (en) 2020-06-24 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
US11563006B2 (en) 2020-06-24 2023-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing thereof
US11699736B2 (en) 2020-06-25 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US11532714B2 (en) 2020-06-25 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming thereof
US11728171B2 (en) 2020-06-25 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with metal gate fill structure
US11430700B2 (en) 2020-06-26 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Trench isolation with conductive structures
US11489075B2 (en) 2020-06-29 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11145734B1 (en) 2020-06-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with dummy fin and liner and method of forming the same
US11387233B2 (en) 2020-06-29 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11315924B2 (en) 2020-06-30 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure for preventing unintentional merging of epitaxially grown source/drain
US11848238B2 (en) 2020-06-30 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for manufacturing semiconductor devices with tunable low-k inner air spacers
US11462549B2 (en) 2020-06-30 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of fabricating the same
US11264513B2 (en) 2020-06-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures for transistors
US11545546B2 (en) 2020-06-30 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11729967B2 (en) 2020-07-08 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitor, memory device, and method
US11903189B2 (en) 2020-07-09 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory and fabricating method thereof
US11233005B1 (en) 2020-07-10 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing an anchor-shaped backside via
US11848239B2 (en) 2020-07-10 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning method and structures resulting therefrom
US11437371B2 (en) 2020-07-10 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with negative capacitance layers
US11855185B2 (en) 2020-07-16 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Multilayer masking layer and method of forming same
US11728244B2 (en) 2020-07-17 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same
US11664278B2 (en) 2020-07-22 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with L-shape conductive feature and methods of forming the same
US11276643B2 (en) 2020-07-22 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with backside spacer and methods of forming the same
US11195930B1 (en) 2020-07-22 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and methods of fabrication thereof
US11804531B2 (en) 2020-07-23 2023-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film transfer using substrate with etch stop layer and diffusion barrier layer
CN112017970B (zh) * 2020-07-24 2022-09-20 中国科学院微电子研究所 自对准金属层的制造方法、半导体器件及电子设备
US11329163B2 (en) 2020-07-27 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11903213B2 (en) 2020-07-29 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method for making same
US11532718B2 (en) 2020-07-30 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET having a gate dielectric comprising a multi-layer structure including an oxide layer with different thicknesses on side and top surfaces of the fins
US11728413B2 (en) 2020-07-30 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gate capping structures in semiconductor devices
US11296082B2 (en) 2020-07-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11456211B2 (en) 2020-07-30 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming interconnect structure
US11557510B2 (en) 2020-07-30 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including backside power rails
US11417745B2 (en) 2020-07-30 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11791401B2 (en) 2020-07-30 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11348921B2 (en) 2020-07-31 2022-05-31 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
US11862701B2 (en) 2020-07-31 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked multi-gate structure and methods of fabricating the same
US11217494B1 (en) 2020-07-31 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11245023B1 (en) 2020-07-31 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11456209B2 (en) 2020-07-31 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including a backside power rails
US11810960B2 (en) 2020-07-31 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11444199B2 (en) 2020-08-03 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11527621B2 (en) 2020-08-05 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate electrode deposition and structure formed thereby
US11437240B2 (en) 2020-08-05 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structure and method of forming
US11355587B2 (en) 2020-08-06 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain EPI structure for device boost
US11923436B2 (en) 2020-08-07 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structure for semiconductor device
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11728391B2 (en) 2020-08-07 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. 2d-channel transistor structure with source-drain engineering
US11302816B2 (en) 2020-08-11 2022-04-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11335806B2 (en) 2020-08-11 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11557518B2 (en) 2020-08-12 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Gapfill structure and manufacturing methods thereof
US11974441B2 (en) 2020-08-13 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array including epitaxial source lines and bit lines
US11315834B2 (en) 2020-08-13 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFETs with epitaxy regions having mixed wavy and non-wavy portions
US11610890B2 (en) 2020-08-13 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxy regions extending below STI regions and profiles thereof
US11610979B2 (en) 2020-08-13 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Profile control in forming epitaxy regions for transistors
US11653581B2 (en) 2020-08-13 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM device structure and manufacturing method
US11430790B2 (en) 2020-08-14 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11935941B2 (en) 2020-08-14 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for manufacturing thereof
US11563083B2 (en) 2020-08-14 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Dual side contact structures in semiconductor devices
US11374088B2 (en) 2020-08-14 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage reduction in gate-all-around devices
US11437474B2 (en) 2020-08-17 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistors and method of forming same
US11757021B2 (en) 2020-08-18 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with fin-top hard mask and methods for fabrication thereof
US11335606B2 (en) 2020-08-19 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Power rails for stacked semiconductor device
US11532607B2 (en) 2020-08-19 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. ESD structure and semiconductor structure
US11508621B2 (en) 2020-08-21 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11646377B2 (en) 2020-08-21 2023-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11810857B2 (en) 2020-08-25 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Via for semiconductor device and method
US11482594B2 (en) 2020-08-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and method thereof
US11616143B2 (en) 2020-08-27 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and methods of fabrication thereof
US11676864B2 (en) 2020-08-27 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11404321B2 (en) 2020-08-31 2022-08-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
US11302693B2 (en) 2020-08-31 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11615962B2 (en) 2020-09-11 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods thereof
US11469326B2 (en) 2020-09-18 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of fabrication thereof
US11600533B2 (en) 2020-09-18 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device fabrication methods and structures thereof
US11450569B2 (en) 2020-09-18 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and forming method thereof
US11569234B2 (en) 2020-09-21 2023-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11387322B2 (en) 2020-09-21 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11355398B2 (en) 2020-09-21 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11502034B2 (en) 2020-09-21 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and methods of fabrication thereof
US11862694B2 (en) 2020-09-23 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11551969B2 (en) 2020-09-23 2023-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure with backside interconnection structure having air gap
US11349002B2 (en) 2020-09-25 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure for for isolating epitaxially grown source/drain regions and method of fabrication thereof
US11430701B2 (en) 2020-09-25 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Gate oxide structures in semiconductor devices
US11348929B2 (en) 2020-09-28 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method for forming the same
US11728212B2 (en) 2020-09-29 2023-08-15 Taiwan Semicondcutor Manufacturing Company, Ltd. Integrated circuit structure and manufacturing method thereof
US11705491B2 (en) 2020-09-29 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening
US11749732B2 (en) 2020-09-29 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Etch profile control of via opening
US11967526B2 (en) 2020-09-29 2024-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and manufacturing method thereof
US11664272B2 (en) 2020-09-29 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening
US11581218B2 (en) 2020-09-29 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening
US11942371B2 (en) 2020-09-29 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of via opening
US11728173B2 (en) 2020-09-30 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Masking layer with post treatment
US11721593B2 (en) 2020-09-30 2023-08-08 Tiawan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial structures for semiconductor devices
US11404548B2 (en) 2020-10-13 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitance reduction for backside power rail device
US11626485B2 (en) 2020-10-14 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and method
US11594610B2 (en) 2020-10-15 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11894435B2 (en) 2020-10-15 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Contact plug structure of semiconductor device and method of forming same
US11450743B2 (en) 2020-10-21 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device with implantation of impurities at high temperature
US11737254B2 (en) 2020-10-21 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and layout, manufacturing method of the same
US11765892B2 (en) 2020-10-21 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional memory device and method of manufacture
US11502201B2 (en) 2020-10-27 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with backside power rail and methods of fabrication thereof
US11637018B2 (en) 2020-10-27 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for contact structures of semiconductor devices
US11495463B2 (en) 2020-10-27 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11276604B1 (en) 2020-10-27 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Radical-activated etching of metal oxides
US11688807B2 (en) 2020-10-27 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and methods of forming
US11658119B2 (en) 2020-10-27 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Backside signal interconnection
US11569223B2 (en) 2020-10-30 2023-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and method for fabricating the same
CN114284267A (zh) 2020-11-13 2022-04-05 台湾积体电路制造股份有限公司 集成电路及其制造方法
US11521971B2 (en) 2020-11-13 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Gate dielectric having a non-uniform thickness profile
US11735470B2 (en) 2020-11-13 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device structure with source/drain contact
US11482451B2 (en) 2020-11-20 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures
US11854831B2 (en) 2020-11-24 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Cleaning process for source/drain epitaxial structures
US11508807B2 (en) 2020-11-25 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11450663B2 (en) 2020-11-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11450666B2 (en) 2020-11-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including two-dimensional material and methods of fabrication thereof
US11374093B2 (en) 2020-11-25 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11450664B2 (en) 2020-11-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11777014B2 (en) 2021-01-04 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Controlled doping in a gate dielectric layer
US11594455B2 (en) 2021-01-05 2023-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method for the same
US11527622B2 (en) 2021-01-08 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Effective work function tuning via silicide induced interface dipole modulation for metal gates
US11784218B2 (en) 2021-01-08 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Gate air spacer protection during source/drain via hole etching
US11557511B2 (en) 2021-01-12 2023-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11948939B2 (en) 2021-01-13 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd Profile control of gate structures in semiconductor devices
US11502081B2 (en) 2021-01-14 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11670681B2 (en) 2021-01-14 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming fully strained channels
US11658216B2 (en) 2021-01-14 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for metal gate boundary isolation
US11749566B2 (en) 2021-01-15 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Inner filler layer for multi-patterned metal gate for nanostructure transistor
US11923357B2 (en) 2021-01-18 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11532522B2 (en) 2021-01-19 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain EPI structure for improving contact quality
US11411079B1 (en) 2021-01-21 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11688786B2 (en) 2021-01-22 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11637180B2 (en) 2021-01-28 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
US11588018B2 (en) 2021-01-28 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with nanostructure and method for forming the same
US11538927B2 (en) 2021-01-28 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Nanostructures and method for manufacturing the same
US11810961B2 (en) 2021-01-28 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
US11715762B2 (en) 2021-01-28 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
US11600703B2 (en) 2021-01-29 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium tin gate-all-around device
US11581411B2 (en) 2021-02-09 2023-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11984356B2 (en) 2021-02-11 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11581410B2 (en) 2021-02-12 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11621197B2 (en) 2021-02-15 2023-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with gate cut feature and method for forming the same
US11798943B2 (en) 2021-02-18 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor source/drain contacts and methods of forming the same
US11563109B2 (en) 2021-02-19 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US11652171B2 (en) 2021-02-22 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contact for semiconductor device and method of forming thereof
US11688767B2 (en) 2021-02-25 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US11640941B2 (en) 2021-02-25 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including metal gate protection and methods of fabrication thereof
US11670595B2 (en) 2021-02-25 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11652140B2 (en) 2021-02-25 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11735483B2 (en) 2021-02-26 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming epitaxial source/drain features using a self-aligned mask and semiconductor devices fabricated thereof
US11676862B2 (en) 2021-02-26 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11610805B2 (en) 2021-02-26 2023-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement material for backside gate cut feature
US11855143B2 (en) 2021-02-26 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods thereof
US11569348B2 (en) 2021-02-26 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of fabrication thereof
US11848209B2 (en) 2021-02-26 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning semiconductor devices and structures resulting therefrom
US11532628B2 (en) 2021-02-26 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11626495B2 (en) 2021-02-26 2023-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Protective liner for source/drain contact to prevent electrical bridging while minimizing resistance
US11854963B2 (en) 2021-03-03 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor interconnection structure and methods of forming the same
US11626482B2 (en) 2021-03-04 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer formation with a spin-on dielectric material
US11887985B2 (en) 2021-03-04 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11688768B2 (en) 2021-03-05 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with source/drain spacers
US11538858B2 (en) 2021-03-05 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device, method of forming the same, and memory array
US11876119B2 (en) 2021-03-05 2024-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with gate isolation features and fabrication method of the same
US11387109B1 (en) 2021-03-05 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. CMP process and methods thereof
US11527614B2 (en) 2021-03-09 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with conductive structure and method for manufacturing the same
US11855153B2 (en) 2021-03-10 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11810948B2 (en) 2021-03-10 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11532725B2 (en) 2021-03-11 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming sidewall spacers and semiconductor devices fabricated thereof
US11581437B2 (en) 2021-03-11 2023-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11942358B2 (en) 2021-03-12 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Low thermal budget dielectric for semiconductor devices
US11830912B2 (en) 2021-03-18 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11670499B2 (en) 2021-03-18 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming conductive feature including cleaning step
US11575026B2 (en) 2021-03-19 2023-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structure for semiconductor device
US11862700B2 (en) 2021-03-19 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure including forksheet transistors and methods of forming the same
US11978773B2 (en) 2021-03-25 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Formation method of semiconductor device structure with semiconductor nanostructures
US11984483B2 (en) 2021-03-26 2024-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing thereof
US11605558B2 (en) 2021-03-26 2023-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit interconnect structure having discontinuous barrier layer and air gap
US11942479B2 (en) 2021-03-26 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11482518B2 (en) 2021-03-26 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures having wells with protruding sections for pickup cells
US11843032B2 (en) 2021-03-30 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with channel and method for forming the same
US11605591B2 (en) 2021-03-30 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11894460B2 (en) 2021-03-30 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11682675B2 (en) 2021-03-30 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method
US11901228B2 (en) 2021-03-31 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned scheme for semiconductor device and method of forming the same
US11749729B2 (en) 2021-03-31 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, integrated circuit component and manufacturing methods thereof
US11961884B2 (en) 2021-03-31 2024-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fill structures with air gaps
US11600534B2 (en) 2021-03-31 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structures and method of forming
US11515393B2 (en) 2021-03-31 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11688793B2 (en) 2021-04-08 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and manufacturing method thereof
US11658074B2 (en) 2021-04-08 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with source/drain modulation
US11646346B2 (en) 2021-04-08 2023-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure with air spacer for semiconductor device and method for forming the same
US11942556B2 (en) 2021-04-08 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11664378B2 (en) 2021-04-08 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11695042B2 (en) 2021-04-08 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor contacts and methods of forming the same
US11784228B2 (en) 2021-04-09 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process and structure for source/drain contacts
US11545559B2 (en) 2021-04-14 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11742353B2 (en) 2021-04-14 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11710664B2 (en) 2021-04-15 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with backside via contact and a protection liner layer
US11855092B2 (en) 2021-04-16 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming same
US11728218B2 (en) 2021-04-16 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11848372B2 (en) 2021-04-21 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for reducing source/drain contact resistance at wafer backside
US11908701B2 (en) 2021-04-22 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method and manufacturing method of semiconductor device
US11710774B2 (en) 2021-04-23 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming epitaxial source/drain features and semiconductor devices fabricated thereof
US11929287B2 (en) 2021-04-23 2024-03-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric liner for field effect transistors
US11482595B1 (en) 2021-04-23 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Dual side contact structures in semiconductor devices
US11737287B2 (en) 2021-04-23 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device, method of forming the same, and semiconductor device having the same
US11652152B2 (en) 2021-04-23 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Capping structures in semiconductor devices
US11855186B2 (en) 2021-04-28 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11915937B2 (en) 2021-04-29 2024-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Fluorine incorporation method for nanosheet
US11869892B2 (en) 2021-04-29 2024-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11855079B2 (en) 2021-04-30 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with backside trench for metal gate definition
US11967622B2 (en) 2021-05-05 2024-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Inter block for recessed contacts and methods forming same
US11705371B2 (en) 2021-05-05 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having merged source/drain features and methods of fabrication thereof
US11476342B1 (en) 2021-05-05 2022-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with improved source and drain contact area and methods of fabrication thereof
US11978674B2 (en) 2021-05-05 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11990404B2 (en) 2021-05-05 2024-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Heat dissipation for semiconductor devices and methods of manufacture
US11600699B2 (en) 2021-05-05 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure integrating air gaps and methods of forming the same
US11991887B2 (en) 2021-05-06 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional memory
US11942478B2 (en) 2021-05-06 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11776895B2 (en) 2021-05-06 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US11756884B2 (en) 2021-05-06 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of forming the same
US11640940B2 (en) 2021-05-07 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming interconnection structure including conductive graphene layers
US11575047B2 (en) 2021-05-12 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device active region profile and method of forming the same
US11792977B2 (en) 2021-05-13 2023-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor memory structure
US11742416B2 (en) 2021-05-27 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US11757018B2 (en) 2021-05-27 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Formation method of semiconductor device with gate all around structure
US11869954B2 (en) 2021-05-28 2024-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Nanostructured channel regions for semiconductor devices
US11901415B2 (en) 2021-05-28 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor isolation structures
US11764277B2 (en) 2021-06-04 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US11810919B2 (en) 2021-06-17 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with conductive via structure and method for forming the same
US11688645B2 (en) 2021-06-17 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with fin structures
US11916151B2 (en) 2021-06-25 2024-02-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having fin with all around gate
US11855167B2 (en) 2021-07-08 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with nanosheet structure
US11916122B2 (en) 2021-07-08 2024-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around transistor with dual inner spacers
US11626400B2 (en) 2021-07-16 2023-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure incorporating air gap
US11942329B2 (en) 2021-07-23 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Formation method of semiconductor device with dielectric isolation structure
US11935954B2 (en) 2021-07-30 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US11957070B2 (en) 2021-08-06 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, memory cell and method of forming the same
US11948843B2 (en) 2021-08-06 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming hardmask formation by hybrid materials in semiconductor device
US11670590B2 (en) 2021-08-12 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Chip structure with etch stop layer and method for forming the same
US11908702B2 (en) * 2021-08-19 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in semiconductor devices
US11581416B1 (en) 2021-08-19 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in semiconductor devices
US11908921B2 (en) 2021-08-26 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor isolation structures
US11804532B2 (en) 2021-08-27 2023-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices with superlattice channel
US11855078B2 (en) 2021-08-27 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure including forksheet transistors and methods of forming the same
US11756995B2 (en) 2021-08-27 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device structure having an isolation layer to isolate a conductive feature and a gate electrode layer
US11901364B2 (en) 2021-08-27 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11990511B2 (en) 2021-08-27 2024-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain device and method of forming thereof
US11920254B2 (en) 2021-08-30 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Detection of contact formation between a substrate and contact pins in an electroplating system
US11710781B2 (en) 2021-08-30 2023-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Growth process and methods thereof
US11784225B2 (en) 2021-08-30 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, method of forming stacked unit layers and method of forming stacked two-dimensional material layers
US11810824B2 (en) 2021-08-30 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11901412B2 (en) 2021-08-30 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Facet-free epitaxial structures for semiconductor devices
US11688625B2 (en) 2021-08-30 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
US11908893B2 (en) 2021-08-30 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11990524B2 (en) 2021-08-30 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and forming method thereof
US11894276B2 (en) 2021-08-30 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having various gate oxide thicknesses and methods of forming the same
US11749570B2 (en) 2021-08-31 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Etch monitoring and performing
US11948840B2 (en) * 2021-08-31 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Protective layer over FinFET and method of forming same
US11942533B2 (en) 2021-08-31 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Channel structures for semiconductor devices
US11901410B2 (en) 2021-08-31 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11973124B2 (en) 2021-11-04 2024-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11955384B2 (en) 2022-02-17 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor device with nanostructure channels and manufacturing method thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080085600A1 (en) 2006-10-10 2008-04-10 Toshiharu Furukawa Method of forming lithographic and sub-lithographic dimensioned structures
US20100144150A1 (en) 2008-12-04 2010-06-10 Micron Technology, Inc. Methods of Fabricating Substrates
US8012674B2 (en) 2006-09-14 2011-09-06 Micron Technology, Inc. Efficient pitch multiplication process

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6632741B1 (en) * 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US7291560B2 (en) * 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7323374B2 (en) * 2005-09-19 2008-01-29 International Business Machines Corporation Dense chevron finFET and method of manufacturing same
KR100790998B1 (ko) * 2006-10-02 2008-01-03 삼성전자주식회사 셀프 얼라인 더블 패터닝법을 사용한 패드 패턴 형성 방법 및 셀프 얼라인 더블 패터닝법을 사용한 콘택홀 형성방법
KR100752674B1 (ko) * 2006-10-17 2007-08-29 삼성전자주식회사 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
US8481417B2 (en) * 2007-08-03 2013-07-09 Micron Technology, Inc. Semiconductor structures including tight pitch contacts and methods to form same
US7808042B2 (en) * 2008-03-20 2010-10-05 Micron Technology, Inc. Systems and devices including multi-gate transistors and methods of using, making, and operating the same
JP2010080942A (ja) * 2008-08-25 2010-04-08 Elpida Memory Inc 半導体装置の製造方法
KR101732936B1 (ko) * 2011-02-14 2017-05-08 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8557675B2 (en) * 2011-11-28 2013-10-15 Globalfoundries Inc. Methods of patterning features in a structure using multiple sidewall image transfer technique
US9177820B2 (en) * 2012-10-24 2015-11-03 Globalfoundries U.S. 2 Llc Sub-lithographic semiconductor structures with non-constant pitch

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8012674B2 (en) 2006-09-14 2011-09-06 Micron Technology, Inc. Efficient pitch multiplication process
US20080085600A1 (en) 2006-10-10 2008-04-10 Toshiharu Furukawa Method of forming lithographic and sub-lithographic dimensioned structures
US20100144150A1 (en) 2008-12-04 2010-06-10 Micron Technology, Inc. Methods of Fabricating Substrates

Also Published As

Publication number Publication date
US10049919B2 (en) 2018-08-14
US20160005617A1 (en) 2016-01-07
US9576814B2 (en) 2017-02-21
US9136106B2 (en) 2015-09-15
US20150179435A1 (en) 2015-06-25
CN104733291B (zh) 2018-01-26
CN104733291A (zh) 2015-06-24
KR20150072362A (ko) 2015-06-29
US20170162435A1 (en) 2017-06-08

Similar Documents

Publication Publication Date Title
KR101670556B1 (ko) 집적 회로 패터닝 방법
KR102010188B1 (ko) 집적 회로 패터닝 방법
KR101576335B1 (ko) 집적 회로 패터닝 방법
US10073342B2 (en) Method of forming patterns
US9153478B2 (en) Spacer etching process for integrated circuit design
US7989355B2 (en) Method of pitch halving
KR101170284B1 (ko) 피치 더블링 프로세스 중에 어레이 피처를 격리시키는 방법 및 격리된 어레이 피처를 갖는 반도체 장치 구조물
KR20170042056A (ko) 반도체 소자의 패턴 형성 방법
US9070630B2 (en) Mechanisms for forming patterns
US7687403B2 (en) Method of manufacturing flash memory device
CN109559978B (zh) 半导体结构及其形成方法
CN112864094A (zh) 半导体结构及其形成方法
US9412615B2 (en) Patterning method and semiconductor structure including forming a plurality of holes using line pattern masks
TWI567785B (zh) 半導體裝置圖案化結構之製作方法
CN112951718A (zh) 半导体结构及其形成方法
CN110648959A (zh) 半导体器件及其制造方法
TWI518743B (zh) 半導體裝置圖案化結構之製作方法
TWI602218B (zh) 圖案化的方法
CN115775726A (zh) 半导体结构的形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant