KR101103214B1 - 기판상에 높은 종횡비 피쳐들을 형성하는 방법 - Google Patents

기판상에 높은 종횡비 피쳐들을 형성하는 방법 Download PDF

Info

Publication number
KR101103214B1
KR101103214B1 KR1020080107642A KR20080107642A KR101103214B1 KR 101103214 B1 KR101103214 B1 KR 101103214B1 KR 1020080107642 A KR1020080107642 A KR 1020080107642A KR 20080107642 A KR20080107642 A KR 20080107642A KR 101103214 B1 KR101103214 B1 KR 101103214B1
Authority
KR
South Korea
Prior art keywords
gas
fluorine
etching
silicon
dielectric layer
Prior art date
Application number
KR1020080107642A
Other languages
English (en)
Other versions
KR20090045868A (ko
Inventor
케니 린 돈
캐서린 케스윅
수브하시 데시무크
슈테판 베게
원석 이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090045868A publication Critical patent/KR20090045868A/ko
Application granted granted Critical
Publication of KR101103214B1 publication Critical patent/KR101103214B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

에칭 프로세스에서 높은 종횡비 애플리케이션에 대한 이방성 피쳐들을 형성하는 방법들이 제공된다. 본 발명에 개시되는 방법들은 높은 종횡비를 갖는 피쳐들의 프로파일 및 치수 제어가 용이하게 한다. 일 실시예에서, 기판상의 유전체층을 이방성 에칭하는 방법은 유전체층상에 패터닝된 마스크층을 갖는 기판을 에칭 챔버에 제공하는 단계, 적어도 불소 및 탄소 함유 가스 및 실리콘 불소 가스를 포함하는 가스 혼합물을 에칭 챔버에 제공하는 단계, 및 가스 혼합물로부터 발생된 플라즈마의 존재하에 유전체층에 피쳐들을 에칭하는 단계를 포함한다.

Description

기판상에 높은 종횡비 피쳐들을 형성하는 방법{METHODS FOR FORMING HIGH ASPECT RATIO FEATURES ON A SUBSTRATE}
본 발명은 전반적으로 기판상에 높은 종횡비 피쳐들을 형성하는 방법들에 관한 것이다. 특히, 본 발명은 반도체 제조시 이방성 에칭 프로세스에 의해 높은 종횡비 피쳐들을 형성하는 방법들에 관한 것이다.
절반-이하(sub-half) 마이크론 및 보다 작은 피쳐들의 신뢰성있는 제조는 차세대 반도체 소자의 VLSI 및 ULSI에 있어 주요한 기술들중 하나이다. 그러나, 회로 기술의 제한이 가해짐에 따라, VLSI 및 ULSI 상호접속부들의 치수를 축소시키는 것은 프로세싱 용량에 대한 추가 요구조건이 되었다. 집적회로들은 기판상에 형성되며 회로 내에서 다양한 기능들을 수행하도록 협력하는 백만개 이상의 마이크로-일렉트로닉 전계 효과 트랜지스터들(예를 들면 상보형 금속-산화물-반도체(CMOS) 전계 효과 트랜지스터들)을 포함할 수 있다. 게이트 패턴의 신뢰성있는 형성은 회로 밀도 및 각각의 기판 및 다이의 품질을 증가시키기 위한 지속적 노력 및 집적회로 성공에 있어 중요하다.
피쳐 크기가 작아짐에 따라, 피쳐의 깊이와 피쳐의 폭 간의 비율로 정의되는 보다 높은 종횡비에 대한 요구사항은 20:1 및 심지어 이보다 더 높게 지속적으로 증가되었다. 이러한 높은 종횡비를 갖는 피쳐들을 신뢰성있게 형성할 수 있는 에칭 프로세스 개발에는 중요한 문제점을 나타낸다.
통상적으로, 약 10:1 정도의 종횡비를 갖는 피쳐들은 예정된 깊이 및 폭으로 유전체층들을 이방성 에칭함으로써 제조되었다. 예를 들면, 도 1A는 패터닝된 마스크층(106)을 통해 형성된 개구들(108)을 통해 노출되는 유전체층(104)의 부분들을 포함하는 기판을 나타낸다. 에칭 동안, 에칭 프로세스 동안 발생된 부산물들 또는 다른 물질들(114)의 재증착물 또는 축적물들은 에칭되는 피쳐들(110)의 상부 및/또는 측벽들에 축적되어, 도 1B에 도시된 것처럼, 유전체층(104)에 형성되는 피쳐(110) 및 마스크(106)의 개구(108)를 차단할 수 있다. 에칭된 피쳐들(110)의 개구(108)가 축적된 재증착 물질(114)에 의해 폭이 좁아지고/좁아지거나 밀폐됨에 따라, 반응성 에천트들이 피쳐들(110)의 하부 표면(112)에 도달하는 것이 방지되어, 종횡비 제한이 이루어질 수 있다. 부가적으로, 부산물들에 의해 재증착 물질 또는 축적물은 유전체층(104)의 상부 표면 및/또는 측벽들에 랜덤하게 및/또는 불규칙하게 부착될 수 있어, 재증착 물질(114)의 성장 및 형성되는 불규칙한 프로파일은 반응성 에천트들의 흐름 경로를 변경시켜, 도 1C에 도시된 것처럼, 유전체층(104)에 형성된 피쳐들(110)의 휨 또는 뒤틀림 프로파일(118)이 야기될 수 있다.
따라서, 높은 종횡비들을 갖는 피쳐들을 에칭하기 위한 개선된 방법이 요구된다.
에칭 프로세스를 이용하는 높은 종횡비 피쳐들을 형성하는 방법이 제공된다. 본 발명에 개시된 방법들은 바람직하게 에칭 동안 전도성 측벽 관리 스켐을 통해 높은 종횡비들을 갖는 피쳐들의 치수 및 프로파일 제어를 용이하게 한다.
일 실시예에서, 기판상에 유전체층을 이방성 에칭하는 방법은 유전체층 상부에 패터닝된 마스크층이 배치된 기판을 에칭 챔버에 제공하는 단계, 적어도 불소 및 탄소 함유 가스 그리고 실리콘 불소(silicon fluorine) 가스를 포함하는 가스 혼합물을 에칭 챔버에 공급하는 단계, 및 가스 혼합물로부터 형성된 플라즈마의 존재하에 유전체층에 피쳐들을 에칭하는 단계를 포함할 수 있다.
또 다른 실시예에서, 기판상에 유전체층을 이방성 에칭하는 방법은 유전체층상에 패터닝된 비정질 탄소층이 배치된 기판을 에칭 챔버에 제공하는 단계, 적어도 불소 및 탄소 함유 가스 및 실리콘 불소 가스를 포함하는 에칭 챔버에 공급하는 단계, 및 비정질 탄소층의 개구들을 통해 가스 혼합물로부터 형성된 플라즈마의 존재하에 약 20:1 이상의 종횡비로 피쳐들을 에칭하는 단계를 포함할 수 있다.
또 다른 실시예에서, 기판상에 유전체층을 이방성 에칭하는 방법은 유전체층상에 패터닝된 비정질 탄소층이 배치된 기판을 에칭 챔버에 제공하는 단계, 적어도 불소 및 탄소 함유 가스 및 실리콘 불소 가스를 포함하는 가스 혼합물을 에칭 챔버에 공급하는 단계, 가스 혼합물로부터 형성된 플라즈마에 의해 유전체층에 약 20:1 이상의 종횡비로 피쳐들을 에칭하는 단계, 에칭을 하면서 피쳐들의 표면상에 전도성 폴리머막을 형성하는 단계, 및 전계 효과 트랜지스터들을 위한 콘택 구조물로 피쳐들을 구성하는 단계를 포함할 수 있다.
본 발명의 설명들은 첨부되는 도면들을 참조로 하기에 보다 상세히 설명되는 바와 같이 쉽게 이해될 것이다.
발명의 이해를 돕기 위해 도면에서 공통되는 동일한 부재들을 나타내는데 가능한 동일한 참조번호를 사용했다. 일 실시예의 부재들 및 피쳐들은 별다른 설명없이도 다른 실시예들에 바람직하게 통합될 수 있다.
그러나 첨부되는 도면은 단지 본 발명의 전형적인 실시예만을 나타내는 것으로, 본 발명의 범주를 제한하고자 하는 것은 아니며, 본 발명은 등가적인 다른 실시예를 구현할 수 있다는 것을 주지해야 한다.
본 발명은 전반적으로 에칭 프로세스를 이용하여 높은 종횡비를 갖는 피쳐들을 형성하는 방법들에 관한 것이다. 일 실시예에서, 상기 방법은 실리콘 불소 가스 및 불소 및 탄소 기반 가스의 에칭 가스 혼합물을 이용하여 유전체층을 플라즈마 에칭하는 단계를 포함한다. 에칭 가스 혼합물의 실리콘 불소 가스는 에칭된 유전체층의 측벽들 및/또는 표면들 상에 전도성 폴리머층을 형성하여, 에칭 동안 깊은 피쳐들로 이온 궤적들이 연장된다. 연장된 이온 궤적은 양호한 프로파일 제어 및 최소선폭을 유지하면서 원하는 높은 종횡비를 갖는 피쳐들을 형성하도록, 유전체층이 유전체층의 바닥부를 향해 에칭되는 것을 돕는다.
본 발명에 개시된 에칭 프로세스는 예를 들어, 모두 캘리포니아 산타클라라의 어플라이드 머티리얼스사로부터 입수가능한, HART 에칭 반응기, HART TS 에칭 반응기, DPS(Dcoupled Plasma Source), DPS-II, 또는 DPS 펄스, 또는 CENTURA
Figure 112008075788311-pat00001
에칭 시스템의 DPS DT 에칭 반응기와 같은 임의의 플라즈마 에칭 챔버에서 수행될 수 있다. 다른 제조자들로부터 입수가능한 적절한 플라즈마 에칭 챔버도 이용될 수 있다.
도 2는 에칭 프로세스 챔버(200)의 일 실시예의 개략도를 나타낸다. 챔버(200)는 유전체 돔-형상 실링(이후, 돔(220)으로 간주됨)을 지지하는 전도성 챔버 벽(230)을 포함한다. 다른 챔버들은 다른 형상의 실링들(이를 테면, 평탄한 실링)을 가질 수 있다. 벽(230)은 전기적 접지(234)에 접속된다.
적어도 하나의 유도성 코일 안테나 세그먼트(212)는 매칭 네트워크(219)를 통해 무선-주파수(RF) 소스(218)에 결합된다. 안테나 세그먼트(212)는 돔(220) 외부에 위치되며 챔버내에서 프로세스 가스들로부터 형성된 플라즈마를 유지하는데 이용된다. 일 실시예에서, 유도성 코일 안테나(212)에 인가된 소스 RF 전력 범위는 약 50kHz 내지 약 13.56MHz 사이의 주파수에서 약 0 와트 내지 약 2500와트 사이이다. 또 다른 실시예에서, 유도성 코일 안테나(212)에 인가되는 소스 RF 전력 범위는 약 200와트 내지 약 800와트 사이, 이를 테면 약 400와트이다.
또한, 프로세스 챔버(200)는 약 13.56MHz의 주파수에서 약 1500와트 이하의 바이어스 전력(예를 들어, 바이어스 전력이 없이)을 생성하기 위해 일반적으로 RF 신호를 발생시킬 있는 제 2(바이어싱) RF 소스(222)와 결합되는 기판 지지 페데스탈(216)(바이어싱 부재)를 포함한다. 바이어싱 부재(222)는 매칭 네트워크(223)를 통해 기판 지지 페데스탈(216)에 결합된다. 기판 지지 페데스탈(216)에 인가되는 바이어스 전력은 DC 또는 RF일 수 있다.
동작시, 기판(214)은 기판 지지 페데스탈(216) 상에 배치되며 종래의 기술들, 이를 테면 기판(214)의 정전기 척킹 또는 기계적 클램핑에 의해 그위에서 유지된다. 가스 혼합물들이 가스 혼합물(250)이 형성되도록 진입 포트들(226)을 통해 가스 패널(238)로부터 프로세스 챔버(200)에 공급된다. 혼합물(250)로부터 형성된 플라즈마는 각각, RF 소스들(218, 222)로부터의 RF 전력을 안테나(212) 및 기판 지지 페데스탈(216)에 인가함으로써, 프로세스 챔버(200)에서 유지된다. 에칭 챔버(200) 내부 압력은 챔버(200)와 진공 펌프(23) 사이에 장착되는 트로틀 밸브(227)를 이용하여 제어된다. 챔버 벽들(230)의 표면 온도는 챔버(200)의 벽들(230)에 위치되는 액체-함유 도관들(미도시)을 이용하여 제어된다.
기판(214)의 온도는 지지 페데스탈(216)의 온도를 안정화시키고 도관(249)을 통해 소스(248)로부터 페데스탈 표면상의 그루브들(미도시) 및 기판(214)의 후면에 의해 형성된 채널들로 열전달 가스를 흘려보냄으로써 제어된다. 헬륨 가스는 기판 지지 페데스탈(216)과 기판(214) 간의 열전달을 용이하게 하기 위한 열전달 가스로 이용될 수 있다. 에칭 프로세스 동안, 기판(214)은 기판 지지 페데스탈(216) 내에 배치된 저항성 히터(225)에 의해 DC 전력원(224)을 통한 고정 상태 온도로 가열된다. 페데스탈(216)과 기판(214) 사이에 배치된 헬륨은 기판(214)의 균일한 가열을 조장한다. 돔(220) 및 기판 지지 페데스탈(216) 모두의 열적 제어를 이용하여, 기판(214)은 섭씨 약 100도 내지 약 500도로 유지된다.
당업자들은 본 발명을 실행하는데 있어 다른 형태의 에칭 챔버들이 이용될 수 있음을 알 것이다. 예를 들어, 원격 플라즈마 소스를 갖춘 챔버들, 마이크로파 플라즈마 챔버들, ECR 플라즈마 챔버들, 및 이와 유사한 것들이 본 발명을 실행하는데 이용될 수 있다.
중앙 처리 유니트(CPU)(244), 메모리(242), 및 CUP(244)를 위한 지지 회로들(246)을 포함하는 제어기(240)가 에칭 프로세스 챔버(200)의 다양한 부품들과 결합되어 에칭 프로세스의 제어를 용이하게 한다. 앞서 개시된 것처럼 챔버의 제어를 용이하게 하기 위해, CPU(244)는 다양한 챔버들을 제어하는 산업적 설정으로 이용될 수 있는 임의의 형태중 하나의 범용성 컴퓨터 프로세서 및 서브프로세서들일 수 있다. 메모리(242)는 CUP(244)와 결합된다. 메모리(242), 또는 컴퓨터-판독가능 매체는 이를 테면, 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 국부적 또는 원격적인 임의의 다른 형태 임의의 디지털 저장기일 수 있다. 지지 회로들(246)은 종래 방식으로 프로세스를 지지하도록 CPU(244)와 결합된다. 이러한 회로들로는 캐시(cache), 전력원들, 클록 회로들, 입/출력 회로소자 및 서브시스템 및 이와 유사한 것들이 포함된다. 본 발명에 개시된 것처럼, 일반적으로 에칭 프로세스는 소프트웨어 루틴과 같은 메모리(242)에 저장된다. 또한, 소프트웨어 루틴은 CPU(244)에 의해 제어되는 하드웨어로부터 원격적으로 위치된 제 2 CPU(미도시)에 의해 저장 및/또는 실행될 수 있다.
도 3은 챔버(200) 또는 다른 적절한 프로세싱 챔버에서 실행될 수 있는 에칭 프로세스(300)에 대한 일 실시예의 흐름도이다. 도 4A-4C는 프로세스(300)의 다양한 스테이지에 해당하는 복합 기판의 부분의 개략적 단면도이다. 프로세스(300)는 전계 효과 트랜지스터들에 대한 콘택 구조물과 같은 구조물들에 대해, 높은 종횡비, 예를 들면 20:1 이상의 피쳐들을 형성하는데 이용될 수 있다. 선택적으로, 프로세스(300)는 다른 형태의 구조물들을 에칭하는데도 바람직하게 이용될 수 있다.
프로세스(300)는 도 2에 도시된 에칭 챔버(200)와 같은 에칭 프로세스 챔버로 기판(214)을 전달(즉, 제공)함으로써 블록(302)에서 시작된다. 도 4A에 도시된 실시예에서, 기판(214)은 유전체층(404) 상에 배치된 패터닝된 마스크층(406)을 갖는 막 스택(400)을 포함한다. 패터닝된 마스크층(406)은 에칭을 위해 하부에 놓인 유전체층(404)의 부분들을 노출시키는 개구들(408)을 포함한다. 기판(214)은 반도체 기판, 실리콘 웨이퍼, 글래스 기판 및 이와 유사한 것들중 임의의 하나일 수 있다.
일 실시예에서, 마스크층(406)은 하드 마스크, 포토레지스트 마스크 또는 이들의 조합물일 수 있다. 마스크(406)는 유전체층(404)에 원하는 종횡비를 갖는 피쳐들(410)을 에칭하기 위한 에칭 마스크로 이용된다. 본 발명에 개시된 피쳐들(410)은 트렌치들, 비아들, 개구들 및 이와 유사한 것들을 포함할 수 있다. 일 실시예에서, 마스크층(406)은 실리콘, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 탄화물, 비정질 탄소, 및 이들의 조합물들로 이루어진 그룹에서 선택된 물질일 수 있다. 본 발명에 개시된 실시예에서, 마스크층(406)은 비정질 탄소층이다. 예시적인 비정질 탄소층은 어플라이드 머티리얼스사로부터 입수가능한 Advanced Patterning FilmTM(APF)일 수 있다. 유전체층(404)은 유전체 산화물층일 수 있다. 유전체층으로 다른 적절한 물질들로는 도핑되지 않은 실리콘 글래스(USG), 이를 테면 실리콘 산화물 또는 TEOS, 보론-실리케이트 글래스(BSG), 포스포러스-실리케이트 글래스(PSG), 보론-포스포러스-실리케이트 글래스(BPSG) 및 이들의 조합물이 포함된다. 본 발명에 개시된 예시적 실시예에서, 유전체층(404)은 비도핑 실리콘 글래스(USG)층이다. 일 실시예에서, 유전체층(404)은 약 3000Å 내지 약 15000Å 사이, 이를 테면 약 4000Å 내지 약 12000Å 사이, 예를 들어 약 10000Å의 두께를 갖는다.
블록(304)에서, 불소 및 탄소 기반 가스 및 실리콘 불소 가스를 포함하는 가스 혼합물이 에칭 챔버에 공급되어 챔버 내부에 배치된 기판(214)을 이방성 에칭한다. 에칭 동안, 불소 및 탄소 가스 및 실리콘 불소 가스는 가스 혼합물로부터 형성된 플라즈마에 의해 반응성 에천트 종들로 분해된다. 실리콘 불소 가스로부터의 실리콘 이온들은 가스 혼합물의 불소 및 탄소 가스로부터의 탄소 이온들의 일부와 반응하여, 에칭된 표면, 예를 들면 도 4B에 도시된 것처럼 유전체층(404)에서 에칭되는 피쳐들(410)의 측벽들 상에 전도성 실리콘 함유 폴리머를 형성한다. 에칭된 표면, 예를 들면, 유전체층(404)의 측벽들을 보호하기 위해 통상적으로 이용되는 탄소 기반 폴리머들 보다는 실리콘 이온들은 에칭 동안 더 많은 전자들을 제공할 수 있기 때문에, 실리콘 함유 폴리머(416)의 전도성 특성들은 에칭 반응 동안 발생하는 전자 전달 프로세스를 보조한다. 전도성 실리콘 함유 폴리머(416)는 화살표 418로 표시된 것처럼, 피쳐들(410)의 보다 깊은 부분들로 이온들 및/또는 전자들을 전도한다. 또한, 전도성 폴리머층(416)은 유전체층(404)의 피쳐들(410) 속으로의 전하 축적 및 성장을 감소시켜, 피쳐들이 근접해지고, 폭이 좁아지고, 휘는 것을 방지하거나 또는 추가 에칭 프로세스 교란을 방지한다. 전도성 실리콘 함유 폴리머(416)는 에칭된 피쳐들(410)의 이온 궤적들을 개선시켜, 유전체층(404)에서 높은 종횡비의 깊이에 따른 피쳐(401) 형성이 조장된다.
에칭 동안, 마스크층(406)은 공급된 가스 혼합물로부터의 불소 이온들에 의해 공격 받을 수 있다. 이로써, 불소 및 탄소 기반 가스 혼합물에 실리콘 불소 가스를 첨가시킴으로써, 실리콘 불소으로부터의 실리콘 이온들은 마스크층(406)의 상부 표면상에 강한 보호층(414)이 형성되도록 마스크층(406)과 효율적으로 반응할 수 있다. 마스크층(416)이 비정질 탄소층인 실시예에서, 실리콘 이온들은 마스크층(406)의 탄소 원소들과 반응하여, 마스크층(406)의 상부 표면에 강건한 실리콘 탄화물층을 형성함으로써, 높은 종횡비 피쳐들(410)을 형성하는 프로세스 동안의 공격으로부터 마스크층(406)이 보호된다.
일 실시예에서, 가스 혼합물에 공급되는 실리콘 불소 가스는 SiF4, SiCl4, 및 이와 유사한 것들로 이루어진 그룹에서 선택될 수 있다. 가스 혼합물에 공급되는 불소 및 탄소 가스는 CF4, CHF3, C4F8, C2F6, C4F6, C5F8, CH2F2 및 이와 유사한 것들로 이루어진 그룹에서 선택된다. 비활성 가스는 에칭 챔버로 가스 혼합물 운반을 돕기 위해 가스 혼합물과 함께 선택적으로 공급될 수 있다. 비활성 가스의 적절한 예로는 N2, Ar, He 및 Kr 가스가 포함된다.
에칭 챔버로 가스 혼합물이 공급되는 동안 몇 가지 프로세스 파라미터들이 조절된다. 일 실시예에서, 챔버 압력은 약 10mTorr 내지 약 60mTorr 사이, 예를 들면, 약 20mTorr로 조절된다. RF 소스 전력은 제 1 프로세스 가스로부터 형성된 플라즈마를 유지하도록 인가될 수 있다. 예를 들어, 약 200와트 내지 약 1000와트의 전력이 에칭 챔버 내부의 플라즈마를 유지하도록 유도적으로 결합된 안테나 소스에 인가될 수 있다. 불소 및 탄소는 약 20sccm 내지 약 100sccm 사이의 유량으로 챔버에 유입될 수 있다. 실리콘 불소 가스는 약 10sccm 내지 약 50sccm 사이의 유량으로 챔버에 유입될 수 있다. 비활성 가스는 약 200sccm 내지 약 1000sccm 사이의 유량으로 챔버에 유입될 수 있다. 기판 온도는 섭씨 약 20도 내지 섭씨 약 80도 사이로 유지된다.
부가적으로, 가스 혼합물에 공급되는 불소 및 탄소 가스 대 실리콘 불소 가스의 비율은 제어될 수 있다. 일 실시예에서, 불소 및 탄소 가스 대 실리콘 불소 가스의 가스 비율은 약 1:0.15 내지 약 1:0.5 사이, 예를 들면, 약 1:0.25로 제어된다.
블록(306)에서, 에칭 프로세스의 엔드포인트는 하나의 피쳐(410)가 원하는 깊이, 선택적인 하부에 놓인층 및/또는 기판(214)에 도달함에 따라 임의의 적절한 방식으로 결정된다. 예를 들어, 엔드포인트는 광학적 방출, 예정된 시간 주기 경과 모니터링에 의해 또는 에칭되는 층이 충분히 제거되었는지를 결정하는 다른 표시기에 의해 결정될 수 있다.
도 4C에 도시된 것처럼, 원하는 높은 종횡비를 갖는 피쳐들(410)이 기판(214)에 형성된다. 일 실시예에서, 기판(214)상에 형성된 피쳐들(410)은 약 20:1 이상의 종횡비를 갖는다. 일 실시예에서, 프로세스(300)는 도 5에 도시된 것처럼, 기판(502)상의 전계 효과 트랜지스터들(504) 상에 배치되는 콘택 구조물로서 유전체층(404)에 형성된 약 20:1 이상의 종횡비를 갖는 피쳐들(410)을 가지는 콘택 구조물을 형성하는데 이용될 수 있다. 피쳐들(410)은 기판상에 상호접속 구조물을 형성하기 위해 금속 물질들로 채워지거나 증착될 수 있다. 금속 물질들의 예로는 텅스텐(W), 텅스텐 질화물(WN), 구리(Cu), 티타늄(Ti), 탄탈(Ta), 알루미늄(Al) 또는 이와 유사한 것들이 포함된다. 선택적으로, 프로세스(300)는 집적회로를 제조하는데 이용되는 다른 구조물들을 제조하는데 이용될 수 있다.
따라서, 본 발명은 20:1 이상의 종횡비를 갖는 피쳐들을 형성하기 위해 기판을 에칭하는 개선된 방법을 제공한다. 상기 방법은 바람직하게 에칭 동안 전도성 폴리머층을 형성하기 위해 실리콘 불소 가스의 첨가와 함께 불소 및 탄소 기반 가스 혼합물을 이용한다.
지금까지 본 발명의 실시예들에 대해 개시되었지만, 하기 특허청구항들에 의해 결정되는 본 발명의 기본 사상 및 범주를 이탈하지 않고 본 발명의 다른 추가의 실시예들이 고안될 수 있다.
도 1A-1C는 높은 종횡비 피쳐를 제조하는데 이용되는 종래의 제조 시퀀스의 단면도.
도 2는 본 발명의 적어도 일 실시예에 다른 에칭 프로세스를 수행하는데 이용될 수 있는 플라즈마 프로세싱 장치의 개략도.
도 3은 본 발명의 적어도 일 실시예에 따라 높은 종횡비 피쳐들을 제조하기에 적합한 방법의 프로세스 흐름도.
도 4A-4C는 도 3의 방법에 따라 높은 종횡비 피쳐들을 형성하도록 에칭되는 복합 구조물의 순차적 개략도.
도 5는 전계 효과 트랜지스터들을 위한 콘택 구조물에 본 발명에 의해 ㅅ형성된 높은 종횡비 피쳐들을 갖는 복합 구조물의 개략도.

Claims (15)

  1. 기판상에 유전체층을 이방성 에칭하는 방법으로서,
    유전체층상에 패터닝된 마스크층이 배치된 기판을 에칭 챔버에 제공하는 단계;
    적어도 불소 및 탄소 함유 가스 그리고 실리콘 불소 가스를 포함하는 가스 혼합물을 상기 에칭 챔버에 공급하는 단계로서, 상기 불소 및 탄소 가스 대 실리콘 불소 가스의 유량비가 1:0.15 내지 1:0.5인, 가스 혼합물을 에칭 챔버에 공급하는 단계; 및
    상기 가스 혼합물로부터 형성된 플라즈마의 존재하에 상기 유전체층 내에서 피쳐들을 에칭하는 단계
    를 포함하는, 이방성 에칭 방법.
  2. 제 1 항에 있어서,
    상기 유전체층은 도핑되지 않은 실리콘 글래스(USG), 보론-실리케이트 글래스(BSG), 포스포러스-실리케이트 글래스(PSG), 보론-포스포러스-실리케이트 글래스(BPSG) 및 이들의 조합물로 이루어진 그룹에서 선택되는 것을 특징으로 하는 이방성 에칭 방법.
  3. 제 1 항에 있어서,
    상기 패터닝된 마스크층은 실리콘, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 탄화물, 비정질 탄소 및 이들의 조합물로 이루어진 그룹에서 선택되는 것을 특징으로 하는 이방성 에칭 방법.
  4. 제 1 항에 있어서,
    상기 가스 혼합물을 에칭 챔버에 공급하는 단계는 상기 유전체층의 에칭된 표면상에 전도성 폴리머층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 이방성 에칭 방법.
  5. 제 4 항에 있어서,
    상기 전도성 폴리머층은 실리콘 함유 폴리머인 것을 특징으로 하는 이방성 에칭 방법.
  6. 제 4 항에 있어서,
    상기 전도성 폴리머층은 상기 유전체층의 바닥부를 향해 아래로 상기 유전체층을 이방성 에칭하기 위해 상기 플라즈마로부터 발생된 이온들의 전도를 보조하는 것을 특징으로 하는 이방성 에칭 방법.
  7. 제 1 항에 있어서,
    상기 불소 및 탄소 함유 가스 그리고 상기 실리콘 불소 가스는 SiF4 및 SiCl4인 것을 특징으로 하는 이방성 에칭 방법.
  8. 제 1 항에 있어서,
    상기 불소 및 탄소 함유 가스는 CF4, CHF3, C4F8, C2F6, C4F6, C5F8, CH2F2로 이루어진 그룹에서 선택되는 것을 특징으로 하는 이방성 에칭 방법.
  9. 제 1 항에 있어서, 상기 가스 혼합물을 에칭 챔버에 공급하는 단계는,
    20sccm 내지 100sccm 사이의 유량으로 상기 불소 및 탄소 함유 가스를 공급하는 단계;
    10sccm 내지 50sccm 사이의 유량으로 상기 실리콘 불소 가스를 공급하는 단계;
    10mTorr 내지 60mTorr 사이의 프로세스 압력을 유지하는 단계;
    섭씨 20도 내지 섭씨 80도 사이로 기판 온도를 제어하는 단계; 및
    200와트 내지 1000와트 사이의 플라즈마 전력을 인가하는 단계
    를 더 포함하는 것을 특징으로 하는 이방성 에칭 방법.
  10. 기판상의 유전체층을 이방성 에칭하는 방법으로서,
    유전체층상에 패터닝된 비정질 탄소층이 배치된 기판을 에칭 챔버에 제공하는 단계;
    적어도 불소 및 탄소 함유 가스 그리고 실리콘 불소 함유 가스를 포함하는 가스 혼합물을 상기 에칭 챔버에 공급하는 단계로서, 상기 불소 및 탄소 가스 대 실리콘 불소 가스의 유량비가 1:0.15 내지 1:0.5인, 가스 혼합물을 에칭 챔버에 공급하는 단계; 및
    상기 가스 혼합물로부터 형성된 플라즈마의 존재하에 상기 비정질 탄소층의 개구들을 통해 20:1 이상의 종횡비로 피쳐들을 에칭하는 단계
    를 포함하는, 이방성 에칭 방법.
  11. 제 10 항에 있어서,
    상기 가스 혼합물을 에칭 챔버에 공급하는 단계는 상기 유전체층의 에칭된 표면상에 전도성 폴리머막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 이방성 에칭 방법.
  12. 제 11 항에 있어서,
    보호층이 형성되도록 상기 실리콘 불소 가스에 의해 제공된 실리콘 원소들을 상기 비정질 탄소층과 반응시키는 단계를 더 포함하는 것을 특징으로 하는 이방성 에칭 방법.
  13. 제 11 항에 있어서,
    상기 가스 혼합물을 에칭 챔버에 공급하는 단계는 상기 가스 혼합물과 함께 상기 에칭 챔버에 비활성 가스를 공급하는 단계를 더 포함하며, 상기 비활성 가스는 N2, Ar, He 및 Kr로 이루어진 그룹에서 선택되는 것을 특징으로 하는 이방성 에칭 방법.
  14. 기판상의 유전체층을 이방성 에칭하는 방법으로서,
    유전체층상에 패터닝된 비정질 탄소층이 배치된 기판을 에칭 챔버에 제공하는 단계;
    적어도 불소 및 탄소 함유 가스 그리고 실리콘 불소 가스를 포함하는 가스 혼합물을 상기 에칭 챔버에 공급하는 가스 혼합물 공급 단계로서, 상기 불소 및 탄소 가스 대 실리콘 불소 가스의 유량비가 1:0.15 내지 1:0.5이고, 상기 가스 혼합물 공급 단계가 20sccm 내지 100sccm 사이의 유량으로 상기 불소 및 탄소 함유 가스를 공급하는 단계, 10sccm 내지 50sccm 사이의 유량으로 상기 실리콘 불소 가스를 공급하는 단계, 10mTorr 내지 60mTorr 사이의 프로세스 압력을 유지하는 단계, 섭씨 20도 내지 섭씨 80도 사이로 기판 온도를 제어하는 단계를 더 포함하는, 가스 혼합물 공급 단계;
    200와트 내지 1000와트 사이의 플라즈마 전력을 인가함으로써 상기 가스 혼합물로부터 형성된 플라즈마에 의해 상기 유전체층 내에서 20:1 이상의 종횡비로 피쳐들을 에칭하는 단계;
    에칭 동안 상기 피쳐들의 표면들상에 전도성 폴리머층을 형성하는 단계; 및
    전계 효과 트랜지스터들을 위해 콘택 구조물로서 상기 피쳐들을 구성하는 단계
    를 포함하는, 이방성 에칭 방법.
  15. 제 14 항에 있어서,
    상기 유전체층은 실리콘 산화물, 보론-실리케이트 글래스(BSG), 포스포러스-실리케이트 글래스(PSG), 보론-포스포러스-실리케이트 글래스(BPSG) 및 이들의 조합물로 이루어진 그룹에서 선택되는 것을 특징으로 하는 이방성 에칭 방법.
KR1020080107642A 2007-11-02 2008-10-31 기판상에 높은 종횡비 피쳐들을 형성하는 방법 KR101103214B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/934,589 US20100330805A1 (en) 2007-11-02 2007-11-02 Methods for forming high aspect ratio features on a substrate
US11/934,589 2007-11-02

Publications (2)

Publication Number Publication Date
KR20090045868A KR20090045868A (ko) 2009-05-08
KR101103214B1 true KR101103214B1 (ko) 2012-01-05

Family

ID=40297950

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080107642A KR101103214B1 (ko) 2007-11-02 2008-10-31 기판상에 높은 종횡비 피쳐들을 형성하는 방법

Country Status (7)

Country Link
US (1) US20100330805A1 (ko)
EP (1) EP2056341A3 (ko)
JP (1) JP5553501B2 (ko)
KR (1) KR101103214B1 (ko)
CN (1) CN101431023B (ko)
SG (1) SG152207A1 (ko)
TW (1) TW200935519A (ko)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012015343A (ja) * 2010-07-01 2012-01-19 Hitachi High-Technologies Corp プラズマエッチング方法
RU2585322C2 (ru) * 2011-03-18 2016-05-27 Басф Се Способ получения интегральных схем, оптических устройств, микромашин и механических высокоточных устройств, имеющих слои структурированного материала со строчным интервалом 50 нм и менее
KR101263666B1 (ko) * 2011-07-26 2013-05-22 아주대학교산학협력단 반도체 장치의 콘택홀 형성방법
CN104658882B (zh) * 2013-11-25 2017-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 控制浅沟槽深度微负载效应的刻蚀方法
EP3035369B1 (en) * 2014-12-18 2020-11-25 IMEC vzw Plasma treatment method
CN111627807B (zh) 2016-03-28 2023-08-29 株式会社日立高新技术 等离子处理方法以及等离子处理装置
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
WO2018052476A1 (en) 2016-09-14 2018-03-22 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
KR20190067939A (ko) 2016-11-08 2019-06-17 어플라이드 머티어리얼스, 인코포레이티드 패터닝 응용들을 위한 상향식 필러들의 기하형상 제어
US10770349B2 (en) 2017-02-22 2020-09-08 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
JP6861535B2 (ja) * 2017-02-28 2021-04-21 東京エレクトロン株式会社 処理方法及びプラズマ処理装置
WO2018200212A1 (en) 2017-04-25 2018-11-01 Applied Materials, Inc. Selective deposition of tungsten for simplified process flow of tungsten oxide pillar formation
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
WO2019046399A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
WO2019046402A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR GENERATING SELF-ALIGNED INTERCONNECTION HOLES
KR102227347B1 (ko) 2017-09-05 2021-03-11 어플라이드 머티어리얼스, 인코포레이티드 3d 메모리 구조들에서의 고종횡비 홀 형성에 대한 상향식 접근법
WO2019050735A1 (en) 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
CN110034017A (zh) 2017-12-07 2019-07-19 微材料有限责任公司 用于使金属和阻挡层-衬垫可控凹陷的方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
TW201939628A (zh) 2018-03-02 2019-10-01 美商微材料有限責任公司 移除金屬氧化物的方法
TW202002219A (zh) 2018-05-08 2020-01-01 美商微材料有限責任公司 用來產生高的深寬比的完全自對準的通孔的選擇性移除過程
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
WO2019236350A1 (en) 2018-06-08 2019-12-12 Micromaterials Llc A method for creating a fully self-aligned via
JP7066852B2 (ja) * 2018-07-30 2022-05-13 京セラ株式会社 複合基板
WO2020031224A1 (ja) 2018-08-06 2020-02-13 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマアッシング装置
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
WO2020247977A1 (en) * 2019-06-04 2020-12-10 Lam Research Corporation Polymerization protective liner for reactive ion etch in patterning
CN111508929B (zh) * 2020-04-17 2022-02-22 北京北方华创微电子装备有限公司 图形片及半导体中间产物
US20210391181A1 (en) * 2020-06-15 2021-12-16 Tokyo Electron Limited Forming a semiconductor device using a protective layer
JP7110492B2 (ja) 2020-06-16 2022-08-01 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
CN113766412B (zh) * 2021-11-05 2022-02-15 绍兴中芯集成电路制造股份有限公司 具有弧形底角的凹槽的制备方法、mems麦克风的制备方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100763514B1 (ko) * 2006-06-30 2007-10-04 삼성전자주식회사 반도체 장치의 개구 형성 방법 및 이를 이용한 반도체 장치제조 방법

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5071714A (en) * 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US6171974B1 (en) * 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
US5188979A (en) * 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
JPH06342744A (ja) * 1993-03-26 1994-12-13 Fujitsu Ltd a−Cによる反射防止
US5356833A (en) * 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
EP0652430B1 (en) * 1993-08-13 1999-12-29 PIRELLI PNEUMATICI S.p.A. Process for determining carbon black concentration and distribution in rubber compounds and other carbon black containing materials and device to carry out the process
JP2924723B2 (ja) * 1995-08-16 1999-07-26 日本電気株式会社 ドライエッチング方法
US6148072A (en) * 1997-01-03 2000-11-14 Advis, Inc Methods and systems for initiating video communication
US5965463A (en) * 1997-07-03 1999-10-12 Applied Materials, Inc. Silane etching process
US6242350B1 (en) * 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6335292B1 (en) * 1999-04-15 2002-01-01 Micron Technology, Inc. Method of controlling striations and CD loss in contact oxide etch
US6270568B1 (en) * 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
US6319730B1 (en) * 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6235643B1 (en) * 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
US6328905B1 (en) * 1999-08-12 2001-12-11 Advanced Micro Devices, Inc. Residue removal by CO2 water rinse in conjunction with post metal etch plasma strip
US6274500B1 (en) * 1999-10-12 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Single wafer in-situ dry clean and seasoning for plasma etching process
US6479395B1 (en) * 1999-11-02 2002-11-12 Alien Technology Corporation Methods for forming openings in a substrate and apparatuses with these openings and methods for creating assemblies with openings
US6300202B1 (en) * 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6297095B1 (en) * 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
JP2002110647A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
US6326261B1 (en) * 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6563160B2 (en) * 2001-08-09 2003-05-13 International Business Machines Corporation High dielectric constant materials forming components of DRAM such as deep-trench capacitors and gate dielectric (insulators) for support circuits
US6534376B2 (en) * 2001-08-15 2003-03-18 Infineon Technologies Ag Process flow for sacrificial collar scheme with vertical nitride mask
US6528386B1 (en) * 2001-12-20 2003-03-04 Texas Instruments Incorporated Protection of tungsten alignment mark for FeRAM processing
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US6897155B2 (en) * 2002-08-14 2005-05-24 Applied Materials, Inc. Method for etching high-aspect-ratio features
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7344975B2 (en) * 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7846846B2 (en) * 2007-09-25 2010-12-07 Applied Materials, Inc. Method of preventing etch profile bending and bowing in high aspect ratio openings by treating a polymer formed on the opening sidewalls

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100763514B1 (ko) * 2006-06-30 2007-10-04 삼성전자주식회사 반도체 장치의 개구 형성 방법 및 이를 이용한 반도체 장치제조 방법

Also Published As

Publication number Publication date
KR20090045868A (ko) 2009-05-08
SG152207A1 (en) 2009-05-29
CN101431023A (zh) 2009-05-13
JP5553501B2 (ja) 2014-07-16
US20100330805A1 (en) 2010-12-30
JP2009135478A (ja) 2009-06-18
EP2056341A3 (en) 2010-03-03
CN101431023B (zh) 2011-04-13
EP2056341A2 (en) 2009-05-06
TW200935519A (en) 2009-08-16

Similar Documents

Publication Publication Date Title
KR101103214B1 (ko) 기판상에 높은 종횡비 피쳐들을 형성하는 방법
US7368394B2 (en) Etch methods to form anisotropic features for high aspect ratio applications
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
US9543163B2 (en) Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
US7115517B2 (en) Method of fabricating a dual damascene interconnect structure
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
KR101644732B1 (ko) Finfet 방식용 게이트 스페이서 프로파일, 핀 손실 및 하드 마스크 손실 개선을 위한 종횡비 종속 성막
US6489248B2 (en) Method and apparatus for etch passivating and etching a substrate
KR101880831B1 (ko) 가스 펄싱을 사용하는 딥 실리콘 에칭 방법
US20070026665A1 (en) Method of fabricating a dual damascene interconnect structure
US6184119B1 (en) Methods for reducing semiconductor contact resistance
US6432832B1 (en) Method of improving the profile angle between narrow and wide features
JP2020520554A (ja) 超伝導体相互接続のための予洗浄および堆積の方法
WO2002073674A1 (fr) Procede permettant de graver un film isolant organique et procede double damascene
JP2017152531A (ja) 基板処理方法
KR100917291B1 (ko) 듀얼 다마신 분야에서 바닥부 무반사 코팅층의 2단계 에칭
US10170336B1 (en) Methods for anisotropic control of selective silicon removal
JP2002141407A (ja) 半導体装置およびその製造方法
US20090117745A1 (en) Methods for selectively etching a barrier layer in dual damascene applications
Cheung et al. Etching MoSi 2 using SF 6, HBr and O 2

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141128

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee