KR100801377B1 - 희석 원격 플라즈마 세척 - Google Patents

희석 원격 플라즈마 세척 Download PDF

Info

Publication number
KR100801377B1
KR100801377B1 KR1020010021408A KR20010021408A KR100801377B1 KR 100801377 B1 KR100801377 B1 KR 100801377B1 KR 1020010021408 A KR1020010021408 A KR 1020010021408A KR 20010021408 A KR20010021408 A KR 20010021408A KR 100801377 B1 KR100801377 B1 KR 100801377B1
Authority
KR
South Korea
Prior art keywords
plasma
gas
etch
chamber
cleaning
Prior art date
Application number
KR1020010021408A
Other languages
English (en)
Other versions
KR20010098778A (ko
Inventor
케네쓰 발리쉬
토마스 노왁
츠토무(톰) 다나까
마크 빌즈
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010098778A publication Critical patent/KR20010098778A/ko
Application granted granted Critical
Publication of KR100801377B1 publication Critical patent/KR100801377B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

원격 플라즈마 발생기에서 형성된 플라즈마의 에칭 특성을 강화하기 위한 방법과 장치이다. 원격 플라즈마 발생기(27)에서 형성된 플라즈마는 튜브(62)를 통하여 플레넘(60)으로 흐르는데, 그곳에서 그것은 플라즈마 혼합물을 프로세싱 챔버(15)로 흘려보내기 전에 플라즈마 혼합물을 형성하기 위하여 희석된다. 플라즈마 혼합물은 프로세싱 챔버의 내부 표면으로부터의 증착물을 세척하기 위하여 사용되거나 프로세싱 챔버내의 프로세스 웨이퍼상에 에칭 단계를 수행하기 위하여 사용될 수 있다. 일 실시예에서, NF3으로 형성된 플라즈마는 산화 실리콘 유리를 증착시키기 위하여 사용된 프로세싱 챔버의 표면으로부터 찌꺼기를 에칭시키기 위하여 N2로 희석된다. 플라즈마를 증착시키는 것은 에칭 속도를 증가시키고 프로세싱 챔버의 직경을 가로질러 에칭 속도를 더욱 균일하게 한다.

Description

희석 원격 플라즈마 세척{DILUTE REMOTE PLASMA CLEAN}
도 1a는 본 발명의 일 실시예에 따른 화학 기상 증착 장치의 간략도이다.
도 1b 및 1c는 도 1a에서 묘사된 CVD 챔버의 부품들의 확대 사시도들이다.
도 1d는 도 1a에 나타난 장치와 인터페이스하기 위해 사용될 수 있는 시스템 모니터의 일 실시예의 간략도이다.
도 2는 도 1a에 나타난 CVD 장치의 동작을 제어하는 시스템 제어 소프트웨어 의 계층 제어 구조의 일 실시예의 블록도이다.
도 3a는 본 발명의 일 실시예에 따른 챔버 세척 프로세스의 단순화된 흐름도이다.
도 3b는 본 발명의 일 실시예에 따른 웨이퍼 에칭 프로세스의 단순화된 흐름도이다.
도 4는 포화를 예시하는 원격 플라즈마 발생기 전력 대 에칭 속도의 단순화된 그래프이다.
도 5a는 본 발명의 일 실시예에 따른 기판 프로세싱 시스템과 함께 사용할 플라즈마 희석 구조의 한 부분의 간략도이다.
도 5b는 도 5a에 나타난 플라즈마 희석 구조의 한 부분의 간략도이다.
도 6a는 세척 플라즈마를 희석함으로써 얻어지는 증가된 세척 영역을 예시하 는 간략도이다.
도 6b는 웨이퍼 받침대 전체에서 각 에칭 특성이 희석물의 흐름과 함께 어떻게 선택될 수 있는지를 예시하는 간략도이다.
도 7a 및 7b는 다양한 희석물 가스들, 흐름들 및 배기 세팅들의 효과를 예시하는 다양한 프로세스 조건들에 대한 웨이퍼 에칭 속도의 간략화된 그래프들이다.
도 8은 본 발명의 일 실시예에 따라 제조된 반도체 디바이스 단면을 간략하게 나타낸다.
*도면의 주요 부분에 대한 설명
CVD 시스템 : 10
프로세싱 챔버 : 15
가스 분배 매니폴드 : 11
받침대 : 12
원격 플라즈마 발생기 : 27
플라즈마 챔버 : 29
배기 플레넘 : 17
제어기 :34
본 발명은 일반적으로는 반도체 제품의 제조에 사용되는 화학 기상 증착(CVD) 장비와 같은 증착 장비에 관한 것이고, 보다 상세하게는 원격 플라즈마를 사용하는 증착 시스템의 부품들 또는 에칭 프로세스 웨이퍼들을 세척하기 위한 장치 및 방법에 관한 것이다.
집적 회로와 같은 반도체 제품들의 제조는 때때로 실리콘 웨이퍼와 같은 기판상에 층들의 형성을 수반한다. 층들이 종종 다른 물질들을 포함함에 따라, 증착 프로세스를 위한 다양한 기술들이 개발되어 왔다. 예를 들어, 금속층이 증착되어 전도성의 인터커넥트를 형성하도록 패턴화되거나, 절연층이 한 도전층을 다른 도전층으로부터 전기적으로 절연시키도록 형성될 수 있다. 절연 물질들과 다른 물질들의 층들을 형성하기 위해 사용된 일부 타입의 층 형성 프로세스가 CVD 프로세스이다.
화학 기상 증착 프로세스들은, 전자기 에너지가 프리커서(precursor) 가스 또는 증기를 더 반응성의 플라즈마로 변형시키기 위하여 적어도 하나의 프리커서 가스 또는 증기에 인가되는 플라즈마 강화 CVD("PECVD") 프로세스들뿐 아니라, 프리커서 가스 또는 증기가 기판의 가열된 표면에 대응하여 반응하는 열 증착 프로세스들을 포함한다. 플라즈마를 형성하는 것은 막을 형성하는데 필요한 온도를 낮추거나 형성 속도를 증가시킬 수 있다. 따라서, 플라즈마 강화 프로세스는 많은 응용들에서 바람직하다.
기판상에 층이 형성될 때, 일부 물질은 통상적으로 증착 챔버의 벽들상 및 증착 시스템의 다른 구성 요소들에도 역시 찌꺼기로서 증착된다. 챔버의 벽들상의 물질은, 찌꺼기가 쌓이고 미립자 오염의 소스가 될 수 있기 때문에 일반적으로 바람직하지 못하다. 챔버 내부로부터 찌꺼기를 제거하기 위해 몇 가지 세척 과정들이 개발되었다. "습식-세척"으로 알려진 한 타입의 과정은 증착 챔버를 부분적으로 분해하고 적당한 세척액으로 표면들을 닦아줌으로써 수행된다. 다른 타입의 세척 프로세스들은 챔버 배기 시스템에 의해 제거될 수 있는 휘발성 생성물로 찌꺼기를 변환함에 의해 찌꺼기를 제거하는 데에 플라즈마를 사용한다. 이러한 프로세스들은 "건식-세척"으로 알려져 있다.
플라즈마 건식-세척에는 두 가지 일반적인 타입들이 있다. 한 타입은 프로세싱 챔버 내부에서, 또는 "인시튜(in situ)"로 플라즈마를 형성한다. 다른 타입은 원격 플라즈마 발생기에 플라즈마를 형성하고, 그 다음 프로세싱 챔버로 플라즈마를 흘려 보낸다. 그러한 원격 플라즈마 세척 프로세스는 인시튜 플라즈마 시스템을 가지지 않은 증착 시스템에 건식-세척 능력을 제공하는 것과 같은 몇 가지 이점을 제공한다. 더욱이, 원격 플라즈마 시스템은 세척 플라즈마 프리커서 가스 또는 증기들을 플라즈마로 변환하는데 더 능률적일 수 있고, 챔버 외부에서 플라즈마를 형성하는 것은 플라즈마 가열 및 스퍼터링 효과와 같은 플라즈마 형성 프로세스의 바람직하지 않은 잠재적인 부산물들로부터 챔버 내부를 보호한다.
일부 원격 플라즈마 시스템들은 소비자 마이크로웨이브 오븐들에 사용되는 것과 같은 비싸지 않은 마그네트론 디바이스를 사용한다. 전형적으로 정격 전력 출력을 갖는 마그네트론들이 판매되고, 원격 플라즈마 시스템은 전형적으로 마그네트론 출력전력을 갖도록 디자인된다. 그러나, 반도체 기판들은 다양한 크기로 되어 있다. 예를 들어, 다른 제조 장비는 300mm 웨이퍼들을 가공하도록 구성되는 한편, 많은 반도체 제조 설비는 200mm 웨이퍼들을 사용한다. 다양한 프로세싱 장비에서 규격의 원격 플라즈마 시스템을 사용하는 것은 바람직할 것이다. 그러므로, 다양한 시스템 구성들에 적용될 수 있는 비율 조절이 가능한(scaleable) 세척 프로세스들을 개발하는 것이 바람직하다.
본 발명은 효율적인 방식으로 증착 장치를 세척하고 기판들을 에칭하기 위한 방법 및 장치를 제공한다. 일 실시예에서, 마이크로웨이브 원격 플라즈마 발생기는 프리커서 가스로부터 플라즈마를 발생시킨다. 플라즈마는 원격 플라즈마 발생기로부터의 플라즈마를, 가스 분배 면판(gas distribution faceplate) 또는 유사한 구조의 가스 인입구를 통하여 증착실로 혼합물을 흘려보내기 전에, 희석물 가스와 혼합함으로써 희석된다. 플라즈마 형성후 플라즈마를 희석하는 것은, 플라즈마 발생기에서 프리커서 가스를 희석하지 않고, 면판을 통해 챔버로 더 많은 전체 가스가 흐르도록 하고 그리하여, 플라즈마를 생산하는 원격 플라즈마 발생기의 효율을 유지한다. 더 많은 흐름은 또한 동일시간 동안, 시간에 따라 감소하는 플라즈마 종들을 수송하며, 챔버 내에서의 빠른 속도를 또한 제공한다. 이것은 또한 가스 인입구로부터 더 높은 밀도의 플라즈마 종을 야기하고 또한 가스 인입구로부터 영역들 내에서 개선된 세척 또는 에칭을 야기한다. 게다가, 플라즈마를 희석함으로써, 플라즈마 종의 더 낮은 반응성 또는 비반응성의 종으로의 재결합이 억제된다고 믿어진다. 그 결과는 주어진 챔버에 대해 희석되지 않은 플라즈마를 사용하여 얻어지는 것보다 더 빠른 세척 또는 에칭 프로세스이다.
다른 실시예에서, 원격 플라즈마 발생기로부터의 에칭 플라즈마는 에칭 혼합물을 형성하기 위해 희석된다. 에칭 혼합물은 프로세싱 챔버내에서 프로세스 웨이퍼상의 막을 에칭하기 위하여 사용된다. 프로세스 웨이퍼의 직경 전체에서의 에칭 프로파일 및 에칭 속도의 제어는 에칭 혼합물내의 희석물의 양을 선택함으로써 얻어진다.
이하에서는, 본 발명의 그 이점들 및 특징들과, 본 발명의 실시예들에 대해서 도면을 참조하여 상세히 설명하고자 한다.
1.도입
본 발명은 세척 플라즈마 및 희석물 가스의 혼합물을 챔버내로 흘려보내기 전에 원격 플라즈마 발생기에 형성된 세척 플라즈마를 희석함으로써 증착 챔버를 보다 완전하고 균일하며 빠르게 세척한다. 특정 실시예에서, 산화 실리콘 막을 기판상에 증착시키기 위해 사용되는 증착 시스템은 그 후에 증착 챔버로 도입되기 전에 이원자 질소 가스(N2)로 희석되는, 원격 형성된 삼불화 질소(NF3) 플라즈마의 혼합물을 사용하여 세척된다. PECVD 시스템은, 예를 들어, 테트라에틸오르토실란 ("TEOS") 또는 실란을 증착 가스로써 사용한다. 원격 마이크로웨이브 플라즈마 발생기는, 가스 인입구 노즐을 통하여 증착 챔버로 혼합물을 흘려보내기 전에, 희석되지 않은 NF3 또는 다른 세척 또는 에칭 프리커서 가스를 N2 또는 다른 일반적으로 비반응성인 희석물 가스로 희석되는 세척 플라즈마로 효율적으로 변환시킨다. 세척 프로세스는 200mm 웨이퍼들과 함께 사용되는 증착 시스템들 상에서 사용될 수 있고, 300mm 증착 시스템들 상에서 사용되도록 비율조정될 수 있다. 희석물의 유량을 변화시키는 것은 시스템의 에칭 프로파일을 변화시키고 에칭 속도를 증가시키며 챔버 세척에 필요한 시간을 감소시킨다.
다른 실시예에서, 프로세스 웨이퍼는 원격 플라즈마 발생기에서 발생되고 그 후 웨이퍼를 에칭하기 위해 챔버내로 도입되기 전에 N2로 희석되는 에칭 플라즈마를 사용하여 에칭된다. 희석물 유량은 웨이퍼를 가로지르는 에칭 프로파일 및/또는 에칭 속도를 제어하기 위하여 선택될 수 있는 반면, 에칭 플라즈마 프리커서 유량은 플라즈마 변환 효율을 최적화하거나 및/또는 프리커서 유량을 보존하기 위하여 선택된다.
2. 예시적인 기판 프로세싱 시스템
본 발명의 방법이 수행될 수 있는 하나의 적당한 CVD 장치가 도 1a에 나타나 있으며, 도 1a는 챔버 벽(15a) 및 챔버 리드(lid) 어셈블리(15b)를 포함하는 진공 챔버 또는 프로세싱 챔버(15)를 가지는 CVD 시스템(10)의 간략도이다. 챔버 벽(15a) 및 챔버 리드 어셈블리(15b)는 도 1b및 1c의 확대 사시도에서 더 자세히 나타나 있다. 도 1c에 나타난 챔버 리드 어셈블리는 본 발명의 실시예에 적용되기에 적당하고, 예로써 나타나 있다. 도 5a는 본 발명에 따른 챔버 리드를 부가적으로 상세하게 나타낸다.
CVD 시스템(10)은 프로세스 가스들을 프로세싱 챔버내의 받침대(12)상에 놓 인 기판(미도시)으로 분산시키기 위한 가스 분배 "매니폴드"(manifold;11)를 포함한다. 받침대는 알루미늄, 알루미나 또는 질화 알루미늄과 같은 세라믹, 또는 다른 물질들 및 물질들의 결합들로 만들어질 수 있다. 받침대는 프로세싱동안 기판을 가열할 내장된 저항 히터(미도시) 또는 고주파("RF") 전극 및/또는 서셉터와 같은 다른 구조들을 포함할 수 있다. 내장된 저항 히터를 위해서 다양한 구성들이 사용될 수 있다. 바람직한 실시예에서, 단일 엘리먼트는 평행한 동심원들의 형태로 두개의 완전한 회전을 만든다. 외부 전원(미도시)까지 받침대 하부를 통과하는 스템(12c)내의 전선들을 통하여 가열 엘리먼트로 전력이 공급된다.
프로세싱의 동안, 기판(예:반도체 웨이퍼)은 받침대(12)의 평평한(또는 약간 볼록한) 표면(12a)상에 위치된다. 받침대는 하부 로딩/오프-로딩 위치와 매니폴드(11)와 가까이 인접하는 상부 프로세싱 위치(점선(14)에 의해 표시) 사이에서 제어 가능하게 이동할 수 있다. 중심판(미도시)은 받침대의 위치에 관한 정보를 제공하는 센서들을 가진다.
도 1a에서는 단순화를 위해 미도시 되었지 도 1c에서는 참조 번호 13b로 나타난, 평평한 원형 가스 분배 "면판"(faceplate;13a)의 뚫린 구멍들을 통하여 챔버(15)내로 가스들이 유입된다. 보다 상세하게는, 증착 프로세스 가스들은 인입구 매니폴드(11), 관통된 블록커(blocker) 판(42), 그 다음 가스 분배 면판(13a)의 구멍을 통하여 챔버내로 유입된다.
가스 패널(6)은 혼합 블록(9)으로의 가스 공급 라인들(8)을 통하여 가스를 매니폴드(11)로 공급하는 가스 소스들 7a-d를 포함하는데 가스들은 혼합블록에서 블록커 판(42) 및 면판(13a)을 통하여 챔버(15)로 흐르기 전에 혼합된다. 각 프로세스 가스를 위한 공급 라인은 전형적으로 가스 분배 통로를 따라 여러 포인트들에 있는 안전 차단 밸브들(미도시)을 포함하는데, 그것은 가스의 흐름을 국부 또는 원격 제어를 통하여 자동 또는 수동으로 차단한다. 가스 패널은 또한 매스 흐름 제어기들(미도시) 또는 공급 라인을 통하여 챔버로 흐르는 가스의 흐름을 제어하는 다른 디바이스들을 포함한다. 당업자들은 도 1a에서 나타난 구성은 단지 예시적인 것이고, 예를 들어, 더 많거나 적은 가스 소스들이 특정의 응용을 위해 사용될 수 있으며 구성에 대한 다른 조정들도 적절할 수 있다는 것을 이해한다.
CVD 시스템(10)은 열 CVD 프로세스 또는 플라즈마-강화 CVD("PECVD") 프로세스를 수행하도록 구성될 수 있다. PECVD 프로세스들은 인시튜 형성된 플라즈마 또는 원격 플라즈마 발생기(27)에서 형성된 플라즈마를 사용할 수 있다. 인시튜 PECVD 프로세스에서, RF 전원(44)은, 면판(13a)과 받침대의 표면(12a) 사이의 원통형 영역 내에 플라즈마를 형성하기 위해, 가스 분배 면판(13a)과 받침대(12) 사이에 RF 전력과 같은 전력을 인가한다.(이 영역은 여기에서 "반응 영역"으로 언급될 것이다.) 플라즈마의 구성 성분들은 반응하여 받침대상에서 지지되는 반도체 웨이퍼 표면상에 원하는 막을 형성한다.
RF 전원(44)은 13.56MHz의 높은 RF 주파수(RF1) 및/또는 360KHz의 낮은 RF 주파수(RF2)에서 전력을 공급할 수 있는 혼합 주파수 공급기이다. 플라즈마를 형성하기 위해 혼합된 높고 낮은 주파수들을 사용하는 것은 진공 챔버(15)로 유입되는 반응 종의 분해를 강화한다.
원격 플라즈마 프로세스에서, 가스 소스(7a)로 부터의 프로세스 가스는 원격 플라즈마 발생기(27)로 공급된다. 마이크로웨이브 소스(28)는 가스 분배 면판(13a)를 통하여 챔버내로 흐르는 플라즈마를 형성하기 위하여 마이크로웨이브 에너지로 플라즈마 챔버(29)를 조사(irradiate)한다. 원격 플라즈마 발생기에서 플라즈마가 형성될 때, 고 에너지 포톤 및 열과 같은 플라즈마 형성의 전형적인 부산물은 프로세스 웨이퍼나 증착 챔버 내부에 직접적으로 영향을 미치지는 않는다. 더욱이, 일부 플라즈마 프리커서 가스들은, 인시튜 플라즈마 시스템의 더 낮은 주파수보다 원격 마이크로웨이브 플라즈마 발생기에서 보다 효율적으로 분해된다.
열처리에서 프로세스 가스 혼합물은, 반응을 위한 열 에너지를 공급하기 위하여 가열되는 받침대(12)상에 지지되는 반도체 웨이퍼의 표면상에 바람직한 막들을 증착시키기 위하여 반응한다. 웨이퍼상에 바람직한 막의 형성을 더 촉진 또는 제어하기 위하여 플라즈마 강화 CVD 프로세스들이 웨이퍼를 가열할 수 있다고 또는 웨이퍼가 가열될 수 있다고 이해된다.
배기 통로(23) 및 차단 밸브(24)와 같은 주변 구조들 및 증착 챔버의 벽들의 온도는 챔버 벽들 내의 채널들(미도시)을 통한 열교환액의 순환에 의해 또한 제어될 수 있다. 열교환액은 챔버벽들을 바람직한 효과에 따라 가열하거나 냉각하기 위하여 사용될 수 있다. 예를 들어, 인시튜 플라즈마 프로세스 동안 차가운 액체가 시스템으로부터 열을 제거하거나 챔버의 벽들상에 증착 생성물들의 형성을 제한하기 위해 사용될 수 있는 반면, 열 증착 프로세스 동안 뜨거운 액체는 고른 열 경도를 유지하도록 도울 수 있다. 가스 분배 매니폴드(11)는 열 교환 통로들(18)을 가진다. 전형적인 열교환액들은 수계(water-based) 에틸렌글리콜 혼합물, 유계(oil-based) 열전달 액체들 또는 유사한 액체들이다. "열교환자"에 의한 가열로 언급되는 이 가열은 바람직하지 않은 반응 산물들의 응축을 감소시키거나 제거하고, 프로세스 가스들의 휘발성 산물들 및, 차가운 진공 통로들의 벽들상에 응축되고 가스 흐름이 없는 기간 동안 프로세싱 챔버내로 되돌아간다면 프로세스를 오염시킬 수 있는, 다른 오염물들의 제거를 개선시킨다.
반응 부산물들을 포함하는, 층에 증착되지 않는 가스 혼합물의 나머지는 진공 펌프(30)에 의해 챔버로부터 배기된다. 특히, 가스들은 반응 영역을 둘러싸는 환형 슬롯형 오리피스(16)을 통하여 고리 모양 배기 플레넘(17)으로 배기된다. 고리 모양 슬롯형 구멍(16) 및 플레넘(17)은 챔버의 원통형 측면벽(15a)(벽상의 상부 절연 라이닝을 포함함)의 상부와 원통형 챔버 리드(20)의 하부 사이의 틈으로 한정된다. 슬롯 구멍(16) 및 플레넘(17)의 원형 대칭 및 균일성은 웨이퍼상에 균일한 막을 증착시키기 위하여 웨이퍼상으로의 본질적으로 균일한 프로세스 가스들의 흐름을 만든다.
배기 플레넘(17)으로부터, 가스들은 배기 플레넘(17)의 측면 연장 부분(21)의 아래로, 뷰잉 포트(viewing port)(미도시)를 지나고, (본체가 하부 챔버벽(15a)과 통합된)진공 차단 밸브(24)를 지나며 배기 출구(25)내로 흐른다. 배기 출구(25) 및 포어라인(foreline;31) 사이의 스로틀 밸브(32)는 챔버내의 선택된 압력 및/또는 가스 흐름을 유지하도록 조정될 수 있다. 일부 프로세스들이나 프로세스 단계에서, 스로틀 밸브의 위치는 압력 센서(미도시)로부터 제어기(34)로의 피드백 신호에 따라 정해진다. 다른 프로세스들이나 프로세스 단계에서는, 피드백 루프는 디스에이블되고 스로틀 밸브는 고정된 위치로 정해진다. 스로틀 밸브를 완전히 여는 것에 의해 최대 펌핑 속도가 제공된다. 그러므로, 진공 펌프가 일정 속도로 작동하는 동안, 챔버상의 배기 드로인(draw)은 스로틀 밸브로 조정될 수 있다.
모터(32)에 의해 동작되는 승강 메커니즘은 히터 받침대 어셈블리를 상승시키거나 하강시킨다. 받침대가 하강될 때, 웨이퍼 승강핀들(12b)은 승강핀판(33)과 접하고 웨이퍼를 받침대의 표면(12a)으로부터 들어올린다. 웨이퍼 핀들(12b)은 웨이퍼들을 챔버 측면의 삽입/제거 구멍(26)을 통하여 이송하기에 적당한 높이에 위치한다. 로보트 블레이드(미도시)가 챔버들 사이 또는 챔버 및 웨이퍼 카세트 사이에서 웨이퍼들을 이송할 수 있다. 웨이퍼를 탑재한 후, 모터는 웨이퍼를 선택된 프로세싱 위치로 들어올린다.
시스템 제어기(34)는 다양한 서브시스템들 및 증착 시스템(10)의 메커니즘들을 제어한다. 웨이퍼 위치 시스템, 가스 공급 시스템, 챔버 압력 시스템, 가열 시스템들 및 플라즈마 시스템들은 컴퓨터 판독 가능 메모리(38)에 저장된 프로그램(70)에 따라 시스템 제어기(34)에 의해 제어된다. 시스템 제어기로 및 그로부터의 신호들은 제어 라인들(36)을 통해 전달되며, 제어 라인들은 단순화를 위해 그 일부만이 도시된다. 제어기(34)는, 제어기(34)의 제어하의 적절한 모터들에 의해 움직이는 받침대(12) 및 스로틀 밸브(32)와 같은 이동 가능 기계 어셈블리들의 위치를 결정하기 위해, 광센서들과 같은 센서들로부터의 피드백 신호들에 의존한다.
메모리(38)는 하드 드라이브, 읽기 전용 메모리, 임의 접근 메모리, 플로피 디스크 드라이브 또는 다른 적절한 저장 장치를 포함할 수 있다. 프로세서(37)는 싱글 보드 컴퓨터(SBC), 아날로그 및 디지털 입출력 보드들, 인터페이스 보드들 및 스텝 모터 제어기 보드들을 포함한다. CVD 시스템(10)의 다양한 부분들은, 보드와 카드 케이지 및 커넥터 크기들 및 타입들을 정의하는 베사 모듈러 유럽(Versa Modular European;VME) 규격에 따른다. VME 규격은 또한 16비트 데이터 버스 및 24비트 어드레스 버스를 가지는 것과 같은 버스 구조를 정의한다.
시스템 제어기는 시스템 제어 소프트웨어를 실행하는데, 이는 범용 증착 시스템을 특정 증착 시스템으로 구성하기 위해 컴퓨터가 판독할 수 있는 메모리(38)내에 저장된 컴퓨터 프로그램(70)이다. 바람직하게는, 메모리(38)는 하드디스크 드라이브이나, 메모리(38)는 다른 종류의 메모리일 수도 있다. 컴퓨터 프로그램은, 타이밍, 가스들의 혼합, 챔버 압력, 챔버 온도, RF 전력 레벨, 받침대 위치 및 특정 프로세스의 다른 파라미터들을 명령하는, 명령들의 집합을 포함한다. 예를 들어, 플로피 디스크 또는 다른 적절한 드라이브를 포함하는, 다른 메모리 디바이스들상에 저장된 다른 컴퓨터 프로그램들도 제어기(34)를 작동시키기 위하여 또한 사용될 수 있다.
도 1d는, 당업계에서 알려진 바와 같이, 모니터(50a) 및 프로세서(미도시)에 연결된 광펜(light pen)(50b)을 나타낸다. 모니터는 사용자와 제어기 사이의 사용자 인터페이스로의 역할을 한다. 모니터는 음극선관("CRT")이고, 광펜을 통해 받아들이는 사용자 입력뿐만 아니라 시스템 상태 및 다른 정보도 표시한다. 도 1d에서 나타난 바와 같이, 기판 프로세싱 시스템은 하나 이상의 챔버를 포함할 수 있고, 모니터는 시스템내의 각 또는 어느 챔버들과 관련된 정보를 표시한다. 바람직한 실시예에서, 두개의 모니터(50a)가 사용되는데, 하나는 조작자를 위해 청정실 벽(52)에, 다른 것은 서비스 기술자들을 위하거나 다른 목적에서 청정실벽 뒤와 같은 먼 위치에 탑재된다. 모니터들(50a)은 동일 정보를 동시에 전시하지만, 오직 하나의 광펜(50b)만이 허용된다. 광펜(50b)의 끝에 있는 광센서는 CRT 디스플레이에 의해 방사되는 빛을 검출한다. 특정 스크린이나 기능을 선택하기 위해, 조작자는 디스플레이 스크린의 지정된 영역을 접촉하고 펜(50b)상의 버튼을 누른다. 광펜과 디스플레이 스크린 사이의 통신을 확인하면서, 접촉된 영역이 그 특히 밝은 부분을 바꾸거나 새로운 메뉴나 스크린이 표시된다. 키보드, 마우스 또는 다른 포인팅 또는 통신 디바이스와 같은 다른 디바이스들이 사용자를 제어기와 통신할 수 있도록 하기 위해, 광펜의 대신이나 그에 부가하여 사용될 수 있다.
막을 증착하기 위한 프로세스는 제어기에 의해 실행되는 컴퓨터 프로그램 제품을 사용하여 구현될 수 있다. 컴퓨터 프로그램 코드는 ,예를 들어, 68000 어셈블리 언어, C, C++, 파스칼, 포트란 또는 다른 것들과 같은 어떤 종래의 컴퓨터 판독 가능한 프로그래밍 언어로 쓰여질 수 있다. 적절한 프로그램 코드가 종래의 텍스트 에디터를 사용하여 단일 파일 또는 복수 파일들로 입력되고, 컴퓨터의 메모리 시스템과 같은 컴퓨터가 사용 가능한 매체에 저장 또는 내장된다. 입력된 코드 텍스트가 하이 레벨 언어로 되었다면, 코드는 컴파일되고 그 다음 결과 컴파일러 코드는 프리컴파일된 WINDOWSTM 라이브러리 루틴들의 오브젝트(object) 코드와 링크된다. 링크되고 컴파일된 오브젝트 코드를 실행하기 위하여, 시스템 사용자는 컴퓨터 시스템이 메모리내의 코드를 로드하게 함으로써, 오브젝트 코드를 불러낸다. CPU는 그 다음, 범용 증착 시스템을 바람직한 프로세스를 수행하기에 적절한 증착 시스템으로 구성하는 프로그램 내에서 확인된 일들을 수행하기 위하여, 코드를 읽고 실행한다.
도 2는 특정 실시예에 따른, 시스템 제어 소프트웨어, 컴퓨터 프로그램(70)의 계층 제어 구조의 예시적 블록도이다. 광펜 인터페이스를 사용하여, 사용자는 메뉴들 또는 CRT 모니터상에 표시된 스크린들에 응하여 스크린 프로세스 세트 번호 및 프로세스 챔버 번호를 프로세스 선택자 서브루틴(73)에 입력한다. 프로세스 세트들은 특정 프로세스들을 수행하기 위해 필요한 프로세스 파라미터들의 예정된 세트들이고 미리 정의된 세트 번호들에 의해 확인된다. 프로세스 선택자 서브루틴(73)은, 바람직한 프로세스를 수행하기 위한 프로세스 챔버를 구성하기 위해 필요한, 바람직한 프로세스 파라미터 세트 및 바람직한 프로세스 챔버를 확인한다. 특정 프로세스를 수행하기 위한 프로세스 파라미터들은, 프로세스 가스 구성 및 유속들, 온도, 압력, RF 전력 레벨들 및 주파수와 같은 플라즈마 조건들, 냉각 가스 압력 및 챔버벽 온도와 같은 프로세스 조건들에 관련있다. 이러한 파라미터들은 사용자에게 레시피(recipe)의 형태로 제공되고, 광펜/CRT 모니터 인터페이스를 사용하여 입력된다.
프로세스를 모니터링하는 신호들은 시스템 제어기의 아날로그 및 디지털 입 력 보드들에 의해 제공되고, 프로세스를 제어하는 신호들은 CVD 시스템(10)의 아날로그 및 디지털 출력 보드들상에 출력된다.
프로세스 시퀀서 서브루틴(75)은 확인된 프로세스 챔버 및 프로세스 선택자 서브루틴(73)으로부터의 프로세스 파라미터들의 세트를 받아들이고 다양한 프로세스 챔버들의 작동을 제어하기 위한 프로그램 코드로 구성된다. 다중 사용자들이 프로세스 세트 번호들 및 프로세스 챔버 번호들을 입력하거나 하나의 사용자가 다중의 프로세스 세트 번호들 및 프로세스 챔버 번호들을 입력할 수 있고, 따라서 시퀀서 서브루틴(75)은 바람직한 시퀀스내에서 선택된 프로세스들을 계획(schedule)하기 위하여 작동한다. 바람직하게, 시퀀서 서브루틴(75)은 챔버가 사용되는지를 결정하기 위하여 프로세스 챔버들의 동작을 모니터링하고 사용되는 챔버들내에서 어떤 프로세스들이 수행중인지를 결정하며 프로세스 챔버의 유용성 및 수행될 프로세스의 타입에 기초하여 바람직한 프로세스를 수행하는 단계들을 수행하는 프로그램 코드를 포함한다. 폴링(polling)과 같은 프로세스 챔버들을 모니터링하는 종래의 방법들이 사용될 수 있다. 어느 프로세스가 수행될 지를 계획할 때, 선택된 프로세스를 위한 바람직한 프로세스 조건들, 또는 각 특정 사용자가 입력한 요구의 "에이지(age)", 또는 계획 우선권들을 결정하기 위해 시스템 프로그래머가 포함하기를 원하는 다른 어느 적절한 요인과 비교하여, 시퀀서 서브루틴(75)은 사용되는 프로세스 챔버의 현재 조건을 참작한다.
일단 시퀀서 서브루틴(75)이 어느 프로세스 챔버 및 프로세스 세트 조합이 다음에 실행될 지를 결정하면, 시퀀서 서브루틴(75)은, 특정 프로세스 세트 파라미터들을 챔버 매니저 서브루틴(77a-c)으로 전달함으로써, 프로세스 세트의 실행을 시작하는데, 상기 매니저 서브루틴은 시퀀서 서브루틴(75)에 의해 결정되는 프로세스 세트들에 따라 프로세스 챔버내의 다중 프로세싱 작업들을 제어한다. 예를 들어, 챔버 매니저 서브루틴(77a)은 프로세스 챔버내의 CVD 프로세스 동작들 및 스퍼터링을 제어하는 프로그램 코드로 구성된다. 챔버 매니저 서브루틴(77)은 선택된 프로세스 세트를 수행하기 위해 필요한 챔버 구성 요소들의 동작을 제어하는 다양한 챔버 구성 요소 서브루틴들의 실행을 또한 제어한다. 챔버 구성 요소 서브루틴들의 예들은, 기판 위치 서브루틴(80), 프로세스 가스 제어 서브루틴(83), 압력 제어 서브루틴(85), 히터 제어 서브루틴(87) 및 플라즈마 제어 서브루틴(90)이다. 어떤 프로세스들이 프로세스 챔버에서 수행될 지에 따라 다른 챔버 제어 서브루틴들이 포함될 수 있다는 것을 당업자들은 쉽게 알 것이다. 작동중에, 챔버 매니저 서브루틴(77a)은 실행될 특정 프로세스 세트에 따른 프로세스 구성 요소 서브루틴들을 선택적으로 계획하거나 부른다. 챔버 매니저 서브루틴(77a)은, 시퀀서 서브루틴(75)이 어느 프로세스 세트 및 프로세스 챔버가 다음에 수행될 것인지를 계획하는 것과 매우 비슷하게, 프로세스 구성 요소 서브루틴들을 계획한다. 전형적으로, 챔버 매니저 서브루틴(77a)은 다양한 챔버 구성 요소들을 모니터링하는 단계, 실행될 프로세스 세트들을 위한 프로세스 파라미터들에 기초하여 어느 구성 요소들이 작동될 필요가 있는지를 결정하는 단계 및 상기 모니터링 및 결정 단계들에 답하는 챔버 구성 요소 서브루틴의 실행을 야기하는 단계를 포함한다.
특정 챔버 구성 요소 서브루틴들의 작동은, 이제 도 1a 및 1d를 참조하여 설명될 것이다. 기판 위치 서브루틴(80)은 기판을 받침대(12)상으로 탑재시키고, 선택적으로, 기판과 가스 분배 매니폴드(11) 사이의 간격을 제어하기 위해 챔버(15)내에서 기판을 바람직한 높이로 들어올리기 위하여 사용되는, 챔버 구성 요소들을 제어하는 프로그램 코드로 구성된다. 기판이 프로세스 챔버(15)내로 탑재될 때, 받침대(12)는 기판을 받기 위해 낮춰지고, 그 후, CVD 프로세스동안 가스 분배 매니폴드로부터 제 1 거리 또는 간격으로 기판을 유지하기 위해 받침대(12)는 챔버내에서 바람직한 높이로 올려진다. 작동중에, 기판 위치 서브루틴(80)은 챔버 매니저 서브루틴(77a)으로부터 전달되고 지지 높이에 관련된 프로세스 세트 파라미터들에 응답하여 받침대(12)의 이동을 제어한다.
프로세스 가스 제어 서브루틴(83)은 프로세스 가스 구성 및 유속들을 제어하는 프로그램 코드를 가진다. 프로세스 가스 제어 서브루틴(83)은 안전 차단 밸브들의 위치를 제어하고, 또한 바람직한 가스 유속을 얻기 위해 대량 흐름 제어기("MFC")들을 위나 아래로 램프(ramp)한다. 프로세스 가스 제어 서브루틴(83)은, 모든 챔버 구성 요소 서브루틴들처럼, 챔버 매니저 서브루틴(77a)에 의해 불러내지고, 챔버 매니저 서브루틴으로부터 바람직한 가스 유속들에 관련된 프로세스 파라미터들을 받는다. 전형적으로, 프로세스 가스 제어 서브루틴(83)은 가스 공급 라인들을 열고, 반복적으로 (i)MFC를 통한 실제 유속을 지시하는 적절한 대량 흐름 제어기들로부터의 피드백 신호를 읽고, (ii)읽은 것들을 챔버 매니저 서브루틴(77a)으로부터 받은 바람직한 유속들과 비교하며, (iii)가스 공급 라인들의 유속을 필요한 만큼 조정함으로써 작동된다. 또한, 프로세스 가스 제어 서브루틴(83)은 불안전한 속도들에 대한 가스 유속들을 모니터링하고 불안전한 조건이 검출될 때 안전 차단 밸브들을 활성화하는 단계들을 포함한다.
일부 프로세스들에서, 반응 프로세스 가스들이 유입되기 전에 챔버내의 압력을 안정시키기 위해 헬륨 또는 아르곤과 같은 불활성 기체가 챔버(15)내로 유입된다. 이러한 프로세스들에서, 프로세스 가스 제어 서브루틴(83)은, 챔버내의 압력을 안정시키기 위해 필요한 양의 시간동안 불활성 가스를 챔버(15)내로 유입시키는 단계들을 포함하도록 프로그램되고, 그 다음 위에서 설명된 단계들이 수행될 것이다. 부가적으로, 프로세스 가스가, 예를 들어, 테트라에틸오르토실란("TEOS")인 액체 프리커서로부터 기화될 때, 거품 어셈블리내의 액체 프리커서를 통해 헬륨과 같은 배달(delivery) 가스를 거품내기 위한 단계들 또는 헬륨이나 질소와 같은 반송 가스를 액체 주입 시스템내로 유입시키는 단계를 포함하기 위하여 프로세스 가스 제어 서브루틴(83)이 쓰여진다.
압력 제어 서브루틴(85)은 챔버의 배기 시스템내의 스로틀 밸브의 개구 크기를 조절함으로써 챔버(15)내의 압력을 제어하기 위한 프로그램 코드로 구성된다. 스로틀 밸브의 개구 크기는 챔버 압력을, 전체 프로세스 가스 흐름, 프로세스 챔버의 크기 및 배기 시스템을 위한 펌핑 세트포인트 압력에 관하여 바람직한 레벨로 챔버 압력을 제어하도록 정해진다. 압력 제어 서브루틴(85)이 불려질 때, 바람직한 또는 타겟 압력 레벨은 챔버 매니저 서브루틴(77a)으로부터의 파라미터로서 얻어진다. 측정값(들)과 타겟 압력을 비교하고 타겟 압력에 상응하는 저장된 압력 테이블로부터의 PID(proportional, integral 및 differential)값들을 얻으며, 압력 테이 블로부터 얻은 PID값들에 따라 스로틀 밸브를 조정하기 위해, 압력 제어 서브루틴(85)은 챔버에 연결된 하나 또는 그 이상의 종래 압력계들을 판독함으로써 챔버(15)내의 압력을 측정하기 위하여 작동된다. 대안으로, 챔버(15)를 바람직한 압력으로 조절하기 위한 특정 개구 크기로 스로틀 밸브를 열거나 닫기 위해 압력 제어 서브루틴(85)은 쓰여질 수 있다.
히터 제어 서브루틴(87)은 받침대 표면(12a)상의 기판을 가열하기 위해 사용되는 히터로의 전류를 제어하기 위한 프로그램 코드로 구성된다. 히터 제어 서브루틴(87)은 또한 챔버 매니저 서브루틴(77a)에 의해 불려지고 타겟 또는 세트포인트 온도 파라미터를 받는다. 히터 제어 서브루틴(87)은 받침대(12)에 위치하는 열전대의 전압 출력을 측정하고, 측정된 온도를 세트 포인트 온도와 비교하며, 세트포인트 온도를 얻기 위해 히터에 공급되는 전류를 적절히 증가 또는 감소시킴으로써 온도를 측정한다. 온도는, 저장된 변환 테이블내의 상응하는 온도를 찾거나 4차 다항식을 사용하여 온도를 계산함으로써, 측정된 전압으로부터 얻어진다. 내장된 루프 가열 엘리먼트가 받침대(12)를 가열하기 위하여 사용될 때, 히터 제어 서브루틴(87)은 가열 엘리먼트에 인가된 전류의 위/아래로의 램프를 점진적으로 제어한다. 부가적으로, 내장 오류-안전 모드가 프로세스 안전 순응을 검출하기 위해 포함될 수 있고, 프로세스 챔버(15)가 적절히 설치되지 않은 경우 가열 유닛의 작동을 차단할 수 있다.
챔버 관리자 서브루틴(77a)에 의해 불려지는 플라즈마 제어 서브루틴(90)은 인시튜 플라즈마 시스템 및 원격 플라즈마 시스템을 제어하기 위한 프로그램 코드 를 포함한다. 플라즈마 제어 서브루틴은 챔버(15)내의 프로세스 전극들에 인가되고 인시튜 플라즈마 프로세스에서 사용되는 저주파 RF 주파수를 설정하기 위한 저주파 및 고주파 RF 전력 레벨들을 설정할 수 있다. 바람직한 실시예에서, 원격 플라즈마 시스템(27)은 전원(28)으로 마그네트론을 사용한다. 마그네트론은 전형적으로 고정된 주파수, 예를 들어 2.45GHz의, 고정된 출력 전력 레벨에서 작동한다; 그러나, 공동(cavity)(29)에 전달된 전력은 마그네트론의 듀티사이클을 조정함으로써 제어될 수 있다. 플라즈마 제어 서브루틴은 그러므로 선택된 방식으로 반복적으로 마그네트론을 끄고 키기 위한 코드를 포함할 수 있다.
위의 리액터 설명은 주로 예시적 목적을 위한 것이고, 전자 사이클로트론 공진(ECR) 플라즈마 CVD 디바이스들, 유도 결합된 RF 고밀도 플라즈마 CVD 디바이스들, 또는 그런 종류의 다른 것과 같은 다른 플라즈마 CVD 설비가 사용될 것이다. 부가적으로, 받침대 디자인, 히터 디자인, RF 전력 주파수들, RF 전력 연결들 및 다른 것들과 같은, 위에서 설명한 시스템의 변화들이 가능하다. 예를 들어, 웨이퍼는 서셉터에 의해 지지되고 석영 램프들에 의해 가열될 수 있다. 그러한 본 발명의 층을 형성하기 위한 방법 및 층은 어느 특정 장치 또는 어느 특정 플라즈마 여기 방법에 한정되지 않는다.
3. 예시적인 프로세스 흐름
도 3은 본 발명에 따른 세척 프로세스(300)의 예를 나타내는 간략화된 흐름도이다. 세척 프로세스(300)는 전형적으로 하나 이상의 기판 프로세싱 작동들이 완결되고 기판이 기판 프로세싱 챔버밖으로 이송된 후에 발생한다. 프로세스(300)는 NF3과 같은 세척 플라즈마 프리커서를 원격 마이크로웨이브 공동으로 흘려보내는데(단계 302), 프리커서를 플라즈마를 형성하는 플라즈마 종들로 분리하기 위해, 세척 플라즈마 프리커서는 마이크로웨이브 에너지로 조사된다(단계 304). 플라즈마 종들은 높여진 에너지 상태의 원자나 분자들, 이온들, 라디칼들 및/또는 다른 입자들을 포함할 수 있다. 이때, 일반적으로는 블록커 판과 가스 박스 사이, 그러나 일부 실시예에서는 가스 분배 면판과 블록커 판 사이에 형성된 플레넘에서, 플라즈마가 가스 분배 면판을 통하여 챔버내로 유입되기 전에(단계 308), 플라즈마는 질소와 같은 가스로 희석된다(단계 306). 조사된 후, 플라즈마 종들은 전형적으로, 압력, 플라즈마 조성 및 플라즈마와 접한 표면들의 성질과 같은 몇 가지 조건들에 따라 감소한다(안정된 분자들로 결합 또는 재결합, 포톤이나 포논 에너지를 방출 등). 이때 강화된 속도로 더욱 완전하게, 챔버는 희석된 플라즈마로, 산화 실리콘 유리와 같은 증착물로부터 세척된다(단계 310). 그것은 희석된 플라즈마는 유사한 시스템에서의 희석되지 않은 플라즈마보다 챔버 세척에 있어 더 효율적이라는 것이다.
본 발명자들은 200mm 기판 프로세싱 챔버에서 사용했던 것과 동일한 원격 마이크로웨이브 소스를 사용하는, 300mm 기판 프로세싱 챔버를 위한 챔버 세척 프로세스를 개발하려는 노력으로 도 3a에 설명된 챔버 세척 프로세서를 개발했다. 200mm 챔버 세척 프로세스는 원격 플라즈마 발생기 공극을 통하여 흐르는 NF3으로부터 세척 플라즈마를 형성하기 위해 약 1.5kW(최대)의 마이크로웨이브 전력을 생산하는 마그네트론을 사용했다.
NF3는 약 1-1.5 Torr(프로세스 챔버에서 측정) 사이의 압력에서 약 700sccm의 속도로 흘렀다. 세척 플라즈마는 가스 분배 면판과 같은 관련 구조들 및 증착 챔버의 내부 표면들로부터 산화 실리콘 유리 증착물들을 제거하기 위해 사용되었다.
300mm 웨이퍼들을 프로세스 하기 위해 구성된 세척 챔버들을 위한 유사한 세척 프로세스를 개발하는 것이 바람직했다. 우선, 본 발명자들은 200mm 챔버들을 세척하기 위해 사용되었던 것과 동일한 세척 프로세스를 사용하려고 시도했다. 이 프로세스는, 그러나, 연장된 기간동안 세척 동작을 실행했음에도 불구하고 완전한 챔버의 세척을 얻는 데에는 실패했다. 세척후, 300mm 웨이퍼들상에 산화 실리콘 유리를 증착시키기 위해 사용된 가스 분배 면판은 두 별개의 지역들을 가졌다. 가스 분배 면판의 중심으로부터 약 100mm 연장된 제 1 지역은 깨끗한 금속으로 보인다. 대략 제 1 지역의 주위로부터 가스 분배 면판의 주위까지 연장된 제 2 지역은 가스 분배 면판의 표면상 및 그 구멍들내에 흰 가루의 찌꺼기를 가졌다. 흰 가루의 덩어리들은 주위 배기 채널내에도, 특히 배기 포트 구멍들 근처에서, 또한 존재했다.
발명자들은 챔버내로 흐르는 세척 플라즈마 종들이 300mm 챔버 세척에 있어 바람직한 세척을 효율적으로 수행하기에 충분한 농도로 반응 지역의 외부 가장자리 및 배기 구조들에 이르지 않는다는 것을 알아냈다. 발명자들은 원격 플라즈마 발생 공극으로의 NF3의 유속을 증가시키려고 시도했으나, 이것은 원격 플라즈마 시스템의 전력 전송 특성들 및 공극의 임피던스를 변화시켰다. 플라즈마 발생의 효율을 감소시키는 것에 부가하여, 에천트 가스(NF3)의 흐름의 증가는 증가된 유출 흐름 및 증가된 원료를 야기한다. 세척 플라즈마 프리커서들은 전형적으로 불소와 같은 할로겐들을 포함하고, 그래서 이러한 종류의 화합물들을 이용하는 프로세스의 유출 흐름을 제한하는 것이 바람직하다는 것을 주의해야한다.
도 4는 고정된 가스 유속에서 마이크로웨이브 전력에 대한 에칭 속도를 나타내는 간략화된 그래프(102)이다. 원격 플라즈마 시스템을 위한 주어진 고정된 전력 예산에서, 일관되고 반복 가능한 세척 프로세스들을 제공하는 동안 오직 필요한 것에만 조사하는 것이 바람직하다. 그러므로 마이크로웨이브 플라즈마 발생기는 "포화 모드"에서 작동된다. 포화 모드는 마이크로웨이브 전력의 증가에 대해 에칭 속도가 증가하지 않는 점(104)이다. 이 점에서는 마이크로웨이브 전력을 더 인가하는 것이 더 효과적인 세척 플라즈마를 야기하지 않는다고 추정된다.
놀랍게도, 세척 플라즈마를 플라즈마 발생기로부터의 비반응성 가스 다운스트림으로 희석시키는 것이 300mm 증착 시스템을 적절히 세척하고 또한 전체 세척 시간을 감소시킨다는 것이 발견됐다. 한 세트의 조건들 하에서, 희석 가스를 사용하는 세척 프로세스는, 희석 가스 없는 유사한 프로세스가 걸리는 시간의 단지 1/5만을 필요로 했다. 특히, 도핑되지 않은 실리콘 유리의 1000Å 막은, 희석 가스 없이 원격 발생된 플라즈마를 사용하여 제거되는데 300초를 필요로 한다. 세척 플라즈마가 플라즈마 발생기와 기판 사이의 희석 가스 흐름으로 희석될 때, 유사한 챔버 조건들 하의 유사한 막은 제거되는데 단지 70초만을 필요로 한다. 더욱이, 희석 가스를 사용하는 세척 프로세스는 개선된 펌핑 채널로부터의 찌꺼기 세척을 보여준다.
세척 플라즈마를 희석하는 것이 세척 프로세스를 개선시킬 수 있다는 것이 반직관적으로 보이지만, 적어도 두개의 이유에서 개선들이 발생할 것으로 생각된다. 제 1, 희석 가스를 주입하는 것은, 플라즈마와 희석물이 섞이는 점과 챔버 배기 시스템 사이의 (주어진 챔버 압력에서의) 유속을 증가시킨다. 증가된 유속은 불소 라디칼들이 이원자 불소로 결합하거나 그렇지 않으면 반응하기 전에, 플라즈마내의 더 많은 불소 라디칼들을 증착 시스템의 외부 가장자리로 수송할 수 있다. 그러나, 다양한 세척 프로세스들 사이에 챔버 압력이 일정하게 유지될 필요는 없다. 챔버벽들 또는 다른 표면들이 불소 라디칼들의 감소를 가속시킬 수 있고, 더 낮은 유속은 더 높은 농도 경도를 지지할 것이라는 것도 또한 주의할만 하다. 두번째, 비반응성 희석 가스는, 두 라디칼들이 결합할 가능성을 낮추면서 희석된 플라즈마내의 불소 라디칼들의 분포를 변화시키고, 따라서 이러한 세척 종들의 수명을 증가시킬 수 있다.
도 5a는 블록커 판(42)을 통하여 흐르기 전에 질소와 세척 플라즈마가 섞이기 위하여 어떻게 플레넘(60)으로 공급되는 지에 대한 간략화된 표현이다. 특정한 실시예에서, 질소 흐름은 700sccm이고, 원격 플라즈마 발생기로의 세척 프리커서, 예. NF3, 의 흐름과 본질적으로 동일하다. 그러나, 위에서 열거되고 설명된 가스 흐름들은 캘리포니아, 산타클라라소재 어플라이드 머티리얼즈(APPLIED MATERIALS,INC.)에 의해 제조되고 300mm 웨이퍼들을 위해 공급된 DxZTM 챔버에서 실행되는 증착 프로세스를 위해 최적화된다. DxZTM 챔버는 300 CENTURATM 기판 프로세싱 시스템에서 사용되었고, 어플라이드 머티리얼즈로부터 입수할 수 있다. 그러나, 이러한 시스템들은 단지 예일 뿐이다. 예를 들어, 챔버는 200mm 웨이퍼들 또는 다른 기판들을 위해 구성될 수 있고, 챔버는 P5000TM 시스템 또는 PRODUCERTM 시스템과 같은 어플라이드 머티리얼즈에서 역시 입수할 수 있는 다른 시스템들과 함께 사용될 수 있으며, 또는 다른 프로세싱 설비 제조자들로부터 입수할 수 있는 다른 챔버들 및 시스템들이 사용될 수 있다. 당업자는 프로세스 가스내의 다양한 프리커서 가스들이 유입되는 속도는 부분적으로 챔버 특성이고, 다른 디자인 및/또는 부피의 챔버들이 사용된다면 변할 것이라는 것을 인식할 것이다. 또한, 당업자는 위에서 예들로서 제공된 프리커서, 희석물 및 속도들이 산화 실리콘 유리를 에칭 또는 세척하는 것과 관련있다는 것을 알 것이다. 다른 프리커서들, 희석물들 및/또는 속도들은 질화 실리콘과 같은 다른 유전 물질들이나 심지어 폴리실리콘, 실리사이드 및 금속들과 같은 전도 물질들의 세척 또는 에칭 속도 및/또는 균일성을 강화하기 위해 적절할 것이다.
일반적으로, 프로세싱 챔버의 외부 주위까지 세척 종의 고농도를 유지하는 것이 바람직하듯이, 희석물이 플라즈마내의 세척 종들과 결합하거나 세척 종들의 감소를 촉진하지 않는 것이 바람직하다. 개선된 세척 결과들은, 플라즈마를 플레넘의 입구에 도달할 때까지 희석물로부터 분리되게 유지하면서 플라즈마를 원격 플라즈마 발생기(27)로부터 플레넘(60)으로 운반하는 세라믹 분리 튜브(62)를 사용하여 얻어진다. 분리 튜브(62)는 가스 박스(11) 및 혼합 블록(9)내의 구멍을 통하여 삽입된다. 희석물 가스(N2)는 입력 매니폴드를 통하여 혼합 블록(9)으로 흐르나; 희석물 가스는 이 시점에서 플라즈마와 혼합되지 않는다. 대신, 희석물 가스는 플레넘(60)에서 혼합되기 위해, 분리 튜브에 의해 플라즈마와 분리되어 동축방식으로 흐른다. 세라믹 튜브의 외부 직경은, 혼합 블록 및 가스 박스를 통하고 희석물 흐름을 허용하는 구멍 또는 희석물 도관(conduit)보다 약간 작다. 튜브는 세라믹 이외의 물질들일 수 있으나 세라믹은 세척 플라즈마에 의한 공격에 저항한다. 부가적으로, 세라믹 물질은, 예를 들어 금속 튜브와 비교될 때, 표면 재결합 또는 플라즈마내의 세척 종들의 반응을 감소시킨다고 믿어진다.
희석물 가스 흐름은 플라즈마 흐름의 주변을 둘러싸는 고리 모양 형식으로 제공되고 가스 박스(11)와 블록커 판(42) 사이의 플레넘(60)에서 혼합된다. 플라즈마 및 희석물 가스를 플레넘에 이러한 형식으로 공급하는 것은 혼합 균일성을 개선하고 에칭 및 세척을 개선한다. 혼합물은 이때 블록커 판(42) 및 가스 분배 면판(이 도면에서 미도시)을 통하여 흐르는데, 양자는 가스-플라즈마 혼합물을 챔버내로 유입되기 전에 분산시킨다. 대안의 실시예에서, 분리 튜브는 블록커 판을 통하여, 블록커 판과 면판 사이의 플래넘으로 연장된다. 그 이전이 아니라 플라즈마 형성후 또는 플라즈마 형성중에 희석물을 플라즈마와 혼합하는 것은, 세척 프리커서의 흐름을 공동의 크기들, 마그네트론의 전력 출력 및 작동 압력에 맞추면서, 원격 플라즈마 발생기가 최적의 방식으로 작동되도록 한다. 이것은 다시, 유출 흐름내에 변환되지 않은 프리커서가 최소인 채로, 프리커서가 효율적으로 플라즈마로 변환된다는 것을 보증한다. 플라즈마를 형성하기 위한 최적 플라즈마 밀도는; 그러나, 웨이퍼 에칭이나 챔버 세척을 위한 최적 플라즈마 밀도일 필요는 없다. 원격 마이크로웨이브 플라즈마 발생기는 세척 가스 프리커서들의 전환 효율과, 아르곤과 같은 타격 가스는 일반적으로 플라즈마를 시작하는데 필요하지 않다는 것 때문에 바람직하다. 그러나, 원격 RF 플라즈마 발생기와 같은 다른 플라즈마 시스템들은 사용될 수 있다.
도 5b는 도 5a에 따른 웨이퍼 프로세싱 시스템의 한 부분의 간략도이다. 세라믹 튜브(62)는 혼합 블록(9)과 가스 박스(11)를 통하여 삽입된다. 원격 플라즈마 발생기(미도시)는 플렌지(flange;64)에 연결된다.
도 6a는 원격 플라즈마 발생기로부터의 희석되지 않은 플라즈마(110) 및 희석된 플라즈마(112)에 대한 블록커 판(42)으로부터 면판을 가로지르는 불소 라디칼의 분포라고 믿어지는 것의 간략화된 표현이다. 단지 설명의 용이성을 위해, 희석된 플라즈마(116)에 의해 세척된 영역이 공칭 300mm 면판의 영역을 나타내는 반면, 희석되지 않은 플라즈마(114)에 의해 세척된 영역은 공칭 200mm 면판을 나타낸다.
도 6b는 받침대 표면(12a)의 직경을 가로지르는 거리에 대한 에칭 속도의 간략도(120)이다. 희석화되지 않은 플라즈마(122)의 에칭 속도는 받침대 표면의 직경을 가로질러 희석된 플라즈마(124)의 에칭 속도보다 더 낮고 더 비균일하다. 희석된 플라즈마의 에칭 특성들은 양쪽 효과들에 대해 더 바람직하다. 에칭 균일성은 세척 프로세스 또는 웨이퍼 에칭 프로세스 중 어느 프로세스가 오버에칭없이 바람직한 영역에 거쳐 완전하게 수행되는 것을 보장하기 위해 바람직하다. 더 높은 에칭 속도는 특정 프로세스를 완결하는데 필요한 시간을 낮추고 그리하여 프로세싱 설비의 수율(throughput)을 개선하기 위해 바람직하다.
도 7a는 300mm 웨이퍼의 웨이퍼 중심으로부터의 거리에 대한 에칭 속도의 간략화된 그래프이다. 250mil 두께의 실란계 도핑되지 않은 실리콘 유리막이 공칭 300mm 테스트 웨이퍼들상에 증착되었다. 웨이퍼들은 원격 마이크로웨이브 플라즈마 발생기를 가진 300 CENTURATMDxZTM 시스템이었다. 모든 샘플들을 위해 700sccm의 유속으로 NF3가 사용되었고 스로틀 밸브는 이 그룹내의 모든 샘플들을 위해 완전히 열렸다. 기준 시간 동안 에칭가 수행되고 유리막의 두께가 다수의 점들로 측정된다. 하나의 데이터 점이 각 웨이퍼의 중심에서 취해진다. 열여섯개의 데이터 점들이 중심으로부터 50mm의 반경에서 측정되었고 33 점들이 중심으로부터 100mm의 반경에서 측정되었으며 40 데이터 점들이 중심으로부터 147mm의 반경에서 측정되었다. 가장 낮은 곡선(80)은 희석되지 않은 플라즈마의 에칭 속도를 나타낸다. 희석되지 않은 플라즈마에 대한 평균 에칭 속도는 약 7100A/min이다. 다음 곡선(82)은 700sccm의 헬륨 희석물 흐름을 사용하는 에칭 속도를 나타낸다. 700sccm의 질소 희석물 흐름을 가지는 에칭 속도 곡선(84)은 700sccm의 아르곤 희석물 흐름을 가지는 에칭 속도의 곡선(86)보다 단지 약간 더 낮고, 따라서 질소가 바람직한 희석물이다. 놀랍게도, 1400sccm의 질소 희석물 흐름을 가지는 에칭 속도(88)는 700sccm의 에칭 속도보다 웨이퍼 중심에서 상당히 더 높다; 그러나, 1400sccm 희석물 유속에서는 에칭 속도들의 범위가 더 크고, 따라서 700sccm의 희석물 유속에서 우월한 에칭 균일성이 얻어진다. 물론, 더 높은 희석물 유속이 더 작은 기판들과 같은 다른 조건들 하에서는 증가된 에칭 속도를 사용하기 위해 더 바람직할 수도 있다. 비교의 목적으로, 700sccm의 질소 희석물 유속을 사용하는 평균 에칭 속도는 10894A/min이었고 1400sccm의 질소 희석물 흐름에선 11129A/min이었다.
도 7b는 다양한 프로세스 조건들이 에칭 속도상에서 가지는 효과를 예시하는, 웨이퍼 중심으로부터의 거리에 대한 에칭 속도의 간략화된 그래프이다. 세개의 곡선들(81A,81B 및 81C)은 각각 챔버 압력이 3 Torr, 1.5 Torr일 때 및 스로틀 밸브가 완전히 열렸을 때의 희석되지 않은 플라즈마의 에칭 속도를 나타낸다. 그러므로, 에칭 프로세스는 스로틀 밸브가 완전히 열린 위치에 고정된 채로 압력 제어 피드백없이 수행될 수 있다. 그러나, 높고 균일한 에칭 속도는 스로틀 밸브가 부분적으로 열린 세팅에서 고정될 때 얻어진다는 것이 결정되었다.
곡선 83A는 스로틀 밸브가 완전히 열린 1400sccm의 질소 희석물 흐름을 사용한 에칭 속도를 나타낸다. 곡선 83B는 스로틀 밸브가 26% 열리게 고정된 1400sccm의 질소 희석물 흐름을 사용한 에칭 속도를 나타낸다.
유사하게, 곡선 85A는 챔버 압력이 3 Torr로 제어된 700sccm의 아르곤 희석물을 사용한 에칭 속도를 나타낸다. 비교해볼 때, 곡선 85B는 스로틀 밸브가 완전히 열릴 때 700sccm의 아르곤 희석물 흐름을 사용한 에칭 속도를 나타내고, 곡선 85C는 스로틀 밸브가 26% 열리게 고정된 때 700sccm의 아르곤 희석물 흐름을 사용하는 에칭 속도를 나타낸다. 곡선 89A는 스로틀 밸브가 완전히 열렸을 때 700sccm 흐름의 헬륨 희석물을 사용하는 에칭 속도를 나타내고, 곡선 89B는 스로틀 밸브가 26% 열릴 때 700sccm 흐름의 헬륨 희석물을 사용하는 에칭 속도를 나타낸다.
마지막으로, 곡선 87A는 챔버 압력이 3T로 제어될 때 700sccm 흐름의 질소를 사용하는 에칭 속도를 나타내고, 곡선 87B는 스로틀 밸브가 완전히 열릴 때 700sccm 흐름의 질소를 사용하는 에칭 속도를 나타내며, 곡선 87C는 스로틀 밸브가 26%열릴 때 700sccm 흐름의 질소를 사용하는 에칭 속도를 나타낸다.
이 데이터는 우수한 에칭 성능을 얻기 위해 다양한 희석 가스들이 사용될 수 있다는 것을 보여준다. 유사하게, 우수한 에칭 성능은 챔버 압력 및/또는 배기 시스템 동작과 같은 다양한 챔버 조건들을 통해 얻어진다. 특정 실시예에서, 희석물 흐름은 대략 세척 가스 흐름과 같은데, 300mm 시스템에 대해 각 약 700sccm이고, 스로틀 밸브는 26% 열리게 고정된다.
본 발명자들에 의해 개발된 원격 플라즈마 희석 프로세스는 챔버벽들을 세척하는 것에 추가로 기판들을 에칭하기 위하여 사용될 수 있다. 기판 에칭 프로세스에서 사용될 때, 본 발명은 기판 표면을 가로질러 개선된 에칭 균일성을 허용한다. 도 3b는 본 발명에 따른 기판 에칭 프로세스(320)를 나타내는 간략화된 흐름도이다. 도 3a에서 나타난 챔버 세척 프로세스(300)와 대조적으로, 에칭 프로세스(320)는 기판 프로세싱 챔버내의 가판에서 일어난다. 프로세스(320)는 NF3와 같은 에천트 플라즈마 프리커서를 원격 마이크로웨이브 공극으로 흘리는데(단계 322), 그곳에서 프리커서를 플라즈마 종들로 분리하고 플라즈마를 형성하기 위하여, 에천트 플라즈마 프리커서는 마이크로웨이브 에너지로 조사된다(324). 가스 분배 면판을 통하여 챔버내로 유입되기 전에(단계 328) 도 3a를 참조하여 위에서 설명된 바와 같이, 플라즈마는 그때 질소와 같은 가스로 희석된다(단계 326). 프로세스 웨이퍼는 희석된 플라즈마로 에칭된다(단계 330). 플라즈마 희석은 개선된 에칭 속도와 개선된 에칭 균일성뿐만 아니라 에칭 속도와 에칭 균일성에 추가적인 정도의 제어를 제공한다.
4.예시적인 디바이스 구조
도 8은 본 발명의 방법을 사용하여 제조될 수 있는 집적 회로(500)의 간략화된 단면도를 예시한다. 집적 회로(500)는, 실리콘 웨이퍼, 갈륨-비소 웨이퍼 또는 다른 웨이퍼와 같은, 반도체 웨이퍼상에 제작될 수 있다. 도 8에 나타난 바와 같이, 집적 회로(500)는 NMOS 및 PMOS 트렌지스터들(503 및 5060)을 포함하는데, 그것들은 필드 산화물 지역(507)에 의해 서로 분리되고 전기적으로 격리된다. 각 트렌지스터(503) 및 (506)은 소스 지역(508), 게이트 지역(509) 및 드레인 지역(510)으로 구성된다.
프리메탈(premetal) 유전층(511)은 트렌지스터들(503 및 506)을 금속층 M1으로부터 분리하는데, 금속층 M1과 트렌지스터들 사이에 접촉부(512)에 의한 연결들이 있다. 금속층 M1은 집적 회로(500)에 포함된, M1-M4의 네 금속층 중 하나이다. 각 금속층 M1-M4는 각 금속간(intermetal) 유전체층들(IMD)(513A-C)에 의해 인접하는 금속층으로부터 분리된다. 인접한 금속층들은 비아들(514)을 거쳐 선택된 구멍들에 연결된다. 평면 패시베이션층(515)이 금속층(M4) 위에 놓인다.
본 발명의 실시예들은 유전체층들을 통하는 접촉 비아들의 에칭, 유전체층들을 평탄화, 후방 유전체층들의 에칭 및/또는 유사한 프로세스 단계들에 있어 유용할 수 있고, 적절한 플라즈마 프리커서 및 희석물의 선택과 함께 다른 타입의 물질들에 적용될 수 있다. 간략화된 집적 회로(500)는 단지 예시적인 목적을 위해서라는 것이 이해되어야한다. 당업자는 마이크로프로세서, 응용 주문형 집적 회로, 메모리 디바이스 등과 같은 다른 집적 회로들의 제작을 위해 본 기술을 실행할 수 있을 것이다. 부가적으로, 본 발명의 방법은 BiCMOS,NMOS,바이폴라 등과 같은 다른 기술들을 사용한 집적 회로들의 제작에 사용될 수 있다.
위의 발명이 NF3으로 형성된 세척 플라즈마의 관점에서 설명되었지만, C2F6, C3F8, CF4, F2, O2, Cl2 및 ClF3 과 같은 다른 세척 플라즈마 프리커서들도 적용 가능하다. 부가적으로, 원격 플라즈마 시스템이 약 2.45GHz에서 작동되는 마그네트론 소스의 관점에서 설명되었지만, 연속파 마이크로웨이브와 같은 다른 타입의 소스들 또는 고주파 소스들이 사용될 수 있다. 그러므로, 본 발명의 방법은 위에서 상술된 특정 파라미터들 및 예들에 의해 제한되도록 의도되지 않는다. 당업자는 발명의 정신으로부터 벗어나지 않고 다른 프로세싱 조건들 및 다른 반응 소스들이 사용될 수 있다는 것을 알 수 있을 것이다. 이러한 등가물 또는 대안들은 본 발명의 범위내에 포함되도록 의도된다. 다른 변화들도 당업자에게 명백할 것이다. 따라서, 첨부된 청구범위에서 제공된 것을 제외하고 발명을 제한하도록 의도되지 않는다.

Claims (19)

  1. 증착 챔버로부터 유전 물질의 증착물들을 세척하는 방법으로서,
    세척 플라즈마 프리커서(precursor)를 원격 플라즈마 발생기로 유동시키는 단계;
    상기 증착 챔버의 표면들로부터 상기 유전 물질의 증착물들을 세척하기에 적절한 세척 플라즈마를 형성하기 위하여 상기 원격 플라즈마 발생기내의 세척 플라즈마 프리커서를 조사(irradiate)하는 단계;
    상기 세척 플라즈마를 상기 원격 플라즈마 발생기로부터 플레넘으로 유동시키는 단계;
    강화된 에칭 특성의 에칭 혼합물을 형성하기 위해 희석물 가스 유동 대 상기 세척 플라즈마 프리커서의 유동의 선택된 비율로 상기 세척 플라즈마를 비반응성 희석물 가스와 혼합하는 단계 - 상기 선택된 비율은 약 1:1 내지 2:1 사이임 - ; 및
    상기 증착 챔버의 표면들로부터 상기 유전 물질을 제거하기 위해 상기 세척 혼합물을 상기 증착 챔버로 유동시키는 단계
    를 포함하는 증착물 세척 방법.
  2. 제 1항에 있어서, 상기 유전 물질은 산화 실리콘 유리를 포함하고, 상기 비반응성 희석물 가스는 질소를 포함하며, 상기 세척 플라즈마 프리커서는 불소-함유 화합물을 포함하는 것을 특징으로 하는 증착물 세척 방법.
  3. 제 1항에 있어서, 상기 세척 혼합물의 유동은 상기 증착 챔버내에서 약 1 Torr 이상의 압력을 유지하는 것을 특징으로 하는 증착물 세척 방법.
  4. 제 1항에 있어서, 상기 세척 플라즈마 프리커서의 유동은 챔버 체적의 1리터당 약 80sccm 이상인 것을 특징으로 하는 증착물 세척 방법.
  5. 기판 프로세싱 시스템의 에칭 프로파일을 제어하는 방법으로서,
    제 1 선택 유속으로 플라즈마 프리커서를 원격 플라즈마 발생기로 유동시키는 단계;
    상기 원격 플라즈마 발생기내의 상기 플라즈마 프리커서로부터 에칭 플라즈마를 형성하는 단계;
    상기 에칭 플라즈마를 플레넘으로 유동시키는 단계;
    상기 에칭 플라즈마를 희석하고 에칭 혼합물을 형성하기 위해 제 2 선택 유속으로 희석물 가스를 상기 플레넘으로 유동시키는 단계
    를 포함하는 에칭 프로파일 제어 방법.
  6. 제 5항에 있어서, 상기 제 1 선택 유속은 상기 원격 플라즈마 발생기의 변환 효율에 따라 선택되는 것을 특징으로 하는 에칭 프로파일 제어방법.
  7. 프로세스 웨이퍼를 에칭하기 위한 방법으로서,
    상기 프로세스 웨이퍼를 프로세싱 챔버내에 위치시키는 단계;
    에칭 플라즈마 프리커서를 원격 플라즈마 발생기로 흘려주는 단계;
    에칭 플라즈마를 형성하기 위해 상기 원격 플라즈마 발생기내의 에칭 플라즈마 프리커서를 조사(irradiate)하는 단계;
    상기 원격 플라즈마 발생기로부터 가스 혼합 영역으로 상기 에칭 플라즈마를 유동시키는 단계;
    에칭 혼합물을 형성하기 위해 상기 플라즈마 프리커서의 유동 대 희석물 가스 유동의 선택된 비율로 상기 에칭 플라즈마를 희석물 가스와 혼합하는 단계; 및
    상기 프로세스 웨이퍼를 에칭하기 위해 상기 에칭 혼합물을 상기 프로세싱 챔버로 유동시키는 단계
    를 포함하는 프로세스 웨이퍼 에칭 방법.
  8. 제 7항에 있어서, 상기 가스 혼합 영역은 챔버 리드의 블록커 판과 가스 박스 사이에 형성된 플레넘인 것을 특징으로 하는 프로세스 웨이퍼 에칭 방법.
  9. 제 7항에 있어서, 상기 희석물의 유동은, 상기 가스 혼합물 영역에 대한 인입구에서 에칭 플라즈마의 유동과 동축(coaxial)을 이루는 것을 특징으로 하는 프로세스 웨이퍼 에칭 방법.
  10. 제 7항에 있어서, 상기 에칭 플라즈마 프리커서는 NF3를 포함하는 것을 특징으로 하는 프로세스 웨이퍼 에칭 방법.
  11. 제 7항에 있어서, 상기 희석물 가스는 N2를 포함하는 것을 특징으로 하는 프로세스 웨이퍼 에칭 방법.
  12. 제 7항에 있어서, 상기 프로세스 웨이퍼는 상기 프로세스 웨이퍼의 표면상에 유전체층을 가지고 상기 에칭 혼합물이 상기 유전체층을 에칭하는 것을 특징으로 하는 프로세스 웨이퍼 에칭 방법.
  13. 제 12항에 있어서, 상기 유전체층은 산화 실리콘 유리를 포함하는 것을 특징으로 하는 프로세스 웨이퍼 에칭 방법.
  14. 제 7항에 있어서, 상기 플라즈마 프리커서의 유동 대 희석물 유동의 선택된 비율이 약 1:1인 것을 특징으로 하는 프로세스 웨이퍼 에칭 방법.
  15. 프로세스 웨이퍼를 에칭하는 방법으로서,
    산화 실리콘 유리의 막을 가지는 상기 프로세스 웨이퍼를 프로세싱 챔버내에 위치시키는 단계;
    제 1 유속으로 NF3을 원격 마이크로웨이브 플라즈마 발생기로 유동시키는 단계;
    에칭 플라즈마를 형성하기 위해 상기 원격 마이크로웨이브 플라즈마 발생기내의 NF3을 조사하는 단계;
    상기 원격 마이크로웨이브 플라즈마 발생기로부터 가스 박스와 블록커 판(blocker plate) 사이에 형성된 플레넘으로 상기 에칭 플라즈마를 유동시키는 단계;
    제 2 유속으로 N2 가스를 상기 플레넘으로 유동시키는 단계 - 상기 제 2 유속은 상기 제 1 유속과 본질적으로 동일하며, 상기 N2의 유동이 플레넘의 인입구에서 에칭 플라즈마의 유동과 본질적으로 동축을 이루는 단계;
    에칭 혼합물을 형성하기 위해 상기 플레넘에서 상기 에칭 플라즈마를 상기 N2가스와 혼합하는 단계; 및
    상기 산화 실리콘 유리의 막을 에칭하기 위해 상기 프로세싱 챔버내로 상기 에칭 혼합물을 유동시키는 단계
    를 포함하는 프로세스 웨이퍼 에칭 방법.
  16. 기판 프로세싱 장치 리드(lid)로서,
    가스 분산판;
    플레넘이 상기 가스 분산판과 리드 본체 사이에 형성되는 리드 본체;
    플라즈마 배출구를 가진 플라즈마 발생기;
    상기 플라즈마 배출구에 연결된 플라즈마 인입구와 상기 플레넘에 연결된 튜브 출구를 가진 분리 튜브; 및
    희석물 도관(conduit)을 포함하며,
    상기 희석물 도관의 일부분은 분리 튜브와 동축을 이루며 상기 플레넘에 연결되고 상기 튜브 출구에 대해 환형인 희석물 배출구를 가지는, 기판 프로세싱 장치 리드.
  17. 제 16항에 있어서, 상기 분리 튜브는 세라믹으로 구성된 것을 특징으로 하는 기판 프로세싱 장치 리드.
  18. 증착 챔버의 표면들로부터 유전 물질의 증착물을 세척하기 위한 기판 프로세싱 장치로서,
    (a)프로세싱 챔버;
    (b)세척 플라즈마 프리커서를 원격 플라즈마 발생기로 공급하고 희석물 가스를 혼합 블록(9)으로 공급하도록 구성된 가스 공급 시스템 - 상기 원격 플라즈마 발생기의 배출구는 상기 가스 혼합 블록의 입력에 연결되고 상기 가스 혼합 블록의 배출구가 상기 프로세싱 챔버에 연결됨 - ;
    (c)상기 프로세싱 챔버에 연결되고 상기 프로세싱 챔버로의 유속이 약 1400sccm에 이를 때, 챔버 압력을 약 1 Torr 이상으로 유지할 수 있는 배기 시스템;
    (d)상기 가스 전달 시스템, 상기 원격 플라즈마 발생기 및 상기 배기 시스템을 제어하도록 구성된 제어기; 및
    (e)상기 제어기에 연결되고, 상기 기판 프로세싱 장치의 작동을 지시하기 위하여 컴퓨터-판독가능 프로그램이 내장된 컴퓨터 판독 가능 매체를 포함하는 메모리를 포함하고, 상기 컴퓨터-판독가능 프로그램은,
    (i)상기 가스 공급 시스템이 상기 세척 플라즈마 프리커서 가스를 제 1 유속으로 상기 원격 플라즈마 발생기로 유동시키는 것을 제어하기 위한 제 1 컴퓨터 명령 세트,
    (ii)상기 가스 전달 시스템이 상기 희석물 가스를 제 2유속으로 상기 가스 혼합물 블록으로 유동시키는 것을 제어하기 위한 제 2 컴퓨터 명령 세트 - 세척 혼합물을 형성하기 위한 상기 제 2 유속 대 상기 제 1유속의 비율이 2:1 이하임 - ; 및
    (iii)상기 배기 시스템이 상기 프로세싱 챔버내의 압력을 약 1 Torr 이상으로 유지시키도록 하는 제 3 컴퓨터 명령 세트를 포함하며,
    상기 프로세싱 챔버내의 상기 압력이 상기 세척 혼합물을 상기 가스 혼합 블록으로부터 상기 프로세싱 챔버로 유동시키는, 기판 프로세싱 장치.
  19. 제 18항에 있어서, 상기 제 3 컴퓨터 명령 세트는 상기 배기 시스템이 상기 프로세싱 챔버내의 상기 압력을 약 1-1.5 Torr 사이에서 유지시키도록 제어하는 것을 특징으로 하는 기판 프로세싱 장치.
KR1020010021408A 2000-04-21 2001-04-20 희석 원격 플라즈마 세척 KR100801377B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/553,694 US6329297B1 (en) 2000-04-21 2000-04-21 Dilute remote plasma clean
US09/553,694 2000-04-21

Publications (2)

Publication Number Publication Date
KR20010098778A KR20010098778A (ko) 2001-11-08
KR100801377B1 true KR100801377B1 (ko) 2008-02-05

Family

ID=24210363

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010021408A KR100801377B1 (ko) 2000-04-21 2001-04-20 희석 원격 플라즈마 세척

Country Status (5)

Country Link
US (1) US6329297B1 (ko)
EP (1) EP1148533A3 (ko)
JP (1) JP2002100624A (ko)
KR (1) KR100801377B1 (ko)
TW (1) TW505953B (ko)

Families Citing this family (452)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
WO2003054247A2 (en) * 2001-12-13 2003-07-03 Showa Denko K.K. Cleaning gas composition for semiconductor production equipment and cleaning method using the gas
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
AU2003235305A1 (en) * 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6852639B2 (en) * 2002-07-31 2005-02-08 Infineon Technologies Ag Etching processing method for a material layer
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7025866B2 (en) * 2002-08-21 2006-04-11 Micron Technology, Inc. Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US7071129B2 (en) * 2002-09-12 2006-07-04 Intel Corporation Enhancing adhesion of silicon nitride films to carbon-containing oxide films
US7781850B2 (en) 2002-09-20 2010-08-24 Qualcomm Mems Technologies, Inc. Controlling electromechanical behavior of structures within a microelectromechanical systems device
US6841141B2 (en) * 2002-09-26 2005-01-11 Advanced Technology Materials, Inc. System for in-situ generation of fluorine radicals and/or fluorine-containing interhalogen (XFn) compounds for use in cleaning semiconductor processing chambers
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US8053700B2 (en) * 2003-04-16 2011-11-08 Mks Instruments, Inc. Applicators and cooling systems for a plasma device
US6872909B2 (en) 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6890597B2 (en) * 2003-05-09 2005-05-10 Applied Materials, Inc. HDP-CVD uniformity control
TW570896B (en) * 2003-05-26 2004-01-11 Prime View Int Co Ltd A method for fabricating an interference display cell
TWI231865B (en) 2003-08-26 2005-05-01 Prime View Int Co Ltd An interference display cell and fabrication method thereof
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050178333A1 (en) * 2004-02-18 2005-08-18 Asm Japan K.K. System and method of CVD chamber cleaning
US20050258137A1 (en) * 2004-03-24 2005-11-24 Sawin Herbert H Remote chamber methods for removing surface deposits
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
KR100580584B1 (ko) * 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
KR101116818B1 (ko) 2004-06-30 2012-02-28 엘지디스플레이 주식회사 플라즈마 화학기상 증착장비
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US7553684B2 (en) * 2004-09-27 2009-06-30 Idc, Llc Method of fabricating interferometric devices using lift-off processing techniques
US20060065622A1 (en) * 2004-09-27 2006-03-30 Floyd Philip D Method and system for xenon fluoride etching with enhanced efficiency
US7684104B2 (en) 2004-09-27 2010-03-23 Idc, Llc MEMS using filler material and method
US7161730B2 (en) * 2004-09-27 2007-01-09 Idc, Llc System and method for providing thermal compensation for an interferometric modulator display
US20060144819A1 (en) * 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
US20060144820A1 (en) * 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
KR100698066B1 (ko) * 2004-12-30 2007-03-23 동부일렉트로닉스 주식회사 건식식각시 막질의 변화를 감지하는 시스템 및 방법
KR100655588B1 (ko) 2004-12-31 2006-12-11 동부일렉트로닉스 주식회사 건식 식각 장비의 자체 세척 방법
TWI505473B (zh) * 2005-01-28 2015-10-21 Semiconductor Energy Lab 半導體裝置,電子裝置,和半導體裝置的製造方法
US7795061B2 (en) 2005-12-29 2010-09-14 Qualcomm Mems Technologies, Inc. Method of creating MEMS device cavities by a non-etching process
US7759600B2 (en) 2006-03-15 2010-07-20 Samsung Austin Semiconductor, L.P. Rupture resistant plasma tube
US7643203B2 (en) * 2006-04-10 2010-01-05 Qualcomm Mems Technologies, Inc. Interferometric optical display system with broadband characteristics
US7417784B2 (en) * 2006-04-19 2008-08-26 Qualcomm Mems Technologies, Inc. Microelectromechanical device and method utilizing a porous surface
US7711239B2 (en) 2006-04-19 2010-05-04 Qualcomm Mems Technologies, Inc. Microelectromechanical device and method utilizing nanoparticles
US8932430B2 (en) * 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US7321457B2 (en) * 2006-06-01 2008-01-22 Qualcomm Incorporated Process and structure for fabrication of MEMS device having isolated edge posts
US7763546B2 (en) 2006-08-02 2010-07-27 Qualcomm Mems Technologies, Inc. Methods for reducing surface charges during the manufacture of microelectromechanical systems devices
US7789965B2 (en) 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US20100178017A1 (en) * 2006-10-06 2010-07-15 Boris Kharas Method for Improving Refractive Index Control in PECVD Deposited a-SiNy Films
US7969096B2 (en) 2006-12-15 2011-06-28 Mks Instruments, Inc. Inductively-coupled plasma source
US7719752B2 (en) 2007-05-11 2010-05-18 Qualcomm Mems Technologies, Inc. MEMS structures, methods of fabricating MEMS components on separate substrates and assembly of same
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
WO2009078921A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate with path splitting manifold
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
US20090246399A1 (en) * 2008-03-28 2009-10-01 Asm Japan K.K. Method for activating reactive oxygen species for cleaning carbon-based film deposition
US7871937B2 (en) 2008-05-16 2011-01-18 Asm America, Inc. Process and apparatus for treating wafers
US20090297731A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Apparatus and method for improving production throughput in cvd chamber
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US7719754B2 (en) * 2008-09-30 2010-05-18 Qualcomm Mems Technologies, Inc. Multi-thickness layers for MEMS and mask-saving sequence for same
US8133555B2 (en) * 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102453885A (zh) * 2010-10-25 2012-05-16 北大方正集团有限公司 一种清洗等离子体反应腔体的方法及系统
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10049881B2 (en) * 2011-08-10 2018-08-14 Applied Materials, Inc. Method and apparatus for selective nitridation process
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9142393B2 (en) 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9631276B2 (en) * 2014-11-26 2017-04-25 Lam Research Corporation Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9920844B2 (en) 2014-11-26 2018-03-20 Lam Research Corporation Valve manifold deadleg elimination via reentrant flow path
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
JP6832858B2 (ja) 2015-01-22 2021-02-24 セルン チェン,チア 非熱ソフトプラズマ洗浄
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102452593B1 (ko) 2015-04-15 2022-10-11 삼성전자주식회사 반도체 장치의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
KR102366749B1 (ko) * 2017-04-28 2022-02-23 어플라이드 머티어리얼스, 인코포레이티드 Oled 디바이스들의 제조에서 사용되는 진공 시스템을 세정하기 위한 방법, oled 디바이스들을 제조하기 위한 기판 상의 진공 증착을 위한 방법, 및 oled 디바이스들을 제조하기 위한 기판 상의 진공 증착을 위한 장치
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11661654B2 (en) 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102587626B1 (ko) * 2018-09-10 2023-10-11 삼성전자주식회사 건식 세정 장치 및 건식 세정 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
JP6736720B1 (ja) * 2019-03-29 2020-08-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバマルチステージミキシング装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11508572B2 (en) * 2020-04-01 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230124246A1 (en) * 2021-10-19 2023-04-20 Applied Materials, Inc. Manifold for equal splitting and common divert architecture

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980042357A (ko) * 1996-11-13 1998-08-17 조셉제이.스위니 반도체 웨이퍼의 고온 처리를 위한 시스템 및 그 방법
KR19980070120A (ko) * 1997-01-14 1998-10-26 하워드네프 원격 플라즈마 소스 세척 기술을 이용하여 실리콘 질화물 증착에서 백색 분말을 감소시키기 위한 방법 및 장치

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2654003B2 (ja) * 1986-06-30 1997-09-17 株式会社東芝 ドライエツチング方法
US4874723A (en) 1987-07-16 1989-10-17 Texas Instruments Incorporated Selective etching of tungsten by remote and in situ plasma generation
US5087434A (en) 1989-04-21 1992-02-11 The Pennsylvania Research Corporation Synthesis of diamond powders in the gas phase
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5302803A (en) 1991-12-23 1994-04-12 Consortium For Surface Processing, Inc. Apparatus and method for uniform microwave plasma processing using TE1101 modes
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5994662A (en) * 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6274058B1 (en) * 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US8075789B1 (en) * 1997-07-11 2011-12-13 Applied Materials, Inc. Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
US6067999A (en) 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980042357A (ko) * 1996-11-13 1998-08-17 조셉제이.스위니 반도체 웨이퍼의 고온 처리를 위한 시스템 및 그 방법
KR19980070120A (ko) * 1997-01-14 1998-10-26 하워드네프 원격 플라즈마 소스 세척 기술을 이용하여 실리콘 질화물 증착에서 백색 분말을 감소시키기 위한 방법 및 장치

Also Published As

Publication number Publication date
TW505953B (en) 2002-10-11
KR20010098778A (ko) 2001-11-08
EP1148533A3 (en) 2003-11-19
EP1148533A2 (en) 2001-10-24
JP2002100624A (ja) 2002-04-05
US6329297B1 (en) 2001-12-11

Similar Documents

Publication Publication Date Title
KR100801377B1 (ko) 희석 원격 플라즈마 세척
US6271148B1 (en) Method for improved remote microwave plasma source for use with substrate processing system
US6361707B1 (en) Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6223685B1 (en) Film to tie up loose fluorine in the chamber after a clean process
KR100611610B1 (ko) Hdp-cvd시스템에서의입자성능을개선하기위한시즈닝프로세스에서의산소대실란비율조절
US6503843B1 (en) Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US8075789B1 (en) Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
JP4176864B2 (ja) 四弗化珪素/酸素の化学作用を用いて低誘電率のSi−O−F膜を堆積させる方法
JP4351304B2 (ja) 化学的及び物理的エッチバックを使用してギャップ埋込み能力を改善する方法
US6149974A (en) Method for elimination of TEOS/ozone silicon oxide surface sensitivity
US20060005856A1 (en) Reduction of reactive gas attack on substrate heater
US20030157812A1 (en) Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using RF power
KR100819096B1 (ko) Peox공정을 진행하는 반도체 제조설비의 리모트 플라즈마를 이용한 세정방법
KR20020016591A (ko) 고밀도 화학 증착에 의한 고 종횡비의 갭 충진을 달성하기위한 화학 가스 사이클링
JP4364438B2 (ja) 高膜品質で水素含有量の低い窒化ケイ素を堆積するプラズマプロセス
US20030066486A1 (en) Microwave heat shield for plasma chamber
US10553409B2 (en) Method of cleaning plasma processing apparatus
US6451686B1 (en) Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
JP2002512440A (ja) 差動プラズマパワーを使用して高アスペクト比ギャップのプロファイルを修正する方法および装置
EP1156511A1 (en) Remote plasma CVD apparatus
US6932092B2 (en) Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US20010042513A1 (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems
EP1054444A1 (en) Process for depositing a porous, low dielectric constant silicon oxide film

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131227

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee