KR100441836B1 - 성막 방법 - Google Patents

성막 방법 Download PDF

Info

Publication number
KR100441836B1
KR100441836B1 KR10-2000-7010752A KR20007010752A KR100441836B1 KR 100441836 B1 KR100441836 B1 KR 100441836B1 KR 20007010752 A KR20007010752 A KR 20007010752A KR 100441836 B1 KR100441836 B1 KR 100441836B1
Authority
KR
South Korea
Prior art keywords
gas
substrate
silicon
film
plasma
Prior art date
Application number
KR10-2000-7010752A
Other languages
English (en)
Other versions
KR20010042227A (ko
Inventor
토모 우에노
Original Assignee
동경농공대학
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경농공대학 filed Critical 동경농공대학
Publication of KR20010042227A publication Critical patent/KR20010042227A/ko
Application granted granted Critical
Publication of KR100441836B1 publication Critical patent/KR100441836B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02249Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by combined oxidation and nitridation performed simultaneously
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Abstract

복수의 원자로 구성된 분자를 기판 상에 적층하거나 혹은 기판원자와 반응시켜 화합물을 제작하는 성막공정에 있어서, 분자의 원자상화에 필요한 에너지보다 더 높은 준안정 에너지 준위를 갖는 불활성 가스와 분자와의 혼합 가스 분위기 안에서 플라즈마를 발생시켜 성막 전에 먼저 분자를 원자상화로 해리시킨다. 그 결과 기판 상에서의 분자의 해리가 불필요하져 성막 공정을 저온화 할 수 있다.

Description

성막 방법 {A METHOD FOR FORMING FILM}
재료, 디바이스 개발에 있어서 성막기술의 역할은 크다. 미세 공정기술의 장점을 구사한 초고집적화의 흐름에 있어서, 특히 ULSI와 같은 전자 디바이스 분야에서 새로운 성막기술을 확립하는 것이 요구된다. 지금까지는 만들고자 하는 막의 구성원소 전부를 외부로부터 공급하는 이른바 CVD 방법들 혹은 외부로부터 공급하는 원소를 기판의 구성원소와 반응하여 성막하는 이른바 열산화법 등이 주로 이용되고 있다. 이들 방법 모두에 있어서, 현재는 외부환경으로부터 공급되는 원소들은 분자상의 형태로 진공용기 내에 도입된다.
최근 소자의 미세화는 그 성막공정에도 크게 제한을 가하고 있으며 특히 공정온도의 저온화 요구가 심각하게 대두되고 있다. 공정온도를 높이는 요인들 중 주요한 것의 하나는 외부로부터 공급하는 원소를 분자상(分子狀)으로 공급하고 있는 것을 들 수 있다. 즉, 성막공정에 있어서 분자 원소들을 구성하는 원자의 일부만이 공급되거나 분자상 원소들로부터 원자가 원소들로 해리되기 위해 필요하다. 종래의 성막기술은 공급된 분자 원소들을 가열된 기판 근처에서 해리시키고, 그래서 해리 에너지를 가열된 기판온도에서 구한다. 그러므로, 성막공정의 온도를 자체적으로낮추는 것은 한계를 갖는다.
구성원소 전부를 외부로부터 공급하고, 기판 상에 증착시키는 상기와 같은 성막기술에 있어서, 공정온도를 낮추기 위해, 스퍼터링 방법이나 혹은 주어진 플라즈마를 채용하는 플라즈마 CVD 방법이 제안되고 있으며 특히 성막공정의 일부에서 사용되고 있다. 전자의 방법은 플라즈마에너지를 이용하여 고체상 타겟을 에칭하고 에칭된 파티클을 기판 상에 증착하는 것이고, 후자는 공급되는 원료가스를 플라즈마 에너지로 분해하여 기판 상에 증착하는 것이다. 이 방법들은 원료물질로부터 원소들을 앞서서 분해하여 기판에 공급하는 것이라는 점에서, 상기의 문제점을 해결하는 데 널리 이용되고 있다.
한편, 외부로부터 공급하는 원소를 기판원자와 반응시켜 성막하는 대표적인 공정인 실리콘 기판의 열산화공정은 MOSFET 게이트 산화막 형성공정으로서 널리 이용될 수 있다. 이 열산화공정은 산소(분자) 분위기에서 실리콘기판을 800℃ 이상의 온도로 유지하는 것에 의해 양질의 게이트 절연막을 손쉽게 형성할 수 있다. 이렇게 형성된 실리콘 산화막을 일반적으로 "열산화막"으로 부른다. 이 방법은 "J. Appl. Phys.", 36권(1965년) 3770쪽의 비.이. 딜과 에이.에스. 그루브의 (B.E. Deal and A.S. Grove)의 글과,"Quick Reference Manual for Silicon Integrated Circuit Technology"라는 제목의 "John Wiley Sons Co. 1985 판의 더블유.이.비들, 제이.시.시 촤이 그리고 알.디. 플루머(W.E. Beadle, J.C.C. Tsai, and R.D. Plummer)의 글에 기술되어 있다. 고온과 고활성화 에너지를 사용하는 주요 이유는 형성되는 실리콘산화막/실리콘기판-경계면이 우수한 전기적 특성을 보여주기 때문이다.
하지만, 스퍼터링 방법 혹은 직접 증착방법으로서의 플라즈마 CVD 법과 같이 저온에서 실리콘 기판 위에 실리콘 산화막을 형성하기 위한 방법들을 중심으로 많은 방법들이 시도되고 있다. 하지만 이들 방법은 계면특성을 기술하는 대표적인 지표인 계면준위밀도(boundary face-level density: Dit)의 값은 일반적으로 극히 낮다. 그 이유는 Dit 값에 직접 영향을 주는 실리콘기판의 댕글링결합(dangling bonds)이 실리콘산화막/실리콘기판경계면 형성 후에도 잔류하기 때문이다. 댕글링결합의 일부는 CVD 방법에서는 수소 원자에 의해 종단되는 경우도 있지만, 그 후의 400℃ 정도의 온도를 필요로 하는 후속공정에서 실리콘원자/수소원자 결합이 자주 쉽게 끊어진다. 따라서, 실리콘 산화막의 저온성장법은 장기신뢰성을 결여하며 LSI의 게이트 산화막을 형성하는 데 적용하기에는 문제가 있다.
더구나, 외부에서 공급하는 원소를 미리 플라즈마 분위기에서 해리시키고 해리된 원소를 기판을 구성하는 원자 원소와 반응시켜 공정온도를 저온화 하는 시도가 있다. 그러나, 일반적으로 각각이 복수의 원자들로 구성되는 많은 분자들이 플라즈마 분위기에 도입될 때, 플라즈마 분위기에서는 극히 넓은 에너지 분포를 갖고 따라서 상기 분자들은 분자상 이온을 포함하여 다양한 활성종들로 변형된다. 이렇게 얻어진 막은 양질을 갖지 못하며, 상기 방법은 가혹한 사용조건을 필요로 하는 MOSFET의 게이트산화막 형성에는 거의 적용되지 않는다.
실리콘 산화막 이외의 절연막으로서는 실리콘 질화막이 상기 게이트 산화막 내지 절연막인 패시베이티브막으로 사용될 수 있다. 실리콘 질화막은 실리콘 산화막의 경우처럼 다양한 방법으로 형성된다. 질화실리콘을 게이트산화막으로 이용하는 경우에는, 상기 막은 바람직하지 않게도 실리콘/질화실리콘-경계면에서 많은 경계면 준위를 가지고 또한 상기 막은 실리콘/산화실리콘/질화실리콘-경계면을 갖도록 형성되는 것이 일반적이다.
최근에 소자 제작에 있어서 일련의 성막공정의 저온화 요구가 심각하게 제기되고 있다. 이러한 요구에 부응하기 위해, 전체 성막공정에서 공통하는 공정온도를 낮추는 기술을 구축하는 것이 과제가 되고 있다.
근래의 수년간, MOSFET의 미세화, 구동전원의 저전압화가 한계에 도달하여 종래의 열산화막으로는 MOSFET의 양호한 특성을 보장할 수 없다. 그 이유중의 하나는 800℃에서 수 십분 동안 고온의 열처리를 하는 것에서 비롯된다. 즉, 미세화에 의한 MOSFET 반도체 중의 불순물 프로파일이 보다 얕고 정밀하게 제어될 필요가 있을 때, 상기와 같은 고온 열처리는 얕고 정밀한 불순물 프로파일을 파괴한다. 앞서 언급한 바와 같이, CVD방법이나 스퍼터링 방법은 수많은 댕글링결합 때문에 절연특성이나 계면특성을 열화시키는 그러한 고온 열처리를 필요로 하지 않는다. 그 결과, MOSFET의 미세화는 고온의 열처리를 허용할 수 없고, 따라서 양질의 절연막은 얻을 수 없다.
더구나, 상기 MOSFET 주변의 환경의 변화에 의해 일어나는 문제가 있다. 생산의 효율화를 위해 대구경의 웨이퍼를 사용하는 것은 대구경 웨이퍼 전면에서 모든 MOSFET의 특성 균일화를 만족시켜야 한다는 과제를 안게 된다. 대구경 웨이퍼에 대응하는 대형 장치로 종래의 열산화법을 이용하여 산화막을 형성시키면, 상기 웨이퍼 표면을 산화시키기 위한 약 1.1eV 정도의 비교적 큰 활성화 에너지가 반응률을 변화시키는데, 이는 가열하는 동안에 온도 변화 때문에 일어난다. 이는 웨이퍼 상에서 균일한 두께를 갖는 산화막을 얻는 것은 어렵다는 것을 의미한다. 한 칩당 MOSFET의 개수를 늘여서 복잡한 계산을 수행하면, 개개의 MOSFET 특성의 변화는 허용될 수 없고 심각하게 된다. 그러므로, 균일한 특성을 갖는 절연막이 웨이퍼상의 많은 MOSFET으로 형성되어야 할 것이다.
저온에서 제작된 절연막을 게이트 산화막으로서 적용하기 위해서는, Dit값을 낮추는 것이 필수적이고, 게이트 산화막의 전기특성을 유지하기에는 고온 공정이 필요하다. 웨이퍼 사이즈가 작고 미세 가공기술도 진전이 없는 상황하에서는 전기적 특성을 우선하기 위해, 고온이고 활성화에너지가 큰 공정이 사용될 수 있지만, 향후에는 미세화 및 웨이퍼 사이즈의 대구경화 경향에 비추어 볼 때, 전기적인 특성을 희생시키지 않고 저온이고 활성화에너지가 작은 공정을 구축할 필요가 있다.
성막공정의 저온화를 실현하기 위해서는, 이 막을 구성하고 있는 원소를 포함하는 분자를 먼저 원자모양으로 해리하여 공급하는 수단이 고려될 필요가 있다. 한편, 복수 원자로 구성되는 분자는, 그 기저상태로서의 분자상태로부터 여기에너지상태를 갖는 경우에는, 분자상태를 유지하는 여기상태(분자여기상태), 분자상태를 유지하는 이온화상태(분자이온화상태), 원자상으로 완전히 해리된 상태(원자상화상태)의 수많은 상태를 취한다. 여기서 분자에 직접 플라즈마 등의 에너지를 공급하면, 에너지가 낮은 순서로 각각이 취하는 상태로 여기 되어간다. 따라서, 예컨대 어떤 상태의 분자원소들이 원자상화상태로 여기될 때, 그들은 반드시 다른 낮은 에너지 상태를 수반한다. 더구나, 원자상화 에너지가 큰 경우에는 어떤 에너지를 공급하여도 그들은 거의 원자상화상태로 여기되지 않는다.
본 발명은 막을 형성하는 방법에 관한 것이다.
분자 원소들을 원자가 원소들로 분해하는 방법에 따르면, 불활성가스분자들은 미리 플라즈마 에너지를 흡수하여 큰 준안정 에너지준위를 가지며, 그후 자신의 에너지를 분자원소들에게 주어서 분자원소들이 곧바로 보다 높은 에너지상태로 여기되고 원자가 원소로 쉽게 해리되도록 한다.
공급에너지를 가지고서 산소분자들을 해리하여 원자상 산소원소를 생산하는 경우에, 산소 분자들은 에너지가 낮은 순서로 O3P, O1D, O3S 등의 상태를 취득한다. 각 상태에서의 산소분자들은 각각 서로 다른 활성화정도를 가지므로, 만약 각종 산화반응에 적용하는 경우에는 상기 분자들은 서로 다른 산화속도나 기구를 보여줄 것으로 예상된다. 다양한 준안정상태 에너지를 갖는 불활성가스 분자들이 산소분자들과 충돌하여 플라즈마를 생성하는 경우, 플라즈마 안에서 생성되는 원자상 산소원소들의 종류를 제어할 수 있게 될 것이다.
분자 원소들을 원자가 원소들로 해리하기 위해, 분자 원소가 아닌 상기 불활성가스 분자들은 플라즈마 에너지를 흡수하고 이에 의해 분자 원소들의 불필요한 여기는 억제된다. 따라서, 불활성 가스는 분자 원소의 양과 같거나 그 이상으로 도입되며 이에 의해 원자가 원소들은 분자 원소들로부터 효과적으로 만들어질 수 있다.
본 발명에 따른 절연막 형성방법에 있어서, 절연막을 구성하는 분자 실리콘 화합물원소들은 원자상화(原子狀化)로 기판 표면 위에 도입된다. 상기 원자상화는 원자가상태에 필요한 에너지보다 더 높은 플라즈마 에너지를 흡수한 불활성가스분자들의 방출에너지에 의해 달성된다. 따라서, 분자 원소들은 분자상 여기, 분자상 이온화 등의 여기상태보다 높은 원자상화 상태로 직접 여기된다. 상기 실리콘 기판은 상기 분자 원소들이 산소분자일 때에는 산화되고, 상기 분자 원소들이 질소분자일 때에는 질화된다. 이러한 반응들은 낮은 활성화 에너지를 가지며, 실리콘 기판상의 그들의 반응온도의 차이에 상관없이 실리콘 기판 위에서 쉽게 수행된다. 나아가, 이러한 반응들은 실리콘-실리콘 결합을 끊고 실리콘-산소 결합 혹은 실리콘-질소 결합을 계속적으로 만들어내므로, 얻어지는 절연막/실리콘기판-경계면은 낮은 댕글링결합을 가지며 낮은 경계면 준위밀도를 가진다. 따라서, 뛰어난 절연특성을 갖는 절연막이 실리콘기판 위에 형성될 수 있다. 결과적으로, 낮은 온도에서 균일하고 뛰어난 특성을 갖는 절연막을 기판 위에 만들 수 있다.
본 발명의 보다 명확한 이해를 위해 첨부도면에 참조번호를 부여하였다.
도 1은 한 종류의 분자원소를 그들의 원자상화 원소로 해리하는 데 이용되는 성막장치의 구성도이다.
도 2는 헬륨분자(He)와 수소분자(H2)의 에너지 상태 및 상태천이에 수반하는 발광을 설명하기 위한 도면이다.
도 3은 헬륨 가스분자들이 도입될 때 수소분자들의 발광스펙트럼을 보여주는 도면이다.
도 4는 세 가지 종류의 분자 원소들을 그들의 원자상화 원소로 해리하는 성막장치의 구성도이다.
도 5는 세 가지 종류의 원료물질 가스와 한 종류의 원자상화 된 한 종류의 분자원소를 사용하는 성막장치의 구성도이다.
도 6은 한 종류의 원료물질 가스와 한 종류의 원자상화 된 분자원소를 사용하는 성막장치의 구성도이다.
도 7은 두 종류의 원자상화 된 분자원소를 이용하는 성막장치의 구성도이다.
도 8은 헬륨 기체분자가 수소분자원소의 양과 같거나 그보다 많은 양이 도입될 때 수소분자원소의 발광 스펙트럼을 보여준다.
도 9는 절연막이 소스와 드레인 영역에서 오프닝을 갖는 그러한 실리콘 기판의 개략적인 단면도이다.
도 10은 고립된 실리콘 기판의 개략적인 단면도이다.
본 발명을 실시하기 위한 바람직한 제1 실시예
이하에서는 첨부한 도면을 참조하여 본 발명을 구체적으로 설명한다.
도 1은 바람직한 실시예에 따른 성막장치의 구성도이다. 이 실시예에서, 헬륨(He)과 수소(H2)의 혼합 플라즈마로부터 고효율로 공급되는 원자상 수소를 이용하여 백금(Pt) 기판 상에 고농도의 수소를 함유한 백금 박막을 제작하는 성막방법에 대하여 설명한다. 도 1에서, "1"은 진공용기이다. 이 진공용기에는 수소와 헬륨의 혼합 가스가 가요성 튜브(2)를 통하여 도입된다. 이 혼합가스는 마이크로파 공동(3)이 취부되어 있는 석영관(4) 내에서 플라즈마 상태로 된다. 마이크로파 공동(3)이 취부되어 있는 석영관(4)은 진공용기(1)의 우측에 장착된 플랜지(5)에 취부될 수도 있다. 진용 용기(1)의 플랜지(5)의 맞은편에 부착되어 있는 분광기(spectroscope)(6)는 플라즈마 내의 발광을 분석할 수 있다. 기판(7)인 백금판이 똑같이 진공용기(1)안의 가열홀더(8) 위에 설치되어 고정된다.
먼저, 진공용기(1)의 내부는 펌프(100)를 이용하여 1x10-5토르(Torr) 이하로 배기된다. 그런 다음, 가열홀더(8)를 가열하여 기판(7)을 300℃까지 가열한다. 헬륨가스와 수소가스의 혼합가스를 수정관(4)을 통해 진공용기(1) 안으로 1 토르의 압력까지 도입한다. 헬륨가스와 수소가스의 혼합비는 1:1이다. 계속해서, 2.45GHz, 100W의 마이크로파를 마이크로파 공동(3)을 통해 석영관(4) 안으로 도입한다. 따라서 생성된 원자상 수소원소들은 백금 기판에 공급되어 백금 기판 위에 고농도의 수소원소를 포함하는 백금 막을 형성한다.
이하에서는 상기한 성막공정을 구체적으로 설명한다. 도 2는 플라즈마 에너지가 효율적으로 수소분자의 원자상화에 사용되는 과정을 설명한다. 도 2에서, 헬륨의 여기레벨은 기저상태보다 19.82eV 정도 높다. 한편, 기저상태의 수소분자는 약 19eV 정도의 에너지를 얻어 원자상 수소로 해리된다. 해리된 여기상태의 원자상 수소(H*)는 121.6nm의 진공자외선을 방출하여 기저상태의 원자상 수소로 된다. 이 실시예에서처럼, 플라즈마 중의 He 원자수가 H2원자수보다 작지 않은 경우에는 H2분자가 여기된 He 원자로부터 에너지를 받아들여 고효율로 원자상화가 일어난다. 그렇지만, 플라즈마 안에 수소분자가 단독으로 존재하는 경우에는 분자상태 그대로의 여기가 지배적으로 되고 원자상 수소의 생성은 거의 일어나지 않는다.
도 3에서, He-H2계 혼합가스가 플라즈마 안에서 효율적으로 원자상 수소를 생성하고 있는 모습을 보여준다. 이 측정은, 도 1에 있어서, 마이크로파 공동(3) 및 석영관(4)을 플랜지(5)에 취부하여 플라즈마 내의 발광의 모습을 분광기(6)로 측정한 것이다. 수소분자 단독으로 플라즈마를 발생시키는 경우에 비하여 원자상 수소생성의 척도로 되는 121.6nm의 발광강도가 증대하고, 분자여기의 척도인 160nm 근처의 발광이 감소하는 것을 관찰할 수 있다.
본 발명을 실시하기 위한 바람직한 제2 실시예
본 발명에 따른 절연막의 형성방법을 도 4를 참조하여 설명하기로 한다. 도 1에서와 비슷한 도 4의 구성부는 동일한 번호를 부여한다. 본 실시예에서, 절연막 위에 형성된 알루미늄(Al) 배선을 패시베이션 하기 위하여 저유전율 재료인 SiOF막을 저온에서 형성하는 방법에 대하여 설명한다. 도 4에서, "9"는 진공용기 이다. 플라즈마 발생장치가 10, 11, 12의 3개가 진공용기(9)에 취부되고, 각 장치는 가요성 튜브(2), 마이크로파 공동(3), 석영관(4)을 조합한 구성을 갖는다. 그리고, 도 1과 같이, 분광기(13), 기판(14) 및 가열홀더(15)가 취부된다.
우선, 펌프(100)를 이용하여 진공용기(9)의 진공도를 1x10-4토르 이하로 배기한다. 가열홀더(15)를 200℃로 온도를 높이고 기판(14)을 가열한다. 여기서, 기판(14)은 상기 배선 전체에 절연막을 패터닝하면 Al 배선을 노출시키게 된다. 플라즈마 발생장치(10, 11, 12) 각각에 실란(SiH4)+아르곤(Ar), 산소(O2)+크세논(Xe), 불소(F2)+클립톤(Kr)의 혼합가스를 공급한다. 그러면, 2.45GHz, 100W의 마이크로파가 상기 플라즈마 발생장치로 도입되어 그 내부에 상기 혼합가스로 구성되는 플라즈마를 발생시킨다. 플라즈마 발생장치(10, 11, 12)로부터 원자상의 실리콘(Si), 산소(O), 불소(F)를 각각 발생시키는 기판 위에 공급하면, 저유전율의 SiOF막이 형성된다. SiOF막의 조성은 각각의 원자상화 원소의 비율을 조정함으로써 제어할 수 있다.
본 발명을 실시하기 위한 바람직한 제3 실시예
이 실시예에서는 강유전체 박막(ferroelectric film)의 형성방법의 일 실시예에 대하여 설명한다. 도 5는 이 실시예에서 강유전체 박막을 형성하기 위한 성막장치를 도시한다. 본 실시예에서, 백금(Pt)/산화마그네슘(MgO) 적층구조를 갖는 하지막 위에 산화물 강유전체인 Pb(Zr, Ti)O3막을 성막하는 방법에 대하여 설명한다. 도 5에서, "16"은 진공용기, "17"은 플라즈마 발생장치이다. 진공용기 내에 설치된 Pt/MgO 적층구조를 갖는 기판(18)이 가열 홀더(19) 위에 고정된다. 또 3개의 가스도입구(20)가 진공용기(16)의 측면에 제공된다.
진공용기(16)의 내부는 펌프(100)를 이용하여 1x10-5토르 이하로 배기한다. 진공조건 하에서, 가열홀더(19)를 가열하여 기판(18)을 450℃로 가열한다. 가스인입구(20)로부터 진공용기(1) 안으로, 테라에틸 납(TEL:Pb(C2H5)4) 가스, 지르코늄 테라테티알리부톡사이드(BOZ:Zr(t-OC4H9)4) 가스, 그리고 티타늄 테트라이소프로프옥사이드(POT:Ti(i-OC3H7)4)가스를 원료 물질 가스로서 제공된다. 더구나, 산화가스로서 네온(Ne)과 산소(O2) 가스의 혼합가스는 플라즈마 발생장치(17)를 통하여 진공용기(16)로 도입된다. Ne+O2의 혼합 플라즈마로부터 얻어지는 원자상 산소와 각 원료가스가 진공용기(16) 내에서 반응하여 기판(18) 상에 Pb(Zr, Ti)O3막이 적층된다. O2분자 단독으로 플라즈마를 발생시킬 때에 비하여 혼합가스 플라즈마를 이용하면 막 중의 산화상태가 크게 개선된다.
본 발명을 실시하기 위한 바람직한 제4 실시예
본 발명에 따른 화합물 반도체막의 형성방법의 일 실시예에 대하여 설명한다. 본 실시예에서 이용되는 성막장치가 도 6에 도시되어 있다. 본 실시예에서는 사파이어(Al2O3) 기판 위에 화합물 반도체인 질화갈륨(GaN)막을 성막하는 방법에 대하여 설명한다. 도 6에서, "21"은 진공용기, "22"는 플라즈마 발생장치이다. 진공용기 내에 설치된 Al2O3으로 된 기판(23)이 가열홀더(24) 위에 고정된다. 또, 가스도입구(25)가 진공용기에 구비된다.
도 6의 장치에서, 우선 펌프(100)를 이용하여 진공용기(21)의 진공도를 1x10-5토르 이하로 배기한다. 이 진공환경에서 가열홀더(24)를 승온하여 Al2O3기판(23)을 가열한다. 가스도입구(25)로부터 갈륨가스를 원료로서 도입한다. 또 다른 원료 가스로서 질소가스가 헬륨 가스와 혼합되고 질소분자들이 플라즈마 발생장치(22) 안에서 2.45MHz, 100W의 마이크로파를 사용하여 원자상 질소원소로 여기한다. 질소분자(거의 해리하기가 어렵다)로부터 생성된 원자상화 질소원소를 이용하여, GaN 막과 상기 막을 위한 버퍼층을 종래에 비하여 낮은 온도에서 형성할 수 있다.
본 발명을 실시하기 위한 바람직한 제5 실시예
이 실시예에서는 본 발명에 따른 비정질 반도체막의 성막방법을 설명한다. 이 실시예에서, 상기 제1 실시예에서 사용된 성막장치와 동일한 것을 이용한다. 이 실시예는 유리판 위에 투명전극막을 형성한 기판 위에 큰 태양전지용 비정질 실리콘 박막을 적층하는 방법에 대하여 설명한다. 따라서 실시예 1의 설명도인 도 1의 7은 본 실시예에서는 유리기판 상에 투명전극막을 형성한 기판으로 된다.
도 1의 장치에 있어서, 우선 펌프(100)를 이용하여 진공용기(1)의 진공도를1x10-5토르 이하로 배기한다. 이 진공환경에서 가열 홀더(8)를 승온하여 투명전극막/유리적층구조기판(7)을 300℃로 가열한다. 원료가스인 실란(SiH4) 가스를 5배의 아르곤(Ar) 가스로 희석하고, 석영관(4)을 통해 진공용기(1) 내로 도입한다. 가스 도입에 의해 진공용기(1) 내의 진공도는 1 토르로 된다. 석영관(4)에 마이크로파 공동(3)을 통하여 2.45GHz, 100W의 마이크로파를 도입하고 SiH4와 Ar의 혼합가스를 발생시킨다. 플라즈마 에너지의 대부분을 Ar가스가 흡수하고, SiH4가스가 Ar의 여기상태의 에너지(11.6eV)를 받아들인다. 이에 의해 SiH4가스분자는 원자상 실리콘의 상태로 분해되고 고품질의 비정질 실리콘막이 형성된다.
본 발명을 실시하기 위한 바람직한 제6 실시예
본 발명에 따른 패시베이션 박막 형성방법의 일 실시예에 대하여 설명한다. 본 실시예에서 이용되는 성막장치는 도 7에 도시된다. 본 실시예에서는 절연막 위에 형성되는 알루미늄(Al) 배선을 패시베이션 하기 위하여 실리콘 산화막(SiO2막)을 적층하는 방법에 대하여 설명한다. 도 7에서, "26"은 진공용기, "27", "28"은 플라즈마 발생장치 이다. 절연막 위에 패터닝된 Al 배선구조를 갖는 기판(29)이 진공용기 내에서 가열홀더(30) 위에 고정되어 있다.
도 7의 장치에 있어서, 우선 펌프(100)를 이용하여 진공용기(26)의 진공도를 1x10-5토르 이하로 배기한다. 이 진공환경에서 가열 홀더(30)를 승온하여 기판(30)을 300℃로 가열한다. 그런 다음, 실란(SiH4) 가스를 이의 5배의 양의 아르곤(Ar)을 첨가하여 희석시키고, 실란가스와 아르곤가스의 혼합으로 구성되는 플라즈마가 플라즈마 발생장치(27) 안에서 생성된다. 결과적으로, 제5 실시예처럼, 실란가스 분자들은 원자상 실리콘원소로 분해되어 진공용기(26)로 도입된다. 같은 방식으로, 플라즈마 발생장치(28)에, 산소(O2) 가스를 산소가스의 20배의 양의 클립톤(Kr)을 첨가하여 희석시키고, 이 혼합가스를 상기 플라즈마 발생장치(28)에 도입한다. 이에 의해 원자상 산소원소가 생성되고, 기판(29) 상에는 SiO2막이 적층된다. 적층 시에 진공용기 내의 진공도는 1 토르 이다. 마찬가지로 아르곤+실란(Ar+SiH4) 및 헬륨+질소로부터 형성된 원자상 실리콘원소와 원자상 질소원소를 이용한 실리콘 질화막이 형성될 수도 있다.
본 발명을 실시하기 위한 바람직한 제7 실시예
본 발명에 따른 분자의 원자상화를 고효율로 행하는 실시예에 대하여 설명한다. 본 실시예에서 이용되는 성막장치는 제1 실시예의 그것과 동일한 것이다. 본 실시예에서는 수소분자의 고효율 원자상화에 대하여 설명한다. 도 8에서, 헬륨(He)+수소(H2)를 25:1의 혼합비로 플라즈마 안으로 공급한 경우에, 수소(H2)의 고효율 원자상화를 나타내는 발광 스펙트럼이 도시된다. 이 측정은, 도 1에서 마이크로파 공동(3) 및 석영관(4)을 플랜지(5)에 취부하여 플라즈마 내의 발광 모양을 분광기(6)로 측정한 것이다. 헬륨(He)+수소(H2)를 1:1로 공급하는 경우에 비하여 He의 공급량을 증대시켜 수소(H2) 공급량을 감소시킨 25:1의 혼합비의 경우, 원자상 수소의 생성에 수반하여 121.6nm의 발광강도가 특히 비약적으로 증대하고, 분자여기의 척도인 160nm 근처의 발광이 특히 억제되는 것으로 관찰된다. 본 실시예는 제1 실시예에도 적용가능하며, 제2 실시예 내지 제4 실시예의 산소분자, 불소분자 및 질소분자의 고효율 원자상화를 실현할 수 있다.
본 발명을 실시하기 위한 바람직한 제8 실시예
이 실시예는 인-도프된 실리콘 영역의 형성방법의 실시예에 대하여 설명한다. 본 실시예에서 이용되는 성막장치는 제1 실시예의 그것과 동일한 것이다. 본 실시예에서는 도 9에 도시된 절연막의 패너팅에 의해 소스, 드레인 영역을 개구한 실리콘기판을 지하기판으로서 이용하고 있다. 따라서, 제1 실시예의 장치설명도인 도 1의 7은 본 실시예에서는 상기 실리콘 기판으로 된다.
도 1의 장치에 있어서, 우선 펌프(100)를 이용하여 진공용기(1)의 진공도를 1x10-5토르 이하로 배기한다. 이 진공환경에서 가열 홀더(8)를 승온하여 기판(7)을 500℃로 가열한다. P의 원료인 P2O5가스를 헬륨과 혼합하여, 석영관(4)을 통하여 진공용기(1) 안으로 도입한다. 가스 도입에 의해 진공용기(1) 내의 진공도는 1 토르로 된다, 석영관(4)에 마이크로파 공동(3)을 통하여 2.45GHz, 100W의 마이크로파를 도입하여 P2O5가스와 헬륨의 혼합 플라즈마를 발생시킨다. 헬륨가스는 플라즈마 에너지의 대부분을 흡수하고 P2O5에게 여기 에너지를 준다. 따라서, P2O5분자 원소들은 대부분 원자상 원소로 해리되고 이에 의해 인-도프된 실리콘 영역이 상기 기판의 개구부에 형성된다. 나아가, 붕소-도프된 실리콘 영역은 상기한 혼합가스 대신에 B2O3가스와 네온 가스의 혼합가스를 이용하여 형성할 수 있다.
본 발명을 실시하기 위한 바람직한 제9 실시예
이 실시예는 붕소-도프된 실리콘 영역의 형성방법의 일 실시예에 대하여 설명한다. 본 실시예에서 이용되는 성막장치는 제6 실시예의 그것과 동일한 것이다. 본 실시예의 기판에는 실리콘 기판을 이용한다. 따라서, 제6 실시예의 장치설명도인 도 7의 29는 본 실시예에서는 상기 실리콘 기판으로 된다.
도 7의 장치에 있어서, 우선 펌프(100)를 이용하여 진공용기(26)의 진공도를 1x10-5토르 이하로 배기한다. 이 진공환경에서 가열 홀더(30)를 승온하여 기판(29)을 500℃로 가열한다. 붕소(B)의 원료인 B2O3가스를 네온과 혼합하여 플라즈마 방생장치(27)에 도입한다. 플라즈마 발생장치(28)에는 Ar+SiH4의 혼합가스를 도입한다. 각각으로부터 붕소 원자 및 Si원자가 생성되고, 실리콘 기판 위에 붕소-도프된 막이 적층된다.
본 발명을 실시하기 위한 바람직한 제10 실시예
이 실시예는 게이트 산화막 형성방법의 일 실시예에 대하여 설명한다. 본 실시예에서 이용되는 성막장치는 제1 실시예의 그것과 동일한 것이다. 본 실시예에서는 도 10에 도시된 소자분리된 실리콘 기판을 지하기판으로 이용한다. 따라서, 제1 실시예의 장치설명도인 도 1의 7은 본 실시예에서는 상기 소자분리된 실리콘 기판으로 된다.
도 1의 장치에 있어서, 우선 펌프(100)를 이용하여 진공용기(1)의 진공도를 1x10-5토르 이하로 배기한다. 이 진공환경에서 가열 홀더(8)를 승온하여 소자분리된 실리콘 기판(7)을 500℃로 가열한다. 석영관(4)을 통하여 아르곤(Ar)과 산소(O2)를 진공용기(1) 안으로 도입한다. 이 때, 아르곤(Ar)과 산소(O2)는 25:1의 혼합비로 합계 100sccm의 유량이다. 가스도입에 의해 진공용기(1) 내의 진공도는 1 토르로 된다, 석영관(4)에 마이크로파 공동(3)을 통하여 2.45GHz, 100W의 마이크로파를 도입하여 Ar과 O2의 혼합 플라즈마를 발생시킨다. 플라즈마 에너지를 다량의 Ar이 소비하고, 이를 O2가 받아들여 O2가 원자상화 되고, 상기 소자분리된 기판(7)의 실리콘 개구부에서, 상기 원자상화 한 산소와 실리콘 기판과의 반응에 의해 실리콘 산화막이 형성된다. 본 실시예에서 실리콘 기판의 산화에 있어서, 종래의 산소분자에 의한 800℃ 열산화에 필적하는 산화속도가 얻어진다.
본 발명을 실시하기 위한 바람직한 제11 실시예
클립톤(Kr)과 산소(O2)를 조합한 실리콘 기판을 산화하는 성막방법의 일 실시예에 대하여 설명한다. 본 실시예에서 이용되는 성막장치는 제1 실시예의 그것과 동일한 것이다. 본 실시예에서는 제10 실시예와 마찬가지로 도 10에 도시된 소자분리된 실리콘 기판을 지하기판으로 이용한다. 따라서, 제1 실시예의 장치설명도인 도 1의 7은 본 실시예에서는 상기 소자분리된 실리콘 기판으로 된다.
도 1의 장치에 있어서, 우선 펌프(100)를 이용하여 진공용기(1)의 진공도를 1x10-5토르 이하로 배기한다. 이 진공환경에서 가열 홀더(8)를 승온하여 소자분리된 실리콘 기판(7)을 500℃로 가열한다. 클립톤(Kr)과 산소(O2)로 구성되며 25:1의 유량비로 합계 100sccm의 혼합가스를 공급하여, 석영관(4)을 통하여 진공용기(1) 안으로 도입한다. 가스 도입에 의해 진공용기(1) 안의 진공도는 1 토르로 된다. 석영관(4)에 마이크로파 공동을 통하여 2.45GHz, 100W의 마이크로파를 도입하여 크립톤(Kr)과 산소(O2)의 혼합 플라즈마를 발생시킨다. 클립톤(Kr)이 플라즈마 에너지의 대부분을 흡수하고 그 여기 에너지(9.92eV)를 산소(O2)에게 제공해줌으로써 거의 대부분이 원자상 산소의 상태까지 해리되어 상기 소자분리된 실리콘기판(7)의 실리콘 개구부가 산화된다. 형성된 SiO2/Si의 경계면의 계면준위밀도는 Dit(중간 간격)에서 3x1011/㎠ㆍeV의 값을 갖는다. 본 실시예에서는, 기판온도를 300℃부터 600℃까지 변화시켜 산화반응에서 확산율 제어의 지표인 상기 산화반응의 활성화 에너지를 조사한 바, 약 0.14eV의 값이 얻어지며, 기판온도변화에 대한 산화속도의 변화가 극히 적게 나타남을 보인다. 본 실시예에서 기판온도를 400℃로 설정하여 산화를 행하면, Dit(중간 간격)에서 5x1011/㎠ㆍeV의 값을 갖는 예도 있다.
본 발명을 실시하기 위한 바람직한 제12 실시예
크세논(Xe)과 산소(O2)를 조합한 실리콘 기판을 산화하는 성막방법의 일 실시예에 대하여 설명한다. 본 실시예에서 이용되는 성막장치는 제1 실시예의 그것과 동일한 것이다. 본 실시예에서는 제10 실시예와 마찬가지로 도 10에 도시된 소자분리된 실리콘 기판을 지하기판으로 이용한다. 따라서, 제1 실시예의 장치설명도인 도 1의 7은 본 실시예에서는 상기 소자분리된 실리콘 기판으로 된다.
도 1의 장치에 있어서, 우선 펌프(100)를 이용하여 진공용기(1)의 진공도를 1x10-5토르 이하로 배기한다. 이 진공환경에서 가열 홀더(8)를 승온하여 소자분리된 실리콘 기판(7)을 500℃로 가열한다. 크세논(Xe)과 산소(O2)를 25:1의 유량비로 합계 100sccm의 혼합가스로 하여 공급하여, 석영관(4)을 통하여 진공용기(1) 안으로 도입한다. 가스 도입에 의해 진공용기(1) 안의 진공도는 1 토르로 된다. 석영관(4)에 마이크로파 공동(3)을 통하여 2.45GHz, 100W의 마이크로파를 도입하여 크세논(Xe)과 산소(O2)의 혼합 플라즈마를 발생시킨다. 이에 의해 생성된 원자상 산소에 의해, 상기 소자분리된 실리콘기판(7)의 실리콘 개구부가 산화된다.크세논(Xe)과 산소(O2)의 혼합 플라즈마를 이용한 본 실시예에서는 종래의 900℃ 열산화와 같은 산화속도를 얻을 수 있으며 산화속도라는 관점에서 400℃의 저온화가 실현된다.
본 발명을 실시하기 위한 바람직한 제13 실시예
헬륨(He)과 질소(N2)를 조합한 실리콘 기판을 산화하는 성막방법의 일 실시예에 대하여 설명한다. 본 실시예에서 이용되는 성막장치는 제1 실시예의 그것과 동일한 것이다. 본 실시예에서는 제10 실시예와 마찬가지로 도 10에 도시된 소자분리된 실리콘 기판을 지하기판으로 이용한다. 따라서, 제1 실시예의 장치설명도인 도 1의 7은 본 실시예에서는 상기 소자분리된 실리콘 기판으로 된다.
도 1의 장치에 있어서, 우선 펌프(100)를 이용하여 진공용기(1)의 진공도를 1x10-5토르 이하로 배기한다. 이 진공환경에서 가열 홀더(8)를 승온하여 소자분리된 실리콘 기판(7)을 600℃로 가열한다. 헬륨(He)과 질소(N2)를 10:
1의 유량비로 합계 100sccm가 되도록 혼합가스를 공급하여, 석영관(4)을 통하여 진공용기(1) 안으로 도입한다. 가스 도입에 의해 진공용기(1) 안의 진공도는 1 토르로 된다. 석영관(4)에 마이크로파 공동(3)을 통하여 2.45GHz, 100W의 마이크로파를 도입하여 헬륨(He)과 질소(N2)의 혼합 플라즈마를 발생시킨다. 이에 의해 생성된 원자상 질소에 의해, 상기 소자분리된 실리콘기판(7)의 실리콘 개구부가 직접질화된다.
본 발명을 실시하기 위한 바람직한 제14 실시예
이 실시예는 본 발명에 따른 산질화막(SiON)의 형성방법의 일 실시예에 대하여 설명한다. 본 실시예에서 이용되는 성막장치는 도 6에 도시된 것과 동일한 것이다. 본 실시예에서는 도 10에 도시된 소자분리된 실리콘 기판을 지하기판으로 이용한다. 따라서 제6 실시예의 장치 설명도에 있는 도 7의 29는 본 실시예에서는 소자분리된 실리콘 기판이 된다.
도 7의 장치에 있어서, 우선 펌프(100)를 이용하여 진공용기(26)의 진공도를 1x10-5토르 이하로 배기한다. 이 진공환경에서 가열 홀더(30)를 승온하여 기판(29)을 600℃로 가열한다. 클립톤(Kr)과 산소(O2)를 25:1의 유량비로 플라즈마 발생장치(27)에 공급한다. 플라즈마 발생장치(28)에는 헬륨(He)과 질소(N2)를 10:1로 공급한다. 가스 도입에 의해 진공용기(26)의 안의 진공도는 1 토르로 된다. 각각의 플라즈마 발생장치에서 생성된 원자상 산소 및 원자상 질소가 실리콘 기판 표면과 반응하여 양호한 계면특성과 고유전율을 갖는 SiON막이 형성된다.
본 발명에 의하면 복수 원자로 구성되는 분자의 고효율 원자상화가 가능하기 때문에 상기 분자를 이용한 공정의 저온화가 가능하다.

Claims (11)

  1. 복수의 원자로 구성된 기체분자를 기판 상에 공급하는 성막방법으로서, 특히 불활성가스와 기체분자들을 포함하는 가스로 이루어지는 혼합가스 분위기에서 플라즈마를 생성하여 상기 불활성가스 분자들을 여기시키고, 상기 기체분자들을 원자상 원소(atomicity elements)로 해리 하는 데 필요한 에너지보다 더 높은 준안정 에너지준위를 갖는 여기된 불활성 가스 분자들이 상기 기체분자들과 충돌하여 원자상 기체원소들로 분해되어 상기 기판 위에 상기 원소들을 공급하며, 특히 상기 불활성 가스는 크립톤(Kr) 가스와 크세논(Xe) 가스 중 어느 하나이고, 상기 기체분자는 원자상 산소 원소로 분해되어 상기 기판을 산화시키는 산소분자이고, 상기 혼합가스 내의 상기 불활성가스의 분자수 밀도가 적어도 상기 기체분자의 분자수 밀도 이상이고, 상기 기판 위에 성막되는 실리콘화합물 중의 실리콘 원소의 적어도 일부의 원자는 원자상 실리콘 원소로 해리 되는 것을 특징으로 하는 성막방법.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 제1 항에 있어서, 상기 기판은 실리콘기판이고 상기 산소분자는 상기 실리콘 화합물의 구성원소를 포함하는 것을 특징으로 하는 성막방법.
  6. 삭제
  7. 제5 항에 있어서, 상기 실리콘화합물은 실리콘산화막인 것을 특징으로 하는 성막방법.
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
KR10-2000-7010752A 1998-03-27 1999-03-23 성막 방법 KR100441836B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP10-122,661 1998-03-27
JP10122661A JPH11279773A (ja) 1998-03-27 1998-03-27 成膜方法

Publications (2)

Publication Number Publication Date
KR20010042227A KR20010042227A (ko) 2001-05-25
KR100441836B1 true KR100441836B1 (ko) 2004-07-27

Family

ID=14841514

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-7010752A KR100441836B1 (ko) 1998-03-27 1999-03-23 성막 방법

Country Status (9)

Country Link
US (1) US6746726B2 (ko)
EP (1) EP1071123B1 (ko)
JP (1) JPH11279773A (ko)
KR (1) KR100441836B1 (ko)
CN (1) CN1146025C (ko)
AU (1) AU748409B2 (ko)
CA (1) CA2326052A1 (ko)
DE (1) DE69934680D1 (ko)
WO (1) WO1999050899A1 (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6977808B2 (en) * 1999-05-14 2005-12-20 Apple Computer, Inc. Display housing for computing device
JP4105353B2 (ja) * 1999-07-26 2008-06-25 財団法人国際科学振興財団 半導体装置
KR100833406B1 (ko) * 2000-03-13 2008-05-28 다다히로 오미 플래시 메모리 소자 및 그 제조 방법, 유전체막의 형성 방법
JP5068402B2 (ja) 2000-12-28 2012-11-07 公益財団法人国際科学振興財団 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法
EP1361605A4 (en) * 2001-01-22 2006-02-15 Tokyo Electron Ltd METHOD FOR PRODUCING MATERIAL OF AN ELECTRONIC COMPONENT
EP1453083A4 (en) * 2001-12-07 2007-01-10 Tokyo Electron Ltd INSULATING FILM NITRIDING PROCESS, SEMICONDUCTOR DEVICE AND METHOD FOR PRODUCING THE SAME, AND SURFACE TREATING DEVICE AND METHOD
TWI243422B (en) 2002-03-26 2005-11-11 Hitachi Int Electric Inc Semiconductor device producing method and semiconductor producing device
JP2003293128A (ja) * 2002-04-05 2003-10-15 Canon Inc 堆積膜形成方法
US7494904B2 (en) * 2002-05-08 2009-02-24 Btu International, Inc. Plasma-assisted doping
US7614111B2 (en) * 2002-08-09 2009-11-10 Colgate-Palmolive Company Oral care implement
JPWO2004025744A1 (ja) * 2002-09-13 2006-01-12 富士通株式会社 感磁素子及びその製造方法、並びにその感磁素子を用いた磁気ヘッド、エンコーダ装置、及び磁気記憶装置
US20040099283A1 (en) * 2002-11-26 2004-05-27 Axcelis Technologies, Inc. Drying process for low-k dielectric films
JP2004343031A (ja) * 2002-12-03 2004-12-02 Advanced Lcd Technologies Development Center Co Ltd 誘電体膜およびその形成方法ならびに誘電体膜を用いた半導体装置およびその製造方法
JP2004265916A (ja) * 2003-02-06 2004-09-24 Tokyo Electron Ltd 基板のプラズマ酸化処理方法
JP2004336019A (ja) 2003-04-18 2004-11-25 Advanced Lcd Technologies Development Center Co Ltd 成膜方法、半導体素子の形成方法、半導体素子、表示装置の形成方法及び表示装置
EP1898456A4 (en) * 2005-06-08 2009-11-18 Univ Tohoku PLASMA NITRURATION METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND PLASMA PROCESSING APPARATUS
JP2007141993A (ja) * 2005-11-16 2007-06-07 Tokyo Gas Co Ltd 被膜形成装置および被膜形成方法
TWI423461B (zh) * 2008-09-18 2014-01-11 Atomic Energy Council 微晶矽薄膜鍍膜之生成方法及其生成裝置
CN102414345B (zh) * 2009-03-03 2015-04-22 巧鸾科技有限公司 制备超高温氢分子的方法和使用超高温氢分子选择性断裂在基质表面中或基质表面上的分子的C-H和/或Si-H键的方法
US20160233055A1 (en) * 2015-02-06 2016-08-11 Mks Instruments Inc. Apparatus and Method for Metastable Enhanced Plasma Ignition
CN109922590B (zh) * 2019-03-13 2023-11-03 中国科学院微电子研究所 原子态等离子体的形成及维持方法及半导体材料的等离子体处理方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0729898A (ja) * 1993-07-15 1995-01-31 Tadahiro Omi 半導体製造方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56116869A (en) 1980-02-18 1981-09-12 Shunpei Yamazaki Inductive reduced pressure gaseous phase method
GB2164581A (en) * 1982-04-13 1986-03-26 Michael Paul Neary Chemical method
US4737379A (en) * 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
JPS59159167A (ja) * 1983-03-01 1984-09-08 Zenko Hirose アモルフアスシリコン膜の形成方法
US4883688A (en) * 1984-03-16 1989-11-28 Syntex (U.S.A) Inc. Method for producing chromatographic devices having modified edges
JPH0717997B2 (ja) 1987-02-21 1995-03-01 日本電信電話株式会社 プラズマ酸化または窒化法及びそれに使用する装置
US5180435A (en) * 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
JPH03193880A (ja) * 1989-08-03 1991-08-23 Mikakutou Seimitsu Kogaku Kenkyusho:Kk 高圧力下でのマイクロ波プラズマcvdによる高速成膜方法及びその装置
US5284789A (en) 1990-04-25 1994-02-08 Casio Computer Co., Ltd. Method of forming silicon-based thin film and method of manufacturing thin film transistor using silicon-based thin film
DE4132560C1 (en) 1991-09-30 1993-04-22 Siemens Ag, 8000 Muenchen, De Plasma-aided deposition of film for integrated semiconductor circuit - using neutral particles, activated by microwave in separate chamber, and non-excited reaction gas, etc.
JP3190745B2 (ja) * 1992-10-27 2001-07-23 株式会社東芝 気相成長方法
US6183816B1 (en) * 1993-07-20 2001-02-06 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating the coating
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
JPH0964176A (ja) 1995-08-21 1997-03-07 Oki Electric Ind Co Ltd 半導体素子の製造方法
JPH10242142A (ja) 1997-02-21 1998-09-11 Nippon Asm Kk 半導体素子とその製造方法
US6015759A (en) * 1997-12-08 2000-01-18 Quester Technology, Inc. Surface modification of semiconductors using electromagnetic radiation

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0729898A (ja) * 1993-07-15 1995-01-31 Tadahiro Omi 半導体製造方法

Also Published As

Publication number Publication date
AU2854699A (en) 1999-10-18
EP1071123A4 (en) 2004-11-24
JPH11279773A (ja) 1999-10-12
EP1071123A8 (en) 2001-05-02
CN1146025C (zh) 2004-04-14
KR20010042227A (ko) 2001-05-25
CA2326052A1 (en) 1999-10-07
AU748409B2 (en) 2002-06-06
EP1071123A1 (en) 2001-01-24
DE69934680D1 (de) 2007-02-15
WO1999050899A1 (fr) 1999-10-07
CN1299517A (zh) 2001-06-13
US6746726B2 (en) 2004-06-08
US20030003243A1 (en) 2003-01-02
EP1071123B1 (en) 2007-01-03

Similar Documents

Publication Publication Date Title
KR100441836B1 (ko) 성막 방법
KR100527149B1 (ko) 유전체 막 및 그 형성방법, 및 유전체 막을 이용한 반도체장치 및 그 제조방법
CN100477113C (zh) 电子器件材料的制造方法
KR100191850B1 (ko) 플라즈마 화학 증착을 사용하여 불화 실리콘 산화물 유전층의 형성 방법
US7820558B2 (en) Semiconductor device and method of producing the semiconductor device
KR101147920B1 (ko) 실리콘 산화막의 성막 방법, 실리콘 산화막, 반도체 장치, 반도체 장치의 제조 방법 및 라이너 막의 성막 방법
US20050136610A1 (en) Process for forming oxide film, apparatus for forming oxide film and material for electronic device
JP2002025998A (ja) 酸化シリコン膜の形成方法及びその形成装置
JP2004343031A5 (ko)
EP1492161A1 (en) Method for forming underlying insulation film
Bergonzo et al. Low pressure photodeposition of silicon nitride films using a xenon excimer lamp
KR20040108697A (ko) 전자 디바이스 재료의 제조 방법
WO2006107415A1 (en) Method and system for forming an oxynitride layer
US5935649A (en) Method for manufacturing SiOF films
CN116779528A (zh) 沉积间隙填充流体的方法及相关系统和装置
Boyd et al. Development and applications of UV excimer lamps
Hernández et al. Kinetics and Compositional Dependence on the Microwave Power and SiH4/N 2 Flow Ratio of Silicon Nitride Deposited by Electron Cyclotron Resonance Plasmas
JPH11283976A (ja) 半導体装置及びその製造方法
US7119029B2 (en) Method of oxidizing a silicon substrate and method of forming an oxide layer using the same
JP3228143B2 (ja) SiOF膜の成膜方法及び半導体装置
Nakata et al. Stacked gate insulator of photooxide and PECVD film from SiH4 and N2O for low‐temperature poly‐Si thin‐film transistor
JPH01239852A (ja) 薄膜形成方法
Neumayer Plasma-Enhanced Chemical Vapor Deposition (PECVD): Silicon Nitride Films
JPH1126445A (ja) 絶縁膜と成膜方法及び半導体装置
JPH10229081A (ja) 絶縁膜の成膜方法及びその半導体装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
E902 Notification of reason for refusal
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20070618

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee