KR100191850B1 - 플라즈마 화학 증착을 사용하여 불화 실리콘 산화물 유전층의 형성 방법 - Google Patents

플라즈마 화학 증착을 사용하여 불화 실리콘 산화물 유전층의 형성 방법 Download PDF

Info

Publication number
KR100191850B1
KR100191850B1 KR1019960705764A KR19960705764A KR100191850B1 KR 100191850 B1 KR100191850 B1 KR 100191850B1 KR 1019960705764 A KR1019960705764 A KR 1019960705764A KR 19960705764 A KR19960705764 A KR 19960705764A KR 100191850 B1 KR100191850 B1 KR 100191850B1
Authority
KR
South Korea
Prior art keywords
substrate
gas
plasma
fluorine
containing gas
Prior art date
Application number
KR1019960705764A
Other languages
English (en)
Other versions
KR970702683A (ko
Inventor
링퀴안 퀴안
멜빈 씨. 슈미트
글렌 엘. 노빙거
Original Assignee
패트릭 제이. 브래디; 더블유. 케이쓰 케네디
와트킨스-존슨 컴파니
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 패트릭 제이. 브래디; 더블유. 케이쓰 케네디, 와트킨스-존슨 컴파니 filed Critical 패트릭 제이. 브래디; 더블유. 케이쓰 케네디
Publication of KR970702683A publication Critical patent/KR970702683A/ko
Application granted granted Critical
Publication of KR100191850B1 publication Critical patent/KR100191850B1/ko

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/30Plasma torches using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/28Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases more than one element being applied in one step
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2

Abstract

플라즈마 화학 증착에 의해 불화 실리콘 산화 유전층(33)을 형성하는 방법이 기술되어 있다. 상기 방법은 플라즈마 챔버(10)내에서 플라즈마의 발생 단계와, 실리콘 함유 가스,불소 함유 가스 산소 및 불활성 가스의 주입 단계로서 상기 가스들이 플라즈마에 의해 여기되어 기판(16)의 표면상에 불화 실리콘 산화층을 형성 하도록 기판(16) 근처에서 반응한다. 전술한 방법으로 형성된 불화 층은 실리콘 산화층의 유전 상수보다 적은 유전 상수를 갖는다.

Description

플라즈마 화학 증착을 사용하여 불화 실리콘 산화물 유전층의 형성 방법
유전층은 집적 회로의 제조시 중요한 성분이다.유전체는 전기적으로 독립된 전도층이며, 이러한 층 사이의 상호 연결을 가능하게 한다. 상기 직접 회로의 밀도가 증가함에 따라, 다중 유전층은 적층 소자의 특성을 격리시키는데 사용된다. 이러한 다중 유전층을 형성할 때, 패턴화된 재료층위에, 우수한 간극 충전, 고립, 응력 및 스텝 커버리지 특성(step coverage properties)을 갖춘 유전체 막의 제공이 바람직하다. 이러한 특성은 장치 크기가 줄어듬에 따라 한계를 나타낸다.
유전층은 CVD(화학증착)에 의해 형성된다. CVD가공은 표면위에 임의의 가스상 전구체의 전달과 반응에 의해 표면애 재료를 증착한다. 임의의 가스상 화학 증착에 플라즈마가 사용되기도 한다. 플라즈마 장치는 많은 형태를 갖는다. 저압 CVD 시스템 및 대기압 CVD 시스템은 열 CVD 시스템에 따라 작동한다. 플라즈마 CVD 시스템은 가스상 화확 약품의 해리와 이온화에 의해 작동하며 종래의 열 CVD 시스템보다 낮은 온도에서 작동이 가능하다. 이러한 저온법이 바람직하며, 접합의 확산과 금속 내부 확산을 최소화한다.
간극 충전 및 스텝 커버리지의 특성 이외에도, 낮은 유전 상수로 유전층을 제공하는 것이 바람직하다. 상호 연결 내부 충의 금속의 너비와 공간이 감소함에 따라, (라인 대 라인으로 언급되는)배선 정기 용량은 증가하며, 총괄 정기 용량을 영향을 미치는 주요 요인이 되기도 한다. 총괄 전기 용량에 영향을 미치는 또 다른 요인은 내부층의 전기 용량이다. 상기 전기 총괄 용량은 이러한 장치의 작동 속도를 제한한다. 낮은 유전 상수를 가진 층은 전기용량의 감소로 인해 즉각 성능이 개선된다.
안정성, 밀도,간극 충전, 낮은 필름 응력 및 스텝 커버리지와 같은 필요한 필름의 특성을 갖춘 낮은 유전 상수를 제공하는 유전체를 형성하는 적합한 방법의 개선이 바람직하다.
본 발명은 기판 또는 웨이퍼의 표면위에 유전층을 형성하는 것에 관한 것이며, 특히 기판 또는 웨이퍼의 표면위에 불화 실리콘 산화물 유전층을 피복하는 것에 관한 것이다.
제1도는 본 발명의 하나의 실시예에 따른 불화 실리콘 산화층(SiOF)의 형성에 사용되는 장치의 횡단면도이며,
제2도는 본 발명의 대체 실시예에 따른 불화 실리콘 산화층의 형성에 사용되는 장치의 횡단면도이며,
제3도는 본 발명에 따라 형성된 SiOF층의 푸리에 변환 적외선 흡수(FTIR) 스펙트럼을 나타낸 그래프이며,
제4도는 본 발명의 방법에 따라 증착된 SiOF 막의 압축 응력과 불소 함유 가스의 흐름 속도를 비교한 그래프이며,
제5a도 및 제5b도는 본 발명의 방법에 따라 이루어진 간극 충전 및 스텝 커버리지를 나타내는 불화 실리콘 산화층의 횡단면을 주사전자 현미경(SEM)으로 찍은 사진이다.
본 발명의 목적은 개선된 유전층 형성 방법을 제공하는 것이다.
특히, 본 발명의 목적은 개선된 불화 실리콘 산화물 유전층의 형성 방법을 제공하는 것이다.
본 발명의 다른 목적은 향상된 유전 상수를 갖는 불화 실리콘 산화증의 형성 방법을 제공하는 것이다.
본 발명의 또 다른 목적은 낮은 필름 응력과 스텝 커버리지 특성을 갖춘 패턴화된 재료상에 바람직한 간극 충전을 갖는 불화 실리콘 산화물 유전층의 형성 방법을 제공하는 것이다.
이러한 목적 및 또 다른 목적은 가공 챔버와 플라즈마 챔버를 포함한 플라즈마 화학 증착 장치를 사용하여 기판상에 불화 실리콘 산화물 유전층의 형성 단계를 포함하고 있으며, 기판은 가공 챔버내에 위치한다.무선 주파수(R.F)동력이 챔버내부로 주입되어 플라즈마 챔버내에서 플라즈마가 발생된다. 실리콘 함유 가스는 기판의 표면에 인접한 가공 챔버 내부로 주입된다. 불소 함유 가스는 플라즈마 챔버 또는 가공 챔버 내부로 주입되어 불화 가스가 기판의 표면에서 이용가능하다. 실리콘 함유 가스와 불소 함유 가스는 플라즈마에 의해 여기되어 기판의 표면상에 불화 실리콘 산화물의 층을 형성하도록 상호작용한다.
본 발명의 또 다른 목적과 잇점은 첨부 도면을 참조로 하여 발명의 상세한 설명으로부터 명백해 질 것이다.
도면에서, 동일한 성분은 동일한 참조 부호에 의해 나타나 있으며, 제1도 및 제2도는 본 발명의 방법에 따른 불화 실리콘 산화 절연층을 형성하는데 사용이 가능한 장치를 나타낸다. 상기 장치는 플라즈마 챔버(10)와 가공 챔버(11)를 나타낸다. 상기 장치(10 및 11)는 0내지 2.6 Pa(20 m Torr)의 범위에서 증기구(32)를 통해 진공화된다. 플라즈마 사태로 가스를 자극하기 위해 플라즈마 챔버 주위에 코일(12)이 배열된다.플라즈마를 발생하는데 공지된 기술줄 코일의 다양한 배열이 사용된다. 기판(16)은 가공 챔버(11)내에 위치된 (척으로 언급되는) 지지물(17)상에 위치되어, 기판의 표면이 상향으로 인접한다. 상기 지지물(17)은 r.f. 발생기(19)와 전달 라인(18)를 통해 r.f. 바이어스 전력의 적용에 의해 한쪽으로 치우치게 된다. 공지 기술로 알려진 기계적인 지지물과 정전 지지물이 사용된다.
제1도에서, 본 발명의 바람직한 실시예인, 제 1가스 흐름이 가스 유입 라인(22)을 통해 코일(12)에 인접하게 플라즈마 챔버(10)내부로 유입된다. 바람직하게, 제1가스 흐름은 유입라인(22)에 유입된 산소 및 아르곤 가스의 혼합물을 포함한다. 산소 및 아르곤의 바람직한 흐름은 각각 총괄 흐름 조절기(25 및 26)에 의해 조절된다. 본 발명은 또한 아르곤 이외의 불활성 가스로 수행된다. 불활성 가스는 상기 지지물(17)이 한쪽으로 치우칠 때 중착 단계동안 불화 실리콘 산화층의 동시 에칭에 스퍼터링 에칭 성분을 제공한다. 아르온, 네온, 크세논 및, 크립톤 또는 그 화합물이 다른 불활성 가스로 사용된다. 아르온, 네온, 크세논 및 크립톤중의 하나와의 결합과 또는 아르온, 네온, 크세논 및, 크립톤의 화합물의 결합에 의해 또한 헬륨이 사용된다.
플라즈마를 발생하기 위해, r.f. 에너지(13)는 플라즈마 챔버(10)내에 배열된 코일(12)을 통해 플라즈마 챔버(10)내부로 향한다. r.f.에너지의 주파수는 산업상 표준 주파수인 13.56MHz가 바람직하다. 이러한 배열에서, 플라즈마는 챔버(10)내에서 발생하여 상기 제1가스 흐름에 의해 대량의 유입된 가스상 분자는 이온화된 분자를 포함하여 반응종을 형성하도록 해리된다. 1011ions/㎤ 보다 큰 이온 농도가 되며, 고농도 플라즈마로 언급된다. 상기 플라즈마는 존재하고 있는 다른 종과 비교하여 높은 에너지를 가진 전자를 포함하고 있다. 높은 전자 에너지는 증착에 이용가능한 해리된 반응 종의 밀도를 증가시킨다.
실리콘 함유 가스와 불소 함유 가스를 포함하는 제2가스 흐름은 가스 유입선(23)를 통해 가공 챔버(11)내부로 유입된다. 실리콘 함유 가스와 불소 함유 가스는 총괄 흐름 조절기(28 및 27)를 통해 소정의 흐름 속도에서 주입된다. 바람직하게, 상기 가스는 각각 SIH4및 CF4이다. 상기 가스가 가공 챔버(11)에 들어감에 따라 가스 유입선(23)내에서 혼합한다. 가스 분배링(24)은 제2가스 흐름을 수용하고 전파하도록 기판(16)에 인접한 가공 챔버(11)내부로 위치한다. 상기 가스 분배링(24)은 상기 가스 분배링(24) 주의에 동일하게 위치된 다수의 분배 구멍(29)을 포함하고 있다. 제2가스는 다수의 분배 구멍(29)을 통해 기판(16)의 표면에 균일하게 인접하여 분배된다. 실리콘 및 불소 함유 가스가 가스 분배링(24)을 벗어남에 따라, 플라즈마 챔버(10)으로부터 유입된 가공 챔버(11)를 갖는 플라즈마에 의해 해리되고 활성화된다. 해리되고 활성화된 상태에서, 실리콘 및 불소 가스상 화합물은 기판(16)의 표면상에 불소를 화합시킨 실리콘 산화물층을 형성하도록 반응한다. 플라즈마는 실리콘 및 불소 가스를 벗어나며,종래의 써멀 CVD가공보다 낮은 온도에서 발새하는 CVD 가공이 뒤따른다. 본 발명의 방법에서, 기판의 온도는 100℃내지 400℃의 범위내에 있다. 낮은 온도 밤위는 임의의 금속 상호 연결물 또는 성분의 녹는 점 이하이거나, 사용되는 재료의 변형점이하에서 공극, 확장 및 힐록형성과 같은 결함을 방지하는 것이 바람직하다.
상기 실리콘과 불소 공급 가스는 거의 동일한 온도에서 챔버(11 및 12)내부로 유입된다. 불활성 가스의 흐름 속도는 적어도 실리콘 및 불소 가스의 두배이상으로 실리콘 및 불소 가스와 동일하게 변화한다. 양질의 불화 실리콘 산화물을 생산하기 위해, 산소 가스가 적어도 실리콘 및 불소 가스의 두배의 흐름 속도에서 유입된다. 실제적인 가스의 흐름속도는 진공 시스템, 가스원 설계 및 다른 장치의 배열에 따라 달라지거나. 흐름 속도율은 연속적으로 적용될 것이다.
본 발명의 대안의 실시예에서,제2도에 지시되어진것처럼, 불소 함유 가스가 가스 유입 라인(22)을 통해 제1가스 흐름의 요소로 플라즈마 챔버(10)내부로 유입된다. 따라서 이러한 실시예에서 제1가스 흐름은 산소, 아르곤 및 불소 함유 가스 혼합물을 포함한다. 산소, 아르곤 및 불소 가스의 소정의 가스 속도는 각각 총괄 흐름 조절기(25, 26 및, 27)에 의해 조절된다. 제2가스 흐름은 가스 유입라인(23)을 통해 가공 챔버(11)내부로 전달된 실리콘 함유 가스를 포함한다. 실리콘 함유 가스는 가스 분배링(24)에 전달되고, 다수의 분배 구멍(29)을 통해 상기 기판(16)의 표면에 인접하게 균일하게 분배되어 있다. 이러한 실시예에서, 실리콘 및 불소 함유 가스는 가스 유입 라인(23) 또는 분배링(24)내부로 혼합되지 않는다. 대신에 불소원은 해리 및 이온 상태에서 불소원의 부분으로 플라즈마내에 포함되고, 플라즈마 챔버(10)로부터 가공 챔버(11)로 들어가서 실리콘 가스가 기판(16)의 표면위로 불소와 결합한 실리콘 산화물층을 형성하도록 기판(16)근처에 분배 구멍(29)을 나오는 것처럼 실리콘 가스와 상호작용한다.
바람직한 실시예에서, 불소 함유 가스는 일반식 CnF2+2n으로 나타낸 탄화불소로 구성되는데 ,n은 1내지 3의 정수이며, 특히 불소원은 4 불화탄소(화학식 ; CF4)이다. 바람직하게, 실리콘 함유 가스는 시레인(화학식 : SiH4)이며, 불활성 가스는 아르곤(화학식 : Ar)이다. 본 발명의 실시예에서, 화학 반응은 다음으로 표시된다.
SiH4+ CF4+ 2O2+ Ar →+ SiOF + F++ HF + Ar + 비처리 및 처리 전구체 + 반응 부산물
본 발명은 불소 함유 가스가 4 불화 실리콘(화학식 : SiF4)으로 구성되는 대안의 화학 반응으로 수행되며 화학식은 다음과 같다.
SiH4+SiF4+ O2+Ar → F++ HF + Ar + 비처리 및 처리 전구체 + 반응 부산물
상기에서 기술한 것과 같이, 기판(16)상에 증착된 층의 절연 상수를 감소키는 것이 바람직하다. 낮은 절연 상수는 층내의 불소 농도의 함수임을 인지하게 되었다. 본 발명의 방법에 따라 형성된 층의 불소 농도는 러드페드 역분산 분광학(RBS)에 의해 결정된다. 주개의 다른 층이 시험된다. 두 개의 층은 다음의 가공 조건하에서 증착된다 : SiH4, CF4및 Ar 이 40 sccm의 흐름 속도에서 각각 주입되고, O2가 80sccm에서 주입된다. 챔버(11 및 12)의 압력은 0.52-0.65 Pa(4-5mTorr)의 범위내에 있다. 5kW의 r.f. 전력은 코일(12)에 적용되고, 지지물(17)은 치우치지 않는다. 하나의 층은 불소 11.9원자 %, 실리콘 38.8원자%, 산소 49.3원자 %의 농도를 결정하는데 증착된 SiOF 두께 7600옹스트롬을 갖는다. 다른 층은 불소 10.2 원자 %, 실리콘 41.4 원자 %, 산소 48.4 원자 %의 농도를 가진 SiOF 증착된 두께 950 옹스트롬의 층을 갖는다. 두개의 SiOF 층의 두께가 다를 경우에는, 층의 불소 농도는 불소 10원자 %로 일정하다. RBS 분석은 탐지되지 않는 탄소 오염을 나타낸다. 두 개의 층내의 탄소 오염은 X-레이 광전다 분광법(XPS)와 보조 이온 총괄 분광법(SIM)분석에 의해 시험되어졌다. 두 개의 시험에서, 탄소 오염은 0.02% 이하였다.
유전층내의 다른 중요한 특성은 수분 흡수에 저항적이다. 도3은 본 발명의 방법에 따라 형성된 후에 2일간 공기에 노출된 SiOF층의 푸리에 변환 적외선 흡수(FTIR)를 도시한다. 상기 층은 SiH4, CF4및 Ar 이 40 sccm의 흐름 속도에서 O2가 80sccm에서 흐름 속도애서 증착된다. 상기 챔버(10 및 11)는 0.65Pa (5mTorr)의 압력에서 진공화되고, 그리고 지지물(17)은 600와트의 r.f. 동력으로 치우치게 된다. 제3도에 표시된 흡수 피크치는 각각 1077/cm 와 930/cm의 파장수에서 Si-O 및 Si-F에 대응한다. 3300-3600/cm 사이에서 발생하는 물 또는 하이드로실시에 대응하는 흡수 피크치는 물의 함량이 거의 없음은 나타내며, 탐지되지 않는다.
필름이 낮은 막 응력을 나타내는 것이 중요하다. 제4도는 본 발명의 방법에 따라 다양한 불소 가스 흐름 속도에서 증착된 불화 실리콘 산화막의 압축 응력을 도시한 그래프이다. CF4가스 흐름 속도는 30내지 50 sccm의 범위내에서 시험되어졌다. SiH4, Ar 및 O2흐름 속도는 각각 70sccm, 100sccm 및, 140 sccm으로 고정되어 있다. 제4도에 도시되어진 것과 같이, 압축 막 응력은 CF4흐름 속도가 증가함에 따라. 필름의 응력은 감소하면서 100내지 50 MPa 의 범위내에 있다.
패턴화된 기판상의 층에 우수한 간극 충전 및 스템 커버리지를 제공하는 것이 바람직하다. 바람직한 막의 특성을 증가시키기 위해, 증착시 기판의 에칭이 사용된다. 제1도를 다시 언급하면, 본발명의 방법은 r.f. 바이어스가 r.f. 발생기(19)와 전달라인(18)을 통해 지지물(17)에 전달되는 기판의 에칭을 제공하는 것이다. r.f. 바이어스는 지지물(17)상에 음 dc 바이어스 전압을 발생하는데 적용된다. 음 dc 바이어스는 기판(16)의 표면을 향해 가속화된 이온이 될 것이다.
본 발명의 실시예에서, 상기 지지물(17)이 치우칠 때, 기판 (16)의 표면에 적용되는 두 개의 부식제의 결합이 있다. 아르곤 이온(또는 다른 불활성 가스 이온)이 기판(16)의 표면에 스퍼터링 하는 반면에, 자유 활성 불소 이온은 기판(16)의 표면에 반응성 이온 에칭을 발생할 것이다. 본 발명의 방법에 따라, 상기 에칭은 불화 실리콘 산화층의 증착과 동시에 발생한다. 제5a도및 제5b도는 본 발명 방법에 따라 척(17)의 바이어스로 발생된 층의 우수한 간극 충전 및 스텝 커버리지를 가져온다, 반복 증착 및 각각의 단계의 분리없이 이러한 우수한 성질이 얻어진다.게다가, 아르곤 이온으로 스퍼터링은 종착된 필름의 밀도를 증가시키는데 발견된다.
실시예 : 실시예에서, 불소를 화합시킨 실리콘 산화층은 하단에 기술된 도표에 따라 작동하는 제1도의 장치에서 증착된다.
상기 방법은 증착과 에칭이 동시에 발생하는 단일 단계로 이루어진다. 1300옹스트롬/분의 증착 속도가 측정된다.
r.f. 바이어스의 적용으로, 기판의 온도가 증가한다. 기판의 온도를 알루미늄의 녹는점 이하로 유지시키는 것이 중요한다.온도를 조절하기 위해서, 냉각 매체가 지지물(17)을 통해 회전한다. 웨이퍼 척을 냉각된 상태로 유지시키는 것이 증착된 필름내에서 불소 농도를 안정화시키는 것이 중요하다. 만일 척이 400℃ 이상의 고온이 아니면, 최종 필름은 낮은 불소 농도를 함유한다.
필름 특성은 실시예에서 증착된 SiOF 층에 시험된다. 유전 상수는 종래의 실리콘 이산화 필름의 상수보다 낮은 3.5이며, 4.0 내지 4.3으로 기록된다.상기 낮은 상수는 필름내에 존재하는 낮은 잔여 OH 기를 가진 Si-F 망을 나타낸다. 수광 흡수는 IR탐지치 이하이다. 웨이퍼가 10일간 공기에 노출된 후에, 수분 함량은 MEA(수분 방출 분석)에 따라 0.575%가 된다. 굴절 색인은 1.43 내지 1.44이다. 질소 환경에서 30분간 900℃에서 샘플을 가열하였다가 냉각시킨후, 굴절 색인의 변화는 관찰되지 않는다. 불순물 수치는 XPS 탐지치이며, 압축 필름 응력은 100MPa이다. 상기 결과치가 표시됨에 따라, 본 발명 방법은 종래의 실리콘 이산화 필름이하의 유전 상수로 소정의 필름 특성을 갖는 필름을 생산한다.
우수한 단계 적용과 간극 충전은 불소를 화합시킨 실리콘 이산화층(33)을 갖춘 8인치 웨이퍼의 횡단면 부분의 SEM부분인 제5a도와 제5b도에 참조로 표시가능하다. 제5a도에서, 웨이퍼는 기판(30)의 표면상에 형성된 알루미늄 라인(31 및 32)을 함유한다. 상기 라인(31 및 32)은 0.35 마이크론 떨어져 이격되어 있다. 라인 (31 및 32)사이의 간극의 각도비는 1.5 내지 1.0이다. SiOF층(33)은 26sccm에서 SiH4, 20sccm에서 CH4, 100 sccm에서 O2, 그리고 100sccm에서 Ar인 조건하에서 다음의 흐름 속도 조건하에서 증착된다. (도시되지 않은)지지물은 700와트의 r.f.바이어스동 종력으로 치우치고, 그리고 챔버의 압력은 역 0.65Pa(5 mTorr)이다. 상기 층은 단일 단계에서 증착된다. 도5a에서 도시되어진 것처럼, SiOF 층은 어떠한 공극이나 힐록 또는 다른 결함없이 0.35마이크론 간극이 균일하게 채워진다.
제5b도는 제5a도에서 증착된 동일한 웨이퍼와 SiOF 층(33)의 다른 구역의 횡단면의 SEM 사진을 예시한다. 웨이퍼 부분은 기판(30)의 표면에 형성된 알루미늄 라인(35, 36, 37 및, 38)을 포함한다. 상기 라인(35, 36, 37 및, 38)은 0.6마이크론에서 이격되어있고, 이러한 라인의 각도비는 1대1이다. 다시, 우수한 단계 적용 및 간극 충전은 어떠한한 공극이나 힐록 또는 다른 결함없이 이루어진다. 상기 화학 및 본 발명에 기술된 방법은 0.35 마이크론 간극을 채울수 있고, 8인치 기판의 엣상과 양쪽 중심에 충분한 간극 충전이 이루어진다.
본 발명이 바람직한 실시예와 관련하여 기술되어졌다 하더라도, 본 기술 분야의 숙련자들은 본 발명의 범주와 정신으로부터 벗어남이 없는 다양한 변형예 및 대체안 및 또 다른 실시예가 있을 수 있다. 따라서, 본 명세서는 첨부되는 청구범위의 영역내에서 다양한 변형예와 대체예 및 대안을 포함한다.

Claims (6)

  1. 가공 챔버와 플라즈마 챔버를 포함하는 플라즈마 화학 증착 장치를 사용하여 기판의 표면상에 불화 실리콘 산화물층을 형성하는 방법에 있어서, 상기 가공 챔버내에 기판을 위치시키는 단계와, 아르곤, 네온, 크립톤, 또는 크세논으로 구성된 불활성 가스중에서 선택된 하나 이상의 불활성 가스와 산소 가스를 강시 플라즈마 내부로 주입하는 단계와, 상기 불활성 가스의 주입에 의해 안전성이 증대되는 플라즈마를 플라즈마 챔버내에서 발생시키도록 상기 플라즈마 챔버 내부로 r.f. 전력을 공급하는 단계와, 상기 기판의 표면에 인접한 상기 가공 챔버 내부로 함유 실리콘 가스를 주입하는 단계 및, 상기 하나의 챔버 내부로 불소 함유 가스를 주입함으로써 상기 불소 가스가 상기 기판의 표면에서 이용가능하며, 상기 실리콘 함유 가스 및 상기 불소 함유 가스가 상기 플라즈마에 의해 여기되어 상기 기판의 표면위에 불화 실리콘 산화층을 형성하도록 상기 기판의 표면에 근접하여 상호작용하는, 불소 함유 가스 주입 단계를 포함하는 방법.
  2. 제1항에 있어서, 상기 기판의 표면이 상기 불활성 가스의 이온에 의해 스퍼터링되고 상기 기판의 표면위에 있는 간극 충전을 향상시키기 위해 상기 불소 함유 가스 이온에 의해 에칭되도록 상기 불화 실리콘 산화층의 형성시 상기 기판에 r.f. 바이러스를 동시에 적용하는 단계를 더 포함하는 방법.
  3. 제1항에 있어서, 상기 불소 함유 가스는 일반식 CnF2+2n에 의해 표시된 탄화불소이며, 정수 n은 1 내지 3인 방법.
  4. 가공 챔버와 플라즈마 챔버를 포함하는 플리즈마화학 증착 장치를 사용하여 기판 표면상의 불화 실리콘 산화층을 형성하는 방법에 있어서, 상기 가공 챔버내에 기판을 위치시키는 단계와, 아르곤, 네온, 크립톤 또는 크세논으로 구성된 불활성 가스중에서 선택된 하나 이상의 불활성 가스 및 산소 가스를 상기 플라즈마 챔버 내부로 주입시키는 단계와, 상기 불활성 가스의 주입에 의해 안정성이 증대되고 1011ions/㎤ 이상의 이온 밀도를 갖는 플라즈마를 플라즈마 챔버내에 발생시키도록 상기 플라즈마 챔버 내부로 r.f. 전력을 공급하는 단계와, 450℃ 이하의 온도에서 상기 기판을 유지시키는 단계와, 2.6 Pa (20 mTorr) 이하의 압력에서 상기 가공 챔버와 플라즈마 챔버를 유지시키는 단계와, 상기 기판의 표면과 인접한 상기 가공 챔버 내부로 시레인 가스를 주입하는 단계 및, 상기 하나의 챔버 내부로 상기 불소 함유 가스를 주입함으로써 상기 불소 가스가 상기 기판의 표면에서 이용가능하며, 상기 시레인 함유 가스 및 상기 불소 함유 가스가 상기 플라즈마에 의해 여기되어 상기 기판의 표면위에 불화 실리콘 산화층을 형성하도록 상기 기판의 표면에 근접하여 상호작용하는, 불소 함유 가스 주입 단계를 포함하는 방법.
  5. 제4항에 있어서, 상기 불소 함유 가스는 4 불화 실리콘(SiF4)인 방법.
  6. 제1항에 있어서, 상기 산소 가스의 흐름 속도는 상기 실리콘 및 상기 불소 함유 가스 각각의 흐름 속도의 두배인 방법.
KR1019960705764A 1995-02-10 1996-02-05 플라즈마 화학 증착을 사용하여 불화 실리콘 산화물 유전층의 형성 방법 KR100191850B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US8/386,647 1995-02-10
US08/386,647 1995-02-10
US08/386,647 US5571576A (en) 1995-02-10 1995-02-10 Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
PCT/US1996/001589 WO1996025023A1 (en) 1995-02-10 1996-02-05 Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition

Publications (2)

Publication Number Publication Date
KR970702683A KR970702683A (ko) 1997-05-13
KR100191850B1 true KR100191850B1 (ko) 1999-06-15

Family

ID=23526470

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960705764A KR100191850B1 (ko) 1995-02-10 1996-02-05 플라즈마 화학 증착을 사용하여 불화 실리콘 산화물 유전층의 형성 방법

Country Status (7)

Country Link
US (1) US5571576A (ko)
EP (1) EP0757884B1 (ko)
JP (1) JP3090476B2 (ko)
KR (1) KR100191850B1 (ko)
AT (1) ATE439028T1 (ko)
DE (1) DE69637982D1 (ko)
WO (1) WO1996025023A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220042320A (ko) * 2021-02-16 2022-04-05 인투코어테크놀로지 주식회사 플라즈마를 이용하는 가스 처리 방법

Families Citing this family (230)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910003742B1 (ko) * 1986-09-09 1991-06-10 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Cvd장치
WO1992020833A1 (en) 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
JP3336747B2 (ja) * 1994-06-09 2002-10-21 ソニー株式会社 絶縁膜の形成方法、並びに半導体装置の作製方法及び半導体装置
JP3080867B2 (ja) 1995-09-25 2000-08-28 日本電気株式会社 Soi基板の製造方法
JPH09115903A (ja) * 1995-10-18 1997-05-02 Nec Corp 半導体装置の製造方法
US5702976A (en) * 1995-10-24 1997-12-30 Micron Technology, Inc. Shallow trench isolation using low dielectric constant insulator
US5683946A (en) * 1995-12-01 1997-11-04 National Science Counsil Method for manufacturing fluorinated gate oxide layer
US5897711A (en) * 1995-12-22 1999-04-27 Lam Research Corporation Method and apparatus for improving refractive index of dielectric films
US6121163A (en) 1996-02-09 2000-09-19 Applied Materials, Inc. Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
US6042901A (en) * 1996-02-20 2000-03-28 Lam Research Corporation Method for depositing fluorine doped silicon dioxide films
US6106678A (en) * 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
JP3489334B2 (ja) * 1996-05-27 2004-01-19 ソニー株式会社 半導体装置の酸化膜形成方法および酸化膜形成装置
TW335511B (en) * 1996-08-02 1998-07-01 Applied Materials Inc Stress control by fluorination of silica film
JP3355949B2 (ja) * 1996-08-16 2002-12-09 日本電気株式会社 プラズマcvd絶縁膜の形成方法
US5827785A (en) * 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
JP3402972B2 (ja) * 1996-11-14 2003-05-06 東京エレクトロン株式会社 半導体装置の製造方法
US6534409B1 (en) * 1996-12-04 2003-03-18 Micron Technology, Inc. Silicon oxide co-deposition/etching process
US5763021A (en) * 1996-12-13 1998-06-09 Cypress Semiconductor Corporation Method of forming a dielectric film
JP3773340B2 (ja) * 1996-12-18 2006-05-10 大日本印刷株式会社 低屈折率SiO2 膜及びその製造方法
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
JPH10242142A (ja) * 1997-02-21 1998-09-11 Nippon Asm Kk 半導体素子とその製造方法
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6106683A (en) * 1997-06-23 2000-08-22 Toyo Technologies Inc. Grazing angle plasma polisher (GAPP)
US5869149A (en) * 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US6121164A (en) * 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
US5876798A (en) * 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6057250A (en) * 1998-01-27 2000-05-02 International Business Machines Corporation Low temperature reflow dielectric-fluorinated BPSG
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
JPH11279773A (ja) * 1998-03-27 1999-10-12 Tomoo Ueno 成膜方法
DE19813757C2 (de) 1998-03-27 2000-12-14 Siemens Ag Verfahren zur Herstellung einer mit Fluor belgten Halbleiteroberfläche
US6846391B1 (en) 1998-04-01 2005-01-25 Novellus Systems Process for depositing F-doped silica glass in high aspect ratio structures
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6149987A (en) 1998-04-07 2000-11-21 Applied Materials, Inc. Method for depositing low dielectric constant oxide films
US6265779B1 (en) * 1998-08-11 2001-07-24 International Business Machines Corporation Method and material for integration of fuorine-containing low-k dielectrics
JP4056144B2 (ja) * 1998-09-10 2008-03-05 株式会社エフオーアイ プラズマ処理装置
US6174743B1 (en) * 1998-12-08 2001-01-16 Advanced Micro Devices, Inc. Method of reducing incidence of stress-induced voiding in semiconductor interconnect lines
US6221794B1 (en) * 1998-12-08 2001-04-24 Advanced Micro Devices, Inc. Method of reducing incidence of stress-induced voiding in semiconductor interconnect lines
US6503818B1 (en) * 1999-04-02 2003-01-07 Taiwan Semiconductor Manufacturing Company Delamination resistant multi-layer composite dielectric layer employing low dielectric constant dielectric material
US6394109B1 (en) 1999-04-13 2002-05-28 Applied Materials, Inc. Method and apparatus for removing carbon contamination in a sub-atmospheric charged particle beam lithography system
JP4105353B2 (ja) * 1999-07-26 2008-06-25 財団法人国際科学振興財団 半導体装置
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6541400B1 (en) * 2000-02-09 2003-04-01 Novellus Systems, Inc. Process for CVD deposition of fluorinated silicon glass layer on semiconductor wafer
US20020078893A1 (en) * 2000-05-18 2002-06-27 Applied Materials , Inc. Plasma enhanced chemical processing reactor and method
US6559052B2 (en) 2000-07-07 2003-05-06 Applied Materials, Inc. Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
US6753270B1 (en) 2000-08-04 2004-06-22 Applied Materials Inc. Process for depositing a porous, low dielectric constant silicon oxide film
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
EP1275133A1 (de) * 2000-10-19 2003-01-15 Robert Bosch Gmbh Vorrichtung und verfahren zum ätzen eines substrates mittels eines induktiv gekoppelten plasmas
US6649219B2 (en) * 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US7074489B2 (en) 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6667248B2 (en) 2001-09-05 2003-12-23 Applied Materials Inc. Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
EP1525333A2 (en) * 2002-08-02 2005-04-27 Varian Semiconductor Equipment Associates Inc. Method and apparatus for plasma implantation without deposition of a layer of byproduct
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) * 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7229931B2 (en) 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7316785B2 (en) * 2004-06-30 2008-01-08 Lam Research Corporation Methods and apparatus for the optimization of etch resistance in a plasma processing system
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
EP1769275A1 (en) * 2004-07-22 2007-04-04 Pirelli & C. S.p.A. Integrated wavelength selective grating-based filter
US7087536B2 (en) 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7390757B2 (en) * 2005-11-15 2008-06-24 Applied Materials, Inc. Methods for improving low k FSG film gap-fill characteristics
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
TWI554630B (zh) * 2010-07-02 2016-10-21 應用材料股份有限公司 減少沉積不對稱性的沉積設備及方法
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US20130180954A1 (en) * 2012-01-18 2013-07-18 Applied Materials, Inc. Multi-zone direct gas flow control of a substrate processing chamber
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
EP0310347B1 (en) * 1987-09-30 1992-11-25 Sumitomo Metal Industries, Ltd. Thin film forming apparatus
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5057455A (en) * 1989-11-30 1991-10-15 At&T Bell Laboratories Formation of integrated circuit electrodes
US4987102A (en) * 1989-12-04 1991-01-22 Motorola, Inc. Process for forming high purity thin films
JPH0740569B2 (ja) * 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
KR100228259B1 (ko) * 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
US5240554A (en) * 1991-01-22 1993-08-31 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
JP2697315B2 (ja) * 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JP2699695B2 (ja) * 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
JPH05226480A (ja) * 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
JPH0793275B2 (ja) * 1993-06-25 1995-10-09 アプライド マテリアルズ インコーポレイテッド 半導体装置の薄膜及び半導体装置の薄膜形成方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220042320A (ko) * 2021-02-16 2022-04-05 인투코어테크놀로지 주식회사 플라즈마를 이용하는 가스 처리 방법
KR102521768B1 (ko) * 2021-02-16 2023-04-19 인투코어테크놀로지 주식회사 플라즈마를 이용하는 가스 처리 방법

Also Published As

Publication number Publication date
WO1996025023A1 (en) 1996-08-15
EP0757884B1 (en) 2009-08-05
US5571576A (en) 1996-11-05
DE69637982D1 (de) 2009-09-17
JP3090476B2 (ja) 2000-09-18
JPH09508757A (ja) 1997-09-02
EP0757884A4 (en) 1999-03-24
KR970702683A (ko) 1997-05-13
EP0757884A1 (en) 1997-02-12
ATE439028T1 (de) 2009-08-15

Similar Documents

Publication Publication Date Title
KR100191850B1 (ko) 플라즈마 화학 증착을 사용하여 불화 실리콘 산화물 유전층의 형성 방법
US5869149A (en) Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
KR970002437B1 (ko) 반도체장치의 제조방법
TWI450379B (zh) 層間絕緣膜及配線構造與此等之製造方法
EP0517548B1 (en) Chemical vapor deposition method for forming silicon oxide film
KR100283007B1 (ko) 저유전율 불소화 비정질 탄소 유전체 및 그 제조 방법
JP3930840B2 (ja) 低κ誘電性無機/有機ハイブリッドフィルム
US6699784B2 (en) Method for depositing a low k dielectric film (K>3.5) for hard mask application
US7202176B1 (en) Enhanced stripping of low-k films using downstream gas mixing
US20070054505A1 (en) PECVD processes for silicon dioxide films
EP0934433B1 (en) Method for depositing fluorine doped silicon dioxide films
EP1561841A2 (en) Cleaning CVD Chambers following deposition of porogen-containing materials
US20080216958A1 (en) Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US8481422B2 (en) Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
US5514425A (en) Method of forming a thin film
JP2004134560A (ja) シリコンカーバイド膜の製造方法
KR20050034566A (ko) 실리콘 탄화물막을 제조하는 방법
KR20040021533A (ko) 반도체 기판에 막을 형성하는 방법
KR20080002642A (ko) 밀도 및 스텝 커버리지가 개선된 비정질 탄소막 증착 방법
KR20010075566A (ko) 반도체 장치 및 그 제조 방법
JPH10335322A (ja) 絶縁膜の形成方法
CN1868039A (zh) 在光刻胶去除过程中最小化阻障材料损失的方法
US6541400B1 (en) Process for CVD deposition of fluorinated silicon glass layer on semiconductor wafer
US6770575B2 (en) Method for improving thermal stability of fluorinated amorphous carbon low dielectric constant materials
US5935649A (en) Method for manufacturing SiOF films

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090107

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee