CN1868039A - 在光刻胶去除过程中最小化阻障材料损失的方法 - Google Patents

在光刻胶去除过程中最小化阻障材料损失的方法 Download PDF

Info

Publication number
CN1868039A
CN1868039A CNA200480029601XA CN200480029601A CN1868039A CN 1868039 A CN1868039 A CN 1868039A CN A200480029601X A CNA200480029601X A CN A200480029601XA CN 200480029601 A CN200480029601 A CN 200480029601A CN 1868039 A CN1868039 A CN 1868039A
Authority
CN
China
Prior art keywords
layer
etching
gaseous mixture
dielectric layer
reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA200480029601XA
Other languages
English (en)
Inventor
拉奥·安纳普勒格德
朱海伦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1868039A publication Critical patent/CN1868039A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P80/00Climate change mitigation technologies for sector-wide applications
    • Y02P80/30Reducing waste in manufacturing processes; Calculations of released waste quantities

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种从集成电路(IC)结构上去除光刻胶层的方法,所述IC结构包括刻蚀的电介质层和暴露的覆盖铜内连线的阻障层,所述阻障层的构成材料是,如氮化硅或碳化硅。所述方法包括将包含一氧化碳(CO)的第一混合气通入反应器,在所述反应器内生成等离子体,选择性地去除光刻胶层,而对所述暴露的阻障层只有微量的刻蚀或没有刻蚀。

Description

在光刻胶去除过程中最小化阻障材料损失的方法
技术领域
本发明涉及在光刻胶去除过程中最小化阻障材料损失的方法,特别涉及具有氮化硅或者碳化硅之类阻障材料的集成电路(IC)结构的刻蚀。
背景技术
半导体器件通常在半导体衬底上形成,并包括多层图案化的和金属内连线的层。比如,许多半导体器件具有多层导线,例如金属内连线。导线或其它传导结构,比如栅极,由电介质材料(即绝缘材料)互相绝缘,并可利用穿过电介质材料的通孔进行相互连接。
在半导体集成电路(IC)的制程中,器件,如元件晶体管在半导体晶片衬底上形成。各种材料淀积在不同的层上以制成所需的IC。通常导电层包括形成图案的金属线、多晶硅晶体管栅极等,其间由低k电介质材料类的电介质材料互相绝缘。
在集成电路的制程中,用铜内连线和双镶嵌结构的结合来降低与信号传播有关的RC延迟,这存在于现有技术的铝基IC结构中。在双镶嵌工艺中,并不是刻蚀导体材料,而是在电介质材料中刻蚀通孔和沟槽,再用铜填充。用化学机械研磨(Chemical Mechanical Polishing,CMP)去除多余的铜,用通孔连接留下的铜线来进行信号传导。为了进一步降低RC延迟,应用低介电常数(k)的材料。该低介电常数的材料包括二氧化硅和如有机硅化物玻璃(OSG)等低介电常数材料。
在IC制造中,通常采用铜双镶嵌工艺应用低k材料。双镶嵌结构中运用刻蚀工艺产生用于线路的沟槽和用于通孔的孔。然后对通孔和沟槽进行金属化以形成互相连接的线路。两个公知的双镶嵌方案分别称为先刻蚀通孔的工艺和先刻蚀沟槽的工艺。
在双镶嵌工艺中,经常应用一层或多层阻障层来保护半导体器件中靠近铜内连线的材料,使其不被从铜内连线中扩散到周围材料的铜原子毒化。比如,阻障层可以使邻近的含硅结构不被从铜内连线中扩散到周围含硅结构的铜原子毒化。
常用的阻障层也称为“扩散阻挡层”或“刻蚀停止层”。常用的阻障层是氮化硅(Si3N4)或简称SiN。另一常用的阻障层是碳化硅,其也称为非晶碳化硅或SiCxNyHzOw的组合物。
在刻蚀含硅和氧的电介质时,通常用含氟混合气来刻蚀含硅和氧的电介质。含氟混合气与IC结构反应,产生沉积在IC和反应器上的氟化聚合物(CxHyFz)。
通常,使电介质刻蚀的步骤是光刻胶层的去除或“剥离”。在去除光刻胶层时,用一种氧化性混合气体来去除有机的光刻胶。在已有技术中,氧化性混合气体与氟化聚合物反应产生能刻蚀阻障层的混合气体。若对阻障层的刻蚀导致该阻障层暴露,则IC结构将被扩散入电介质层的铜损害。扩散入电介质层的铜毒化IC结构并损害IC的电介质性能。
发明内容
本发明公开了一种从集成电路(IC)结构上去除光刻胶层的方法,该方法最小化阻障层上阻障材料的损失。该IC结构包括光刻胶层,经过刻蚀的电介质层和覆盖铜内连线的暴露的阻障层。在实施例中,该刻蚀的电介质层是含硅和氧的材料。在另一实施例中,该刻蚀的电介质层包括二氧化硅、氧化硅、有机硅化物玻璃或氟化硅玻璃。该暴露的阻障层由如氮化硅或碳化硅材料构成。
本方法包括将包含特别是一氧化碳(CO)的第一混合气通入反应器。在实施例中,第一混合气包括一氧化碳(CO)和氧气(O2)。在另一实施例中该第一混合气包括一氧化碳(CO)和氮气(N2)。其他气体混合物包括CO和选自氮气(N2)/氧气(O2)、一氧化二氮(N2O)、氨气(NH3)、氮气(N2)/氢气(H2)、和水蒸汽(H2O)的混合气。
本方法然后在反应器内产生等离子体。光刻胶层被选择性地去除,而对暴露的阻障层只有微量的刻蚀或没有刻蚀,这样就减少了阻障层中氮化硅或碳化硅的损失。尽管其中严格的机理还未知,但是可以猜测一氧化碳(CO)捕捉从沉积在晶片和/或反应器上的含F聚合物(CxFyHz)释放出来的氟。通过最小化阻障层的损失,其下的铜内连线的完整性得以保持。
附图说明
本发明的实施例如附图所示,其中:
图1是能够去除IC结构上的光刻胶的设备示意图。
图2是去除光刻胶层并保护阻障层的流程图。
图3A到图3F是使用图2中所述的方法去除IC结构上的光刻胶的等尺寸图。
具体实施方式
以下参照附图和用实施例的方式来对本发明进行详细描述。这些实施例足够详细,以使本领域的技术人员可以依此实施本发明,请注意实施例也可以有其它的形式,以及在不背离本发明的权利要求的构思和范围下可以实现结构上,逻辑上和电的变化。因此,下面的具体说明并不是限制性的。请注意附图中的附图标记的第一位数字指附图的序号,除此在多个附图中相同的部件用相同的附图标记来表示。
图1是能够刻蚀IC结构上的氮化硅或碳化硅阻障层的系统,该系统也构成为进行阻障层刻蚀,电介质刻蚀,光刻胶去除。该系统是平行板等离子系统100,比如加州Fremont的Lam Research Corporation(Lam)出品的200mm EXELAN HPT系统。该系统100的反应室有内室102,通过一台连接到反应器壁上出口的真空泵104,保持所需的内室102的真空压力。可以通过气体源106向等离子反应器供应刻蚀气体。通过双频率设置可以在反应器内产生中等密度的等离子体,其中通过匹配网络110向通电的电极112提供来自RF电源108的FR能量。RF电源108构成为以27MHz和2MHz的频率提供FR能量。电极114是接地电极。衬底116由通电的电极112支撑而且由通过将气体激发到等离子态而产生的等离子体进行刻蚀和/或去除光刻胶。也可以使用其它电容耦合的反应器,如向两个电极供给RF能量的反应器,比如共同拥有的美国专利No.6,090,304所述的双频等离子刻蚀反应器,在此通过参考结合进本发明。
另外,在其它类型的等离子反应器中,比如诱导(感应)耦合反应器,电子回旋加速器谐振(ECR)等离子反应器,螺旋等离子反应器(HeliconPlasma Reactor)或其它类似的设备中,也可以产生等离子体。这些等离子反应器中常用RF能、微波能、磁能等等作为能源产生中密度到高密度的等离子体。比如,Lam Research Corporation出产的Transformer CoupledPlasma刻蚀反应器可以产生高密度的等离子体,该反应器也称为诱导(感应)耦合等离子反应器。
图2是将光刻胶从IC结构去除或“剥离”的流程图。图2所示的方法最小化阻障层中阻障材料的损失。该方法用于图3A所示的IC结构中,在图3B中该IC结构已进行刻蚀。如图2的步骤202所述,图3A中的IC在置于反应器中进行刻蚀。
图3A所示的IC结构包括第一光刻胶层302,第二保护层304,第三电介质层306,第四阻障层308,第五层310,该第五层具有铜内连线312。该IC结构具有形成图案的第一光刻胶层302。
在图2的刻蚀步骤204中,第二保护层304和第三电介质层306被刻蚀,因此第四阻障层308就暴露在外。暴露的第四阻障层308覆盖具有铜内连线312的第五层310。
作为一个非限制性的示例,IC结构300的第一光刻胶层302是有机光刻胶。例如,该有机光刻胶是Shipley公司的193nm光刻胶或248nm光刻胶。
第二保护层304由保护材料构成,如二氧化硅(SiO2)、氮氧化硅(SiON)、碳化硅和氮化硅。该保护层304可以在刻蚀和去除光刻胶过程中起到保护第三电介质层的作用。第三电介质层由如二氧化硅、氧化硅、有机硅化物玻璃或氟化硅玻璃构成。第二保护层304材料的选择取决于其下第三电介质层的电介质性质。比如,若电介质层是二氧化硅,则保护层304由氮氧化硅(SiON)、碳化硅或氮化硅构成。若电介质层是有机硅化物玻璃或氟化硅玻璃,则保护层304由氧化硅、氮氧化硅(SiON)、碳化硅或氮化硅构成。
在另外一个实施例中,没有第二保护层304,或者第二保护层304在第一光刻胶层去除之前就被去除了。该保护层可以在双镶嵌工艺中被去除。因此,本发明描述的去除光刻胶层的方法既可以应用于带有第二保护层304的IC结构,也可以应用于没有第二保护层304的IC结构。
IC结构也包含第三电介质层306。第三电介质层306可以由材料如二氧化硅(SiO2)、氧化硅(SiO)、有机硅化物玻璃(OSG)或氟化硅玻璃(FSG)构成。用加州Santa Clara的Applied Materials公司出品的CVD工具,可以将前体四乙氧基硅烷(TEOS)或硅烷淀积得到二氧化硅。图3和图4中的IC结构的电介质是SiO2。在另一实施例中,电介质层可以是加州SanJose的Novellus Systems出品的CORALTM,加州Santa Clara的AppliedMaterials出品的BLACK DIAMONDTM,或者任何其它类似的OSG材料。在另一实施例中,电介质材料是用加州San Jose的Novellus Systems公司出品的CVD工具淀积得到氟化硅玻璃(FSG)。另外,本领域的技术人员应该明白,电介质材料还可以是具有中空的体积大于30%的多孔电介质材料。
第四阻障层308由阻障材料构成。阻障材料包括氮化硅(Si3N4)或简称SiN。另一种阻障材料是碳化硅,其也称为非晶碳化硅或SiCxNyHzOw的组合物。常用的阻障层308也称为“扩散阻挡层”或“刻蚀停止层”。本领域的技术人员知道阻障层能提供防止铜扩散的保护。
第五层包括传导电流的内连线312。导电的内连线邻接电介质层308。通常第五层也包括另一种邻接或“环绕”导电内连线312的电介质材料310。例如,内连线312由铜制成,也可由其它导体如钨或铝构成。IC结构中的内连线被电介质材料如氧化硅(SiO)310包围。
参见图2和图3,在步骤202中,具有形成图案的光刻胶的IC结构300置于图1所示的反应器100中。光刻胶层302形成图案用于先刻蚀通孔。本方法然后进入步骤204。
在步骤204中,用含氟混合气来刻蚀保护层304和电介质层306。选用的含氟混合气的类型取决于保护层304和电介质层306的类型。作为实施例而非限制,含氟混合气可以包括氟气(F2)、三氟化氮(NF3)、氟化碳或上述物质的任意组合。通常,氟化碳气体的化学成分是CxFy或CxFyHz,其中的x、y和z代表整数。另外,刻蚀剂混合气也可以将惰性气体作为稀释剂。举例来说并非限制,惰性气体包括氩(Ar)、氦(He)、氖(Ne)、氪(Kr)和氙(Xe)。
众所周知,用含氟混合气刻蚀后,会产生沉积在IC和反应器上的氟化聚合物(CxHyFz)。如前文所述,氟化聚合物会和公知的去除光刻胶的混合气反应。
在步骤206中,向反应器100通入含有一氧化碳(CO)的第一混合气。第一混合气还包括一种或多种气体或混合气体。在一个实施例中,氧化性混合气包括氧气(O2)和一氧化碳。在另一实施例中,混合气包括氮气(N2)和一氧化碳。另一种一氧化碳混合气包括氮气(N2)和氧气(O2)的混合气。还有一种包括一氧化碳的混合气也包括一氧化二氮(N2O)。另有一种包括一氧化碳的混合气也包括氨气(NH3)。另有一种包括一氧化碳的混合气也包括氮气(N2)和氢气(H2)的混合气。另有一种包括一氧化碳的混合气也包括水蒸气(H2O)。
在步骤208中,在反应器中激发含一氧化碳的氧化性混合气,产生等离子体。在步骤210中,光刻胶层被选择性地去除,而对暴露的阻障层只有微量的刻蚀或没有刻蚀,这样就减少了阻障层中碳化硅或氮化硅的损失。尽管其中具体的机理还未知,但是可以推测一氧化碳(CO)捕捉从沉积在晶片和/或反应器上含F聚合物(CxFyHz)释放出来的氟。通过最小化阻障层的损失,其下的铜内连线的完整性得以保证。另外,在去除光刻胶过程中用一氧化碳能使应用于IC结构的阻障层更薄,这样就降低了铜内连线的电容。此外,在去除光刻胶过程中用一氧化碳能使去除过程在用于刻蚀的同一个反应器100中进行。
在一个实施例中,上述的第一混合气由一氧化碳(CO)、氮气(N2)和氧气(O2)组成。在一个宽范围的实施例中,工艺参数的范围是操作压力5~2000mTorr,RF能范围在50到1000W之间,N2的流动速率是10~5000sccm,O2的流动速率是10~5000sccm,CO的流动速率是10~5000sccm。
在进一步的实施例中,RF电源提供27MHz和2MHz的RF能,工艺的参数为,操作压力20~1000mTorr,27MHz RF能为0~600W,2MHz RF能为0~6000W,N2的流动速率是50~2000sccm,O2的流动速率是50~2000sccm,CO的流动速率是50~2000sccm。
用于系统100的更进一步的实施例中,工艺的参数为,操作压力30~900mTorr,27MHZ RF能为0~400W,2MHz RF能为0~400W,N2的流动速率是100~1000sccm,O2的流动速率是100~1000sccm,CO的流动速率是100~1000sccm。
作为非限制性的示例,将有机光刻胶从IC结构上去除的工艺参数如表1所示。该IC结构具有二氧化硅(SiO2)电介质层和氮化硅阻障层,该电介质层已经过含氟气体刻蚀。
                              表1去除光刻胶的工艺参数
序号# 压力(mTorr) 27MHzRF能(W) 2MHzRF能(W) N2流速(sccm) O2流速(sccm) CO流速(sccm)   SiN刻蚀速度(/min)   PR刻蚀速度(/min)
  1   400   300   300   200   1000   400   10   10000
  2   400   300   0   0   1000   400   5   5000
在表1中显示了两个不同序号的流程中用到的工艺参数。所有的流程是在20℃,200mm晶片上进行的。温度可以在0℃到50℃之间变动。在表1中为“PR”的有机光刻胶的去除过程中的刻蚀时间是60秒。该去除时间可以在10到120秒之间变动。第一流程的选择性等于光刻胶(PR)的去除速度除以SiN的刻蚀速度,选择性比率等于1000。第二流程的在光刻胶和SiN阻障层之间的选择性比率等于1000。
在步骤212中,IC结构上重新形成图案(再图案化)用于刻蚀沟槽。本领域的技术人员应该知道该步骤通常需要将与IC结构关联的晶片从反应器100中移走。使用公知的光刻系统和方法在晶片上重新形成图案。重新形成图案的过程包括如图3D所示产生一层具有形成的图案的光刻胶层316。
在步骤214中,将晶片送回反应器100。于是可以用含氟气体在晶片相应的IC结构内刻蚀沟槽,如步骤204。完成沟槽蚀刻后,本方法就进入步骤216,此时IC结构要在同一个反应器100内准备去除光刻胶。如步骤206所述,在步骤216中,向反应器100通入含一氧化碳的第二混合气。在步骤218中,含一氧化碳的第二混合气以如步骤208所述的方式激发。本领域的技术人员在获悉本发明公开的内容后即应知,第一混合气和第二混合气具有相似和/或不同的化学性质。在步骤218中,光刻胶层被去除,而对阻障材料只有微量的刻蚀或没有刻蚀,这样在光刻胶层去除过程中最小化阻障层材料的损失。
图3A到图3F是关于阻障层刻蚀的多个等尺寸图300,其中阻障层如上文所述由氮化硅和/或碳化硅构成。IC结构300的等尺寸图提供了上述方法的直观的示意图。
图3A的IC结构300包括形成图案的第一光刻胶层302,由SiO2构成的第二保护层304,第三电介质层306,第四层308,包括铜内连线312的第五层。IC结构300的详细描述请见上文。
图3B中,刻蚀的通孔314穿过第二保护层304和第三电介质层306,到暴露的第四阻挡层308停止。如步骤204所述,用含氟的混合气来刻蚀通孔314。如上文所述,刻蚀过程导致产生氟化聚合物沉积在晶片和反应器上。
参照图3C,从IC结构300上已去除光刻胶层302。如步骤206、208和210所述的方法去除光刻胶。概括地讲,用含一氧化碳的第一混合气产生的等离子体去除光刻胶层。发明人推测在去除光刻胶过程中,第一混合气将氟化聚合物转化成含氟气体,以及一氧化碳与含氟气体中的氟反应或将其捕捉,这样含氟气体对暴露的第四阻障层308刻蚀极小或根本没有刻蚀。
在图3D中,如步骤212所描述的那样,IC结构300上重新形成图案用于刻蚀沟槽。该重新形成图案的步骤包括产生一形成沟槽图案的光刻胶层316。然后将晶片送回反应器100,如步骤214所述,IC结构准备用于刻蚀沟槽。
现在参见图3F,IC结构完成沟槽刻蚀后,第二保护层304和第三电介质层306都被刻蚀,如上文所述,再通入含氟气体进行沟槽的刻蚀。沟槽刻蚀完成后,IC结构就可以准备进行光刻胶的去除。
图3E中所示为,如步骤216和218所述,用含一氧化碳的第二混合气去除光刻胶层316后的IC结构。在去除光刻胶过程中,阻障材料只有微量的损失或没有损失。该去除光刻胶过程最小化阻障层308材料的损失。
尽管说明书中的描述中有诸多限制,但这并不意味着对本发明的权利要求作出限制,而仅仅是本发明一些优选实施例的示例说明。对于本领域的技术人员而言,在看过本说明书后,其它更多的实施例将是显而易见的。因此,本发明的范围由权利要求以及与其要求保护的权利相当的全部范围所决定。

Claims (19)

1.一种从集成电路(IC)结构上去除光刻胶层的方法,所述IC结构包括刻蚀的电介质层和暴露的阻障层,其中,所述电介质层是含硅和氧的材料,所述阻障层的构成材料是氮化硅或碳化硅,所述方法包括:
将包含一氧化碳(CO)的第一混合气通入反应器;
在所述反应器内生成等离子体;
选择性地去除所述光刻胶层,而对所述暴露的阻障层只有微量的刻蚀或没有刻蚀。
2.根据权利要求1所述的方法,其特征在于,所述电介质材料是二氧化硅。
3.根据权利要求1所述的方法,其特征在于,所述第一混合气还包括氧气(O2)。
4.根据权利要求1所述的方法,其特征在于,所述第一混合气还包括氮气(N2)。
5.根据权利要求1所述的方法,其特征在于,所述第一混合气还包括选自包括氧气(O2)、氮气(N2)、氮气(N2)/氧气(O2)、一氧化二氮(N2O)、氨气(NH3)、氮气(N2)/氢气(/H2)和水蒸汽(H2O)的一组混合气的混合气。
6.根据权利要求1所述的方法,其特征在于,所述刻蚀的电介质材料由选自包括:二氧化硅、氧化硅、有机硅化物玻璃和氟化硅玻璃的一组材料的材料构成。
7.根据权利要求1所述的方法,其特征在于,所述的IC结构进一步包括在所述电介质层和所述光刻胶层之间的保护层,所述保护层由选自包括二氧化硅、氧氮化硅、碳化硅和氮化硅的一组材料的材料构成。
8.根据权利要求1所述的方法,其特征在于,用于将所述光刻胶层从所述IC结构上去除的反应器也用于刻蚀所述电介质层。
9.一种从集成电路(IC)结构上去除光刻胶层的方法,所述IC结构包括刻蚀的第一电介质层,暴露的第二阻障层,所述阻障层材料选自氮化硅或碳化硅,以及第三层,其包括邻接阻障层的导电内连线和邻接所述导电内连线的第二电介质材料,所述阻障层位于刻蚀的电介质层和第三层之间,所述方法包括:
将包含一氧化碳(CO)的第一混合气通入反应器;
在所述反应器内生成等离子体;
选择性地去除光刻胶层,而对所述暴露的阻障层只有微量的刻蚀或没有刻蚀。
10.根据权利要求9所述的方法,其特征在于,所述第一电介质层和第二电介质层的材料包括硅和氧。
11.根据权利要求9所述的方法,其特征在于,所述第一混合气包括选自包括氧气(O2)、氮气(N2)、氮气(N2)/氧气(O2)、氧化亚氮(N2O)、氨气(NH3)、氮气(N2)/氢气(/H2)和水蒸汽(H2O)的一组混合气的混合气。
12.根据权利要求9所述的方法,其特征在于,所述刻蚀的第一电介质层由选自包括:二氧化硅、氧化硅、有机硅酸盐玻璃和氟化硅玻璃的一组材料的材料构成。
13.根据权利要求9所述的方法,其特征在于,所述的IC结构进一步包括在所述光刻胶层和所述第一电介质层之间的保护层,所述保护层由选自包括:二氧化硅、氧氮化硅、碳化硅和氮化硅的一组材料的材料构成。
14.根据权利要求9所述的方法,其特征在于,用于将所述光刻胶层从所述IC结构上去除的所述反应器也用于刻蚀所述第一电介质层。
15.一种从集成电路(IC)结构上去除光刻胶层的方法,所述IC结构包括刻蚀的电介质层和暴露的阻障层,其中,所述阻障层构成材料选自包括氮化硅和碳化硅的一组材料,所述方法包括:
将第一混合气通入反应器,所述氧化性的混合气包括一氧化碳(CO),所述氧化性的混合气还包括选自包括:氧气(O2)、氮气(N2)、氮气(N2)/氧气(O2)、一氧化二氮(N2O)、氨气(NH3)、氮气(N2)/氢气(H2)和水蒸汽(H2O)的一组混合气的混合气;
在所述反应器内生成等离子体;
选择性地去除光刻胶层,而对所述暴露的阻障层只有微量的刻蚀或没有刻蚀。
16.根据权利要求13所述的方法,其特征在于,所述电介质层的材料包括硅和氧。
17.根据权利要求13所述的方法,其特征在于,所述刻蚀的电介质层由选自包括:二氧化硅、氧化硅、有机硅酸盐玻璃和氟化硅玻璃的一组材料的材料构成。
18.根据权利要求13所述的方法,其特征在于,所述的IC结构进一步包括在所述电介质层和所述光刻胶层之间的保护层,所述保护层由选自包括:二氧化硅、氧氮化硅、碳化硅和氮化硅的一组材料的材料构成。
19.根据权利要求13所述的方法,其特征在于,用于将所述光刻胶层从所述IC结构上去除的所述反应器也用于刻蚀所述电介质层。
CNA200480029601XA 2003-11-12 2004-11-09 在光刻胶去除过程中最小化阻障材料损失的方法 Pending CN1868039A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/712,326 US20050101135A1 (en) 2003-11-12 2003-11-12 Minimizing the loss of barrier materials during photoresist stripping
US10/712,326 2003-11-12

Publications (1)

Publication Number Publication Date
CN1868039A true CN1868039A (zh) 2006-11-22

Family

ID=34552671

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA200480029601XA Pending CN1868039A (zh) 2003-11-12 2004-11-09 在光刻胶去除过程中最小化阻障材料损失的方法

Country Status (8)

Country Link
US (1) US20050101135A1 (zh)
EP (1) EP1683192A1 (zh)
JP (1) JP2007511099A (zh)
KR (1) KR20060123144A (zh)
CN (1) CN1868039A (zh)
IL (1) IL174648A0 (zh)
TW (1) TW200524051A (zh)
WO (1) WO2005048335A1 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7517801B1 (en) * 2003-12-23 2009-04-14 Lam Research Corporation Method for selectivity control in a plasma processing system
US8222155B2 (en) * 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
US7396769B2 (en) * 2004-08-02 2008-07-08 Lam Research Corporation Method for stripping photoresist from etched wafer
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
JP2007180420A (ja) * 2005-12-28 2007-07-12 Fujitsu Ltd 半導体装置の製造方法及び磁気ヘッドの製造方法
US7244313B1 (en) * 2006-03-24 2007-07-17 Applied Materials, Inc. Plasma etch and photoresist strip process with intervening chamber de-fluorination and wafer de-fluorination steps
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US20090078675A1 (en) * 2007-09-26 2009-03-26 Silverbrook Research Pty Ltd Method of removing photoresist
WO2009039551A1 (en) * 2007-09-26 2009-04-02 Silverbrook Research Pty Ltd Method of removing photoresist
JP5532826B2 (ja) * 2009-11-04 2014-06-25 富士通セミコンダクター株式会社 半導体素子の製造方法
CN102877041B (zh) * 2011-07-14 2014-11-19 中国科学院微电子研究所 薄膜沉积方法以及半导体器件制造方法
CN102610511A (zh) * 2012-03-21 2012-07-25 中微半导体设备(上海)有限公司 光刻胶的去除方法
US8901007B2 (en) * 2013-01-03 2014-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Addition of carboxyl groups plasma during etching for interconnect reliability enhancement
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
JP6523091B2 (ja) * 2015-07-24 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09330911A (ja) * 1996-06-11 1997-12-22 Toshiba Corp 半導体装置の製造方法
US6455232B1 (en) * 1998-04-14 2002-09-24 Applied Materials, Inc. Method of reducing stop layer loss in a photoresist stripping process using a fluorine scavenger
JP3803523B2 (ja) * 1999-12-28 2006-08-02 株式会社東芝 ドライエッチング方法及び半導体装置の製造方法
US6372636B1 (en) * 2000-06-05 2002-04-16 Chartered Semiconductor Manufacturing Ltd. Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
US6352921B1 (en) * 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US6479391B2 (en) * 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US6647994B1 (en) * 2002-01-02 2003-11-18 Taiwan Semiconductor Manufacturing Company Method of resist stripping over low-k dielectric material
JP4326746B2 (ja) * 2002-01-07 2009-09-09 東京エレクトロン株式会社 プラズマ処理方法

Also Published As

Publication number Publication date
TW200524051A (en) 2005-07-16
EP1683192A1 (en) 2006-07-26
JP2007511099A (ja) 2007-04-26
US20050101135A1 (en) 2005-05-12
KR20060123144A (ko) 2006-12-01
IL174648A0 (en) 2006-08-20
WO2005048335A1 (en) 2005-05-26

Similar Documents

Publication Publication Date Title
KR100407542B1 (ko) 반도체 장치 및 그 제조 방법
KR101221158B1 (ko) 플라즈마 프로세싱 시스템에서의 기판 에칭의 최적화 방법
KR100878170B1 (ko) 실리콘카바이드층의 이중 주파수 플라즈마 화학기상증착
US6905968B2 (en) Process for selectively etching dielectric layers
US7129171B2 (en) Selective oxygen-free etching process for barrier materials
CN1868039A (zh) 在光刻胶去除过程中最小化阻障材料损失的方法
JPWO2006137384A1 (ja) 層間絶縁膜および配線構造と、それらの製造方法
US20020094695A1 (en) Method of dry etching organic SOG film
US6647994B1 (en) Method of resist stripping over low-k dielectric material
CN100426469C (zh) 用于有机硅化物玻璃的一氧化二氮去除光刻胶的方法
CN1661799B (zh) 半导体器件
CN100418208C (zh) 用一氧化二氮的回蚀方法
WO2000054328A1 (fr) Systeme de fabrication de dispositif semi-conducteur
JP4067357B2 (ja) エッチング方法
KR20040101008A (ko) 반도체 장치의 제조 방법
US20040043597A1 (en) Method of etching insulating film and method of forming interconnection layer
JP2005005697A (ja) 半導体装置の製造方法
CN101174565A (zh) 制造半导体器件的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication