EP1525333A2 - Method and apparatus for plasma implantation without deposition of a layer of byproduct - Google Patents

Method and apparatus for plasma implantation without deposition of a layer of byproduct

Info

Publication number
EP1525333A2
EP1525333A2 EP03759186A EP03759186A EP1525333A2 EP 1525333 A2 EP1525333 A2 EP 1525333A2 EP 03759186 A EP03759186 A EP 03759186A EP 03759186 A EP03759186 A EP 03759186A EP 1525333 A2 EP1525333 A2 EP 1525333A2
Authority
EP
European Patent Office
Prior art keywords
gas
dilution gas
dopant
dilution
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP03759186A
Other languages
German (de)
French (fr)
Inventor
Steven R. Walther
Svetlana B. Radovanov
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Varian Semiconductor Equipment Associates Inc
Original Assignee
Varian Semiconductor Equipment Associates Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment Associates Inc filed Critical Varian Semiconductor Equipment Associates Inc
Publication of EP1525333A2 publication Critical patent/EP1525333A2/en
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0057Reactive sputtering using reactive gases other than O2, H2O, N2, NH3 or CH4
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/083Compounds containing nitrogen and non-metals and optionally metals containing one or more halogen atoms
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0063Reactive sputtering characterised by means for introducing or removing gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0068Reactive sputtering characterised by means for confinement of gases or sputtered material, e.g. screens, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases

Abstract

A method for limiting the formation of a deposited surface layer on a workpiece, such as a semiconductor wafer, during plasma implantation includes introducing a dopant gas and a dilution gas into a plasma doping chamber for ionization to form dopant gas ions and dilution gas ions, and accelerating the dopant gas ions and the dilution gas ions toward the workpiece. The dopant gas ions are implanted into the workpiece, and the dilution gas ions remove a deposited surface layer from the workpiece. The atomic masses of the dopant gas and the dilution gas may be similar to achieve efficient removal of the deposited surface layer.

Description

REMOVAL OF PLASMA DEPOSITED SURFACE LAYERS BY DILUTION GAS SPUTTERING
Field of the Invention This invention relates to plasma doping systems used for ion implantation of workpieces and, more particularly, to methods and apparatus for removing plasma deposited surface layers by dilution gas sputtering.
Background of the Invention Plasma doping systems have been studied for forming shallow junctions in semiconductor wafers. In a plasma doping system, a semiconductor wafer is placed on a conductive platen, which functions as a cathode and is located in a plasma doping chamber. An ionizable dopant gas is introduced into the chamber, and a voltage pulse is applied between the platen and an anode or the chamber walls, causing formation of a plasma containing ions of the dopant gas. The plasma has a plasma sheath in the vicinity of the wafer. The applied pulse causes ions in the plasma to cross the plasma sheath and to be implanted into the wafer. The depth of the implantation is related to the voltage applied between the wafer and the anode. Very low implant energies can be achieved. Plasma doping systems are described, for example, in U.S. Patent No. 5,354,381 issued October 11, 1994 to Sheng; U.S. Patent No. 6,020,592 issued February 1, 2000 to Liebert et al.; and U.S. Patent No. 6,182,604 issued February 6, 2001 to Goeckner et al. In the plasma doping systems described above, the applied voltage pulse generates a plasma and accelerates positive ions from the plasma toward the wafer. In other types of plasma systems, known as plasma immersion systems, a continuous plasma is produced, for example, by inductively coupled RF power from an antenna located internal or external to the plasma doping chamber. The antenna is connected to an RF power supply. At intervals, voltage pulses are applied between the platen and the anode, causing ions in the plasma to be accelerated toward the wafer.
Dopant gas species used for plasma implantation may decompose during the implant process and form deposited surface layers on the wafer. Examples of such dopant gas species include AsH3, PH3 and B2H6. For example, arsine gas (AsH3) may decompose into As, AsH and AsH2, which may be deposited on the surface of the wafer being implanted. These deposited surface layers cause dose non-repeatability, poor dose uniformity and metrology problems. Accordingly, methods and apparatus are needed to prevent or limit formation of these layers in order to achieve adequate process control for plasma implantation.
Summary of the Invention
According to an aspect of the invention, a method is provided for limiting the formation of a deposited surface layer on a workpiece during plasma implantation. The method comprises introducing a dopant gas and a dilution gas into a plasma doping chamber for ionization to form dopant gas ions and dilution gas ions, and accelerating the dopant gas ions and the dilution gas ions toward the workpiece. The dopant gas ions are implanted into the workpiece, and the dilution gas ions remove a deposited surface layer from the workpiece. The workpiece may be a semiconductor wafer.
The atomic masses of the dopant gas and the dilution gas may be similar to achieve efficient removal of the deposited surface layers. The ratio of the dilution gas to the dopant gas is selected to remove the deposited surface layers as they are formed. In some embodiments, a high proportion of dilution gas is utilized. In some embodiments, an inert dilution gas is utilized. In one embodiment, the dopant gas may be arsine, and the dilution gas may be krypton or xenon. In this embodiment, the ratio of dilution gas to dopant gas may be approximately 50. In another embodiment, the dopant gas may be phosphine, and the dilution gas may be argon. In a further embodiment, the dopant gas may be B2H6, and the dilution gas may be neon. In some embodiments, the dilution gas may include a chemically active component, such as a halogen. In some embodiments, the chemically active component may comprise fluorine or chlorine. In one embodiment, the dilution gas may be an inert gas and fluorine. In some embodiments, the dopant gas and the dilution gas are introduced into the plasma doping chamber separately. In other embodiments, the dopant gas and the dilution gas are premixed before introduction into the plasma doping chamber.
According to another aspect of the invention, plasma doping apparatus is provided. The plasma doping apparatus comprises a plasma doping chamber, a platen located in the plasma doping chamber for supporting a workpiece, an anode spaced from the platen in the plasma doping chamber, a process gas source coupled to the plasma doping chamber and a pulse source. The process gas source introduces a dopant gas and a dilution gas into the plasma doping chamber, wherein a plasma containing dopant gas ions and dilution gas ions is produced in the plasma discharge region between the anode and the platen. The pulse source applies pulses between the platen and the anode for accelerating ions toward the workpiece. The dopant gas ions are implanted into the workpiece and the dilution gas ions remove a deposited surface layer from the workpiece.
According to a further aspect of the invention, a method for plasma implantation is provided. The method comprises introducing a dopant gas into a first chamber for ionization to form dopant gas ions, accelerating the dopant gas ions toward the workpiece, wherein the dopant gas ions are implanted into the workpiece, introducing a dilution gas into a second chamber and accelerating the dilution gas ions toward the workpiece, wherein the dilution gas ions remove a deposited surface layer from the workpiece. The first and second chambers may be the same chamber or different chambers. When the first and second chambers are the same chamber, the steps of introducing a dopant gas into the chamber and introducing a dilution gas into the chamber may be performed concurrently or in sequence.
Brief Description of the Drawings For a better understanding of the invention, reference is made to the accompanying drawings, which are incorporated herein by reference and in which: FIG. 1 is a simplified schematic block diagram of a plasma doping system;
FIG. 2 is a block diagram of a gas supply system in accordance with a first embodiment; and
FIG. 3 is a block diagram of a gas supply system in accordance with a second embodiment. Detailed Description
An example of a plasma doping system suitable for implementation of the present invention is shown schematically in FIG. 1. A plasma doping chamber 10 defines an enclosed volume 12. A platen 14 positioned within chamber 10 provides a surface for holding a workpiece, such as a semiconductor wafer 20. The wafer 20 may, for example, be clamped at its periphery to a flat surface of platen 14. In one embodiment, the platen has an electrically conductive surface for supporting wafer 20. In another embodiment, the platen includes conductive pins (not shown) for connection to wafer 20. Wafer 20 and platen 14 function as a cathode in the plasma doping system. An anode 24 is positioned within chamber 10 in spaced relation to platen 14. Anode 24 may be movable in a direction, indicated by arrow 26, perpendicular to platen 14. The anode is typically connected to electrically conductive walls of chamber 10, both of which may be connected to ground. In another configuration, platen 14 is
5 connected to ground and anode 24 is pulsed.
In the configuration where anode 24 is connected to ground, wafer 20 (via platen 14) is connected a high voltage pulse source 30. The pulse source 30 typically provides pulses in a range of about 100 to 5,000 volts in amplitude, about 1 to 50 microseconds in duration and a pulse repetition rate of about 100 Hz to 2 kHz. It will be understood that
10 these pulse parameter values are given by way of example only and that other values may be utilized.
The enclosed volume 12 of chamber 10 is coupled through a controllable valve 32 to a vacuum pump 34. A process gas source 36 is coupled through a mass flow controller 38 to chamber 10. A pressure sensor 44 located within chamber 10 provides a signal
15 indicative of chamber pressure to a controller 46. The controller 46 compares the sensed chamber pressure with a desired pressure input and provides a control signal to valve 32. The control signal controls valve 32 so as to minimize the difference between the chamber pressure and the desired pressure. Vacuum pump 34, valve 32, pressure sensor 44 and controller 46 constitute a closed loop pressure control system. The pressure is typically
20 controlled in a range of about 1 millitorr to about 500 millitorr, but is not limited to this range. Gas source 36 supplies an ionizable gas containing a desired dopant for implantation into the workpiece. Examples of ionizable gases include BF3, N2, PH3, AsH3j B2H6, F, Cl, Ne, Ar, Kr and Xe. Mass flow controller 38 regulates the rate at which gas is supplied to chamber 10. The configuration shown in Fig. 1 provides a continuous flow of
25 process gas at a constant gas flow rate and constant pressure. The pressure and gas flow rate may be regulated to provide repeatable results.
' The plasma doping system may include a hollow cathode 54 connected to a hollow cathode pulse source 56. In one embodiment, the hollow cathode 54 comprises a conductive hollow cylinder that surrounds the space between anode 24 and platen 14.
30 Additional details regarding the use of a hollow cathode are provided in the aforementioned U.S. Patent No. 6,182,604, which is hereby incorporated by reference.
One or more Faraday cups may be positioned adjacent to platen 14 for measuring the ion dose implanted into wafer 20. In the embodiment of Fig. 1, an annular Faraday cup 50, 52 is positioned around the periphery of wafer 20. The Faraday cup 50, 52 is electrically connected to a dose processor 70 or other dose monitoring circuit. The plasma doping system may include a guard ring 66 that surrounds platen 14. The guard ring 66 may be biased to improve the uniformity of implanted ion distribution near the edge of wafer 20.
In operation, wafer 20 is positioned on platen 14. The pressure control system, mass flow controller 38 and gas source 36 produce the desired pressure and gas flow rate within chamber 10. The pulse source 30 applies a series of high voltage pulses to wafer 20, causing formation of a plasma 40 in a plasma discharge region 48 between wafer 20 and anode 24. As known in the art, plasma 40 contains positive ions of the ionizable gas from gas source 36. Plasma 40 includes a plasma sheath 42 in the vicinity, typically at the surface, of wafer 20. The electric field that is present between anode 24 and platen 14 during the high voltage pulse accelerates positive ions from plasma 40 across plasma sheath 42 toward platen 14. The accelerated ions are implanted into wafer 20 to form regions of impurity material. The pulse voltage is selected to implant the positive ions to a desired depth in wafer 20. The number of pulses and the pulse duration are selected to provide a desired dose of impurity material in wafer 20. The current per pulse is a function of pulse voltage, gas pressure and species, and any variable position of the electrodes.
As noted above, dopant gas species used for plasma implantation may decompose during the implant process and form deposited surface layers on wafer 20. Examples of dopant gas species include AsH3 (arsine), PH3 (phosphine) and B2H6. For example, arsine gas may decompose into As, AsH and AsH2, which may be deposited on the surface of wafer 20. These deposited surface layers cause dose non-repeatability, poor dose uniformity and metrology problems. The formation of deposited surface layers may be reduced or eliminated by introducing a dilution gas into the plasma doping chamber 10 with the dopant gas. The dilution gas molecules are ionized and bombard the surface of the wafer, causing sputtering of the deposited surface layer as it is formed.
Embodiments of gas supply systems for introducing the dopant gas and the dilution gas into plasma doping chamber 10 are shown in FIGS. 2 and 3. In FIG. 2, a dopant gas source 100 is coupled through a mass flow controller 102 to plasma doping chamber 10, and a dilution gas source 110 is coupled through a mass flow controller 112 to plasma doping chamber 10. Thus, the dopant gas supply and the dilution gas supply are separately controlled. In the embodiment of FIG. 3, a premixed gas source 120 is coupled through a mass flow controller 122 to plasma doping chamber 10. Premixed gas source 120 includes the dopant gas and the dilution gas in a desired proportion.
In general, the dilution gas parameters are selected to limit the formation of deposited surface layers on wafer 20. The atomic masses of the dopant gas and the dilution gas may be similar to achieve efficient removal of deposited surface layers. In addition, the proportion of the dilution gas to the dopant gas is selected to remove the deposited surface layers as they are formed. In some embodiments, a high proportion of dilution gas is utilized. The high proportion may be used because the dilution gas is ionized less efficiently than the dopant gas, and a higher proportion of dilution gas is needed to achieve the desired removal of the deposited surface layers. In some embodiments, an inert dilution gas is utilized.
In one embodiment, the dopant may be arsine gas, and the dilution gas may be krypton or xenon. In this embodiment, the ratio of the dilution gas to the dopant gas may be approximately 50. As a result, the gas in plasma doping chamber 10 is 98% dilution gas and 2% dopant gas. In another embodiment, the dopant gas is phosphine gas, and the dilution gas is argon. In this embodiment the ratio of dilution gas to dopant gas may also be approximately 50. In a further embodiment, the dopant gas is B2H6 and the dilution gas is neon. In the embodiments described above, the dilution gas is an inert gas, and deposited surface layers are removed by physical sputtering. In other embodiments, a component of the dilution gas may have chemically active properties. More specifically, a component of the dilution gas may be selected to weaken bonding of the deposited surface layers and to reduce the energy required for sputtering, thereby producing chemically enhanced sputtering. In some embodiments, a halogen containing gas, such as one having fluorine or chlorine atoms, is utilized. In one specific example, the dilution gas is a mixture of an inert gas as described above and fluorine. The mixture of an inert gas and fluorine produces a combination of physical sputtering and chemically enhanced sputtering. The chemically active component of the dilution gas should be a species that does not form a stable solid surface layer on the substrate.
The process for reducing or eliminating formation of deposited surface layers has been described above as involving introduction of a dilution gas into the plasma doping chamber concurrently with plasma implantation so as to remove the deposited surface layer as it is formed. In other embodiments, the deposited surface layer may be removed following plasma implantation. For example, plasma implantation may be performed by utilizing a desired dopant gas and no dilution gas. Following completion of plasma implantation, the plasma doping chamber may be purged of dopant gas, and a dilution gas may be introduced into the plasma doping chamber. The dilution gas is ionized to form a plasma, and the dilution gas ions are accelerated toward the wafer to remove a deposited surface layer. In this embodiment, the deposited surface layer is removed after its formation. The dilution gases described above may be utilized in this embodiment. In this embodiment, "dilution gas" refers to a gas used for removal of a deposited surface layer, even though the gas does not dilute the dopant gas. In further embodiments, plasma implantation and removal of a deposited surface layer by dilution gas sputtering may be performed in different processing chambers.
Reduction of deposited surface layers with dilution gas has been measured by several techniques. X-ray photoelectron spectroscopy (XPS) has been used to quantify the surface concentration of phosphorous with and without argon dilution gas. The measurement was made for two depths into the wafer and showed preferential removal of the surface phosphorous without significantly altering the amount of phosphorous deeper into the silicon (i.e., the implanted portion). This evidence is corroborated by secondary ion mass spectroscopy (SIMS) measurements made of phosphorous implant depth profiles with and without argon dilution gas. The profiles are nearly identical except at the wafer surface, where the implant made with argon dilution gas showed much lower relative surface phosphorous concentrations. Similar SIMS measurements have been made for arsenic implants with and without xenon dilution gas, and results similar to the phosphorous case were obtained. Further, dilution with hydrogen gas did not produce this effect, and the switching of argon to xenon for phosphorous implants showed an increased deposition effect. This illustrates the mass dependent efficiency of the dilution gas species.
While there have been shown and described what are at present considered the preferred embodiments of the present invention, it will be obvious to those skilled in the art that various changes and modifications may be made therein without departing from the scope of the invention as defined by the appended claims.

Claims

CLAIMS 1. A method for limiting the formation of a deposited surface layer on a workpiece during plasma implantation, comprising: introducing a dopant gas and a dilution gas into a plasma doping chamber for ionization to form dopant gas ions and dilution gas ions; and accelerating the dopant gas ions and the dilution gas ions toward the workpiece, wherein the dopant gas ions are implanted into the workpiece and the dilution gas ions remove a deposited surface layer from the workpiece.
2. A method as defined in claim 1, wherein the atomic masses of the dopant gas and the dilution gas are approximately equal.
3. A method as defined in claim 1, wherein a ratio of the dilution gas to the dopant gas is selected to remove deposited surface layers as they are formed.
4. A method as defined in claim 1, wherein a ratio of the dilution gas to the dopant gas is relatively high.
5. A method as defined in claim 1, wherein a ratio of the dilution gas to the dopant gas is about 50.
6. A method as defined in claim 1, wherein introducing a dopant gas and a dilution gas comprises introducing an inert dilution gas.
7. A method as defined in claim 1, wherein the dopant gas comprises arsine and the dilution gas comprises krypton or xenon.
8. A method as defined in claim 1, wherein the dopant gas comprises phosphine and the dilution gas comprises argon.
9. A method as defined in claim 1, wherein the dopant gas comprises B2H6 and the dilution gas comprises neon.
10. A method as defined in claim 1, wherein introducing a dopant gas and a dilution gas comprises supplying the dopant gas and the dilution gas to the plasma doping chamber separately.
11. A method as defined in claim 1, wherein introducing a dopant gas and a dilution gas comprises premixing the dopant gas and the dilution gas before introduction into the plasma doping chamber.
12. A method as defined in claim 1, wherein the dilution gas includes a chemically active component.
13. A method as defined in claim 1, wherein the dilution gas includes halogen atoms.
14. A method as defined in claim 1, wherein the dilution gas includes fluorine or chlorine.
15. A method as defined in claim 1, wherein the dilution gas includes fluorine.
16. A method as defined in claim 1, wherein the dilution gas comprises an inert gas and fluorine.
17. Plasma doping apparatus comprising: a plasma doping chamber; a platen located in said plasma doping chamber for supporting a workpiece; an anode spaced from said platen in said plasma doping chamber; a process gas source coupled to said plasma doping chamber for introducing a dopant gas and a dilution gas into said plasma doping chamber, wherein a plasma containing ions of the dopant gas and the dilution gas is produced in a plasma discharge region between said anode and said platen; and a pulse source for applying pulses between said platen and said anode for accelerating ions from the plasma toward the workpiece, wherein the dopant gas ions are implanted into the workpiece and the dilution gas ions remove a deposited surface layer from the workpiece.
18. Plasma doping apparatus as defined in claim 17, wherein said process gas source comprises a dopant gas source coupled to said plasma doping chamber and a dilution gas source coupled to said plasma doping chamber.
19. Plasma doping apparatus as defined in claim 17, wherein said process gas source comprises a premixed gas source coupled to the plasma doping chamber, said premixed gas source containing the dopant gas and the dilution gas in a desired proportion.
20. A method for plasma implantation, comprising: introducing a dopant gas into a first chamber for ionization to form dopant gas ions; accelerating the dopant gas ions toward the workpiece, wherein the dopant gas ions are implanted into the workpiece; introducing a dilution gas into a second chamber for ionization to form dilution gas ions; and accelerating the dilution gas ions toward the workpiece, wherein the dilution gas ions remove a deposited surface layer from the workpiece.
21. A method as defined in claim 20, wherein the first and second chambers are the same chamber and wherein the steps of introducing a dopant gas into the chamber and introducing a dilution gas into the chamber are performed concurrently.
22. A method as defined in claim 20, wherein the first and second chambers are the same chamber and wherein the step of introducing a dilution gas into the chamber is performed after the step of introducing a dopant gas into the chamber.
23. A method as defined in claim 20, wherein the step of introducing a dilution gas into the second chamber is performed after the step of introducing a dopant gas into the first chamber.
24. A method as defined in claim 20, wherein the first and second chambers are the same chamber.
25. A method as defined in claim 20, wherein the first and second chambers are different chambers.
EP03759186A 2002-08-02 2003-08-01 Method and apparatus for plasma implantation without deposition of a layer of byproduct Withdrawn EP1525333A2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US40056002P 2002-08-02 2002-08-02
US400560P 2002-08-02
PCT/US2003/024158 WO2004013371A2 (en) 2002-08-02 2003-08-01 Method and apparatus for plasma implantation without deposition of a layer of byproduct

Publications (1)

Publication Number Publication Date
EP1525333A2 true EP1525333A2 (en) 2005-04-27

Family

ID=31495837

Family Applications (1)

Application Number Title Priority Date Filing Date
EP03759186A Withdrawn EP1525333A2 (en) 2002-08-02 2003-08-01 Method and apparatus for plasma implantation without deposition of a layer of byproduct

Country Status (5)

Country Link
EP (1) EP1525333A2 (en)
JP (1) JP2005535131A (en)
KR (1) KR20050034731A (en)
TW (1) TW200402769A (en)
WO (1) WO2004013371A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109923641A (en) * 2016-11-08 2019-06-21 瓦里安半导体设备公司 Use the plasma doping of solid doping sources

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050287307A1 (en) * 2004-06-23 2005-12-29 Varian Semiconductor Equipment Associates, Inc. Etch and deposition control for plasma implantation
US20060040499A1 (en) * 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
EP1936656A1 (en) * 2006-12-21 2008-06-25 Nederlandse Organisatie voor Toegepast-Natuuurwetenschappelijk Onderzoek TNO Plasma generator and method for cleaning an object
US8679960B2 (en) 2009-10-14 2014-03-25 Varian Semiconductor Equipment Associates, Inc. Technique for processing a substrate having a non-planar surface
SG188998A1 (en) * 2010-09-15 2013-05-31 Praxair Technology Inc Method for extending lifetime of an ion source
WO2013123140A1 (en) * 2012-02-14 2013-08-22 Advanced Technology Materials, Inc. Alternate materials and mixtures to minimize phosphorus buildup in implant applications
KR101596466B1 (en) 2015-07-21 2016-02-22 농업회사법인 주식회사 아그로비즈 Method of producing a water-soluble granular fertilizer composition

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57201527A (en) * 1981-06-01 1982-12-10 Toshiba Corp Ion implantation method
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
JP3080867B2 (en) * 1995-09-25 2000-08-28 日本電気株式会社 Method for manufacturing SOI substrate
JP3099819B2 (en) * 1997-11-28 2000-10-16 セイコーエプソン株式会社 Method for manufacturing semiconductor device
GB2336603A (en) * 1998-04-23 1999-10-27 Metaltech Limited A method and apparatus for plasma boronising
US6300643B1 (en) * 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
KR20010039728A (en) * 1999-07-22 2001-05-15 가와하라 하지메 Ion source
EP1156511A1 (en) * 2000-05-19 2001-11-21 Applied Materials, Inc. Remote plasma CVD apparatus

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO2004013371A2 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109923641A (en) * 2016-11-08 2019-06-21 瓦里安半导体设备公司 Use the plasma doping of solid doping sources
CN109923641B (en) * 2016-11-08 2022-11-29 瓦里安半导体设备公司 Method for depositing or implanting dopant species in a workpiece and method for treating a workpiece

Also Published As

Publication number Publication date
KR20050034731A (en) 2005-04-14
WO2004013371A2 (en) 2004-02-12
TW200402769A (en) 2004-02-16
JP2005535131A (en) 2005-11-17
WO2004013371A3 (en) 2004-10-21

Similar Documents

Publication Publication Date Title
US20060099830A1 (en) Plasma implantation using halogenated dopant species to limit deposition of surface layers
US7326937B2 (en) Plasma ion implantation systems and methods using solid source of dopant material
US6500496B1 (en) Hollow cathode for plasma doping system
US7396746B2 (en) Methods for stable and repeatable ion implantation
EP1224683B1 (en) Method and apparatus for low voltage plasma doping using dual pulses
KR101065449B1 (en) Ion source apparatus and cleaning optimized method thereof
KR100337718B1 (en) Method of injecting semiconductor wafer ions, non-raster injection device, method of injecting into semiconductor wafer with surface, and workpiece processing method and device
KR101465542B1 (en) Plasma processing with enhanced charge neutralization and process control
KR101811364B1 (en) Techniques for plasma processing a substrate
US7528389B2 (en) Profile adjustment in plasma ion implanter
US20050260354A1 (en) In-situ process chamber preparation methods for plasma ion implantation systems
US7687787B2 (en) Profile adjustment in plasma ion implanter
KR20070088752A (en) Plasma ion implantation system with axial electrostatic confinement
EP1525333A2 (en) Method and apparatus for plasma implantation without deposition of a layer of byproduct
US20070069157A1 (en) Methods and apparatus for plasma implantation with improved dopant profile
KR20070116505A (en) Apparatus for processing of semiconductor wafer
KR20100121982A (en) Plasma doping method and device
WO2007013753A1 (en) Semiconductor doping method using pulsed inductively coupled plasma and system therefor
KR20100121981A (en) Plasma doping method using frequency modulation
KR101096490B1 (en) Plasma doping method and device used to the same

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20050211

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LI LU MC NL PT RO SE SI SK TR

RBV Designated contracting states (corrected)

Designated state(s): DE FR GB

17Q First examination report despatched

Effective date: 20080430

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20081111