KR100339190B1 - 씨4 범프 형성 방법 및 상호 접속 구조 - Google Patents

씨4 범프 형성 방법 및 상호 접속 구조 Download PDF

Info

Publication number
KR100339190B1
KR100339190B1 KR1019990008580A KR19990008580A KR100339190B1 KR 100339190 B1 KR100339190 B1 KR 100339190B1 KR 1019990008580 A KR1019990008580 A KR 1019990008580A KR 19990008580 A KR19990008580 A KR 19990008580A KR 100339190 B1 KR100339190 B1 KR 100339190B1
Authority
KR
South Korea
Prior art keywords
layer
metal
solder
metal layer
ball
Prior art date
Application number
KR1019990008580A
Other languages
English (en)
Other versions
KR19990082735A (ko
Inventor
안드리카코스파나요티스콘스탄티노우
다타마다브
호칸스윌마잔
강성권
퀴에트니악케이스토마스
Original Assignee
포만 제프리 엘
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 포만 제프리 엘, 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 포만 제프리 엘
Publication of KR19990082735A publication Critical patent/KR19990082735A/ko
Application granted granted Critical
Publication of KR100339190B1 publication Critical patent/KR100339190B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/03452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/0347Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • H01L2224/03912Methods of manufacturing bonding areas involving a specific sequence of method steps the bump being used as a mask for patterning the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05026Disposition the internal layer being disposed in a recess of the surface
    • H01L2224/05027Disposition the internal layer being disposed in a recess of the surface the internal layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/1147Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/1183Reworking, e.g. shaping
    • H01L2224/11831Reworking, e.g. shaping involving a chemical process, e.g. etching the bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13006Bump connector larger than the underlying bonding area, e.g. than the under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13116Lead [Pb] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01024Chromium [Cr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01028Nickel [Ni]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01039Yttrium [Y]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0105Tin [Sn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01327Intermediate phases, i.e. intermetallics compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/050414th Group
    • H01L2924/05042Si3N4
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/36Material effects
    • H01L2924/365Metallurgical effects
    • H01L2924/3651Formation of intermetallics

Abstract

본 발명은 신뢰성 있는 저온 결합(low-temperature joining)용 C4 플립 칩(flip-chip) 구조를 제조하는 수단에 관한 것이다. 전기 화학적으로 제조된 C4 상호 접속부는 주석을 많이 포함한 전해 도금된 땜납 범프(solder bump)와 볼 제한 금속층(ball-limiting metallurgy) 사이에 장벽층을 구비하며, 장벽층은 땜납 내의 Sn에 의해 볼 제한 금속층 내의 단자 금속이 손상되는 것을 방지한다. 장벽층은 땜납과 동일한 포토레지스트 마스크를 사용하여 전해 도금되므로 별도의 패터닝(patterning) 단계를 필요로 하지 않는다. 전해 도금된 얇은 니켈층은 신뢰성 있는 장벽층으로서 구리계(copper-based) 볼 제한 금속층과 주석-납(Sn-Pb) 공융(eutectic) C4 볼 사이에 제공된다.

Description

씨4 범프 형성 방법 및 상호 접속 구조{BARRIER LAYERS FOR ELECTROPLATED SNPB EUTECTIC SOLDER JOINTS}
본 발명은 반도체 칩과 온도 민감형(temperature-sensitive) 기판 사이에 위치하는 저 융점 땜납 상호 접속부(low-melting solder interconnection)의 전기 화학적 제조에 관한 것으로, 보다 구체적으로는, SnPb 공융(eutectic) 땜납과 땜납 패드를 규정하는 볼 제한 금속층(ball-limiting metallurgy : BLM) 사이에 위치하는 장벽층의 전기 화학적 형성에 관한 것이다.
C4(controlled-collapse chip connection)는 IC(integrated circuit) 칩을 전자 패키지 내의 기판에 연결시키는 수단이다. 더욱이, C4는 플립 칩(flip-chip) 기술로서, 이 기술에서의 상호 접속부는 칩 표면 상에 위치한 작은 땜납 볼이다. 이는 면 어레이(area array)이기 때문에, C4 기술이 당 기술 분야에 알려진 가장 높은 칩 상호 접속 밀도를 제공하는 기법이다.
C4 기술은 1960년대 이래 IBM에 의해 채택되어 왔으며, 반도체 분야에서 높은 신뢰성을 입증하여 왔다. 과거에는, PbSn 땜납을 금속 마스크를 통해 증발시켰다. 1990년대에는, 전기 화학적으로 C4 상호 접속부를 제조하는 기술이 도입되었다(예를 들어, 엠. 다타 등(M. Datta, et al.)에 의한 Electrochemical Fabrication of Mechanically Robust PbSn Interconnections,J. Electrochem. Soc., 142 , 3779 (1995), 융(Yung)의 미국 특허 제 5,162,257 호, WO 제 96/30933 호 참조). 증발에 비해 전해 도금이 작은 크기의 C4 패드, 보다 작은 패드 간격, 보다 큰 웨이퍼, 보다 낮은 융점의 땜납(더 높은 함량의 Sn을 포함함)에 보다 광범위하게 사용될 수 있다.
집적회로 칩의 상부층은 유전 재료의 절연층에 의해 분리되며 소자에 입력/출력을 제공하는 배선 레벨이다. C4 구조에서, 칩 배선은 종래 기술에서 범프 하부 금속층(under-bump metallurgy : UBM)으로도 불리우는 볼 제한 금속층을 형성하는 다수의 금속 박막에 의해 종결되어 있다. 볼 제한 금속층은 리플로우(reflow) 후의 땜납 범프의 크기를 규정하며, 땜납에 의해 습윤(wetting) 가능하고 땜납과 반응하는 표면을 제공함으로써 좋은 부착성(adhesion)과 기계적 및 열적 응력 하에서 수용할 만한 신뢰성을 제공하고, 집적회로 소자와 상호 접속부 내의 금속 사이의 장벽이 된다.
칩이 세라믹 모듈(예를 들어, MCM(multi-layer ceramic module))에 부착되는 경우, Pb를 다량 포함하고 그에 따라 고 융점을 갖는 땜납이 C4 결합부(joint)에 사용된다. Pb를 다량 포함한 땜납은 300 ℃ 보다 꽤 높은 온도에서 리플로우된다. Pb를 다량 포함한 땜납 내의 Sn의 함량은 전형적으로 중량비 5 % 미만이다. 전형적인 볼 제한 금속층 구조는 Cr 혹은 TiW(칩 표면에 존재), CrCu, 이어서 Cu로 구성된 박막 스택(thin film stack)이다. 땜납 내의 Sn은 Cu와 쉽게 반응하여 땜납과 볼 제한 금속층을 부착시키는 인터메탈릭(intermetallic)을 형성한다. 중량비 약 5 % 미만의 Sn을 포함한 땜납에 있어서는, Cu 박막(예를 들어, ≤ 0.5 μm)이 볼 제한 금속층을 위한 적절한 단자층(terminal layer)이 된다.
약 300 ℃ 이상의 리플로우 온도는 세라믹 모듈에 대해서는 적절한 것이지만, 이보다 내열성이 좋지 않은 재료(예를 들어, 라미네이트(laminate))에 대해서는 너무 높은 것이다. 그러므로, 직접적 칩 부착(direct chip attach : DCA) 및 유사한 구조들에 일반적으로 중량비 63 %의 Sn을 포함하는 SnPb 공융과 같은 보다 낮은 융점의 땜납을 사용한다.
주석 및 Sn계 땜납은 Cu 금속과 빠르게 반응하는데, 땜납을 리플로우하고 결합시키는 높은 온도에서는 특히 그러하다. Cu는 Sn에 녹아서 땜납-Cu 계면에 매우 불균일한 두께를 갖는 Cu/Sn 인터메탈릭층을 형성한다(에스. 케이. 강 등(S. K. Kang, et al.)에 의한 Interfacial Reactions During Soldering with Lead-Tin Eutectic and Lead (Pb)-Free, Tin-Rich Solders,J. Electronic Mater., 25 , 1113 (1996) 참조).
비록 SnPb 공융이 97Pb3Sn 보다 약 100 ℃ 정도 낮은 리플로우 온도를 갖고 있지만, 0.5 μm의 Cu 박막 모두가 리플로우 중에 인터메탈릭을 형성하는 데 소모될 것이다. Cu 납땜 가능층이 Cu-Sn 인터메탈릭층으로 완전히 변환되면 기계적 신뢰성이 상실된다. 따라서, 유럽 특허 출원 제 0 603 296 B 호에 개시된 것과는 달리, 칩을 MCM에 결합하는데 사용되는 BLM은 라미네이트 혹은 유사한 재료들에 대한 DCA에는 적합하지 않다. 경험을 통해, Cu가 남아있지 않은 상호 접속부는 열 사이클링(thermal cycling)의 기계적 응력에 의한 손상을 피할 수 없음을 알 수 있었다. 따라서, Sn계 땜납과 볼 제한 금속층 혹은 칩 측(chip-side)의 다른 금속층 사이에 적절한 장벽층(barrier layer : BL)이 필요하다.
Cu와 SnPb 공융 땜납 사이의 얇은 니켈층은 땜납에 Cu가 녹는 것을 감소시킬 수 있다(에스. 케이. 강 등의 상기 자료 참조). 용융 사이클 중 형성되는 Ni/Sn 인터메탈릭층은 동일한 조건하에서 형성되는 Cu/Sn 인터메탈릭층에 비해 보다 얇고 보다 균일하다.
본 기술분야에는, 저온 C4 구조를 위한 납땜 가능층으로 Cu에 대한 다양한 대체물이 존재한다. 이들 대체물은 땜납 내 Sn과의 반응으로 인해 Cu 볼 제한 금속층이 과도하게 소모되는 것을 방지하기 위해 특별히 구현된 것이다.
전기 화학적으로 제조된 C4 내에서 구현하기에 특히 적합한 하나의 방안은 볼 제한 금속층과 SnPb 공융 땜납 사이에 격리층(stand-off)을 사용하는 것이다. 이 방안에서, 칩 표면 바로 위에 있는 땜납은 Pb 고 함유, 고 융점 땜납이며, 이 점에 있어서, 그 처리 공정은 MCM과의 결합에 사용되는 고온 C4의 그것과 동일하다. 칩 상의 Pb 고 함유 땜납은 볼 제한 금속층 내의 Cu 단자층에 사용될 수 있다. 더욱이, 저온 C4 부착에 있어, Pb 고 함유 땜납은 리플로우될 필요가 없다. SnPb 공융, 용융 중 SnPb 공융을 형성하는 순수 Sn, 혹은 또다른 저 융점 땜납인 상호 접속부를 형성하는 두 번째의 저 융점 땜납은 기판 상에 혹은 칩 위에서 Pb 고 함유 땜납 상에 증착된다(다랄 등(Dalal, et al.)의 미국 특허 제 5,634,268 호, 갤 등(Gall, et al.)의 미국 특허 제 5,391,514 호, 제이. 디. 미스 등(J. D. Mis, et al.)의 Flip Chip Production Experience: Some Design, Process, Reliability, and Cost Considerations, Proc. 1996 International Symposium on Microelectronics, SPIE Vol. 2920, p. 291 참조). 리플로우 및 결합 공정 중, 두 개의 땜납은 상호 혼합될 수 있고, 저 융점 땜납은 Pb 고 함유 땜납 기저층(pedestal)의 측면을 습윤화할 것이다. 땜납이 혼합된 결과, 용융 온도는 넓은 범위를 갖게 된다. Pb 고 함유 땜납 기저층의 측면을 습윤화시킴으로써, Sn 고 함유 땜납은 패드의 둘레에서 볼 제한 금속층의 Cu 단자층을 손상시키게 된다.
볼 제한 금속층과 땜납 결합부 사이에 위치한 기저층을 사용하는 다른 저온 C4 구조는 관통 마스크(through-mask) 증발된 세 개층, 즉 Sn, Pb, Sn의 땜납 스택을 포함한다(그리어(Greer)의 미국 특허 번호 제 5,470,787 호 참조). 칩 표면에 있는 Sn은 C4를 범프 하부 금속층에 부착시키는 인터메탈릭을 형성하는데 필요한 양으로 제한되며, Sn의 양이 충분하지 않아 범프 하부 금속층 내의 Cu가 과도하게 소모되지 않는다. 상부의 Sn은 Pb 격리층과 혼합되어 저 융점 땜납을 제공한다. 이러한 구조는 Sn의 증발을 필요로 하는데, Sn은 낮은 증기압을 갖기 때문에 실제 유용한 증발 속도를 얻기 힘들다.
상호 접속부 전체가 Sn을 많이 포함한 땜납으로 이루어져 있고 격리층이 없는 구조는 (고 융점 땜납에 적합한 것과는) 상이한 볼 제한 금속층 혹은 볼 제한 금속층과의 반응에 대한 장벽을 필요로 한다. 무전해 NiP로 이루어진 장벽(산화를 방지하기 위해 얇은 Au 층을 구비함)은 스크리닝(screening), 사출 성형(injection molding), 스텐실 프린팅(stencil printing), 기계적 범핑(mechanical bumping) 혹은 땜납 볼 배치에 의해 형성된 C4와 함께 사용되어 왔다(예를 들어, 제이. 오디트 등(J. Audet, et al.)의 Low Cost Bumping Process For Flip Chip, Proc. 1995 International Flip Chip, BGA, and Advanced Packaging Symposium, p. 16, 이. 중 등(E. Jung, et al.)의 The Influence of NiSn Intermetallics on the Performance of Flip Chip Contacts using a Low Cost Electroless Nickel Bumping Approach, Proc. 1996 Electronics Packaging Conference, IEPS, p. 14 참조). 무전해 NiP 공정은 하부에 위치한 Al(Cu) 금속층 상에만 증착하는 이점을 가지고 있으므로, 마스킹 단계가 필수적으로 요구되지 않는다. 반면에, NiP 증착 공정은 수소 가스 발생을 유발하므로, 작고 깊은 형상을 갖는 포토레지스트 마스크를 사용해서는 양질의 NiP 증착물을 얻을 수 없다. 이러한 마지막 특성 때문에, NiP 증착물은 전해 도금된 C4에 대한 장벽층으로 사용되기에 부적합하다.
장벽층을 구비한 C4 구조를 제조하는 종래 기술의 공정에서 언급한 단점들에 비추어 볼 때, 볼 제한 금속층의 단자층과 Sn계 땜납 볼 사이에 장벽층을 형성하기 위한 새로운 공정이 요구된다.
따라서, 본 발명의 한 측면은 저 융점, Sn 고 함유 SnPb 땜납 볼을 구비하는 신뢰성 있는 구조를 제조하는 방법에 관한 것이다.
본 발명의 다른 측면은 저 융점, Sn 고 함유 SnPb 땜납 내의 Sn에 의해 볼 제한 금속층이 손상되는 것을 방지하는 C4 구조에 관한 것이다.
본 발명의 또다른 측면은 종래 기술의 방법을 사용해 지금까지 얻을 수 있었던 것보다 더 작은 크기의 C4와 더 작은 패드 피치(pad pitch)에 대해 사용할 수 있는 저비용의 전기 화학적으로 제조된 C4 구조를 제공하는 것이다.
본 발명의 또다른 측면은 땜납과 동일한 마스크를 사용해 전해 도금되므로 별도의 패터닝(patterning) 단계를 필요로 하지 않는 장벽층을 갖는 전기 화학적으로 제조된 C4 구조에 관한 것이다.
본 발명의 추가적 측면은 전해 도금된 장벽층에 대한 에칭된 볼 제한 금속층의 언더컷(undercut)에 관한 것으로, 이 언더컷은 가장자리에서의 땜납의 위킹(wicking)에 의해 볼 제한 금속층의 단자 금속층이 손상되는 것을 방지하고 C4 구조 내의 응력을 완화시킨다.
본 발명의 마지막 측면은 회로 기판(circuit board)과 같은 열 민감형(heat-sensitive) 재료에 칩을 직접 부착하는데 사용할 수 있는 C4 구조에 관한 것이다.
이러한 측면 및 장점과 다른 측면 및 장점은 상부 표면에 입력/출력 접속부를 구비하는 집적 회로 웨이퍼 상에 저 융점 SnPb 땜납을 갖는 C4를 제조하는 단계를 포함하는 방법을 통해 본 발명에서 달성된다. 구체적으로, 본 발명의 방법은,
① 반도체 웨이퍼의 패시베이션(passivation)된 표면 상에 다수의 블랭킷(blanket) 금속층을 증착하는 단계 ― 상기 반도체 웨이퍼의 상기 패시베이션된 표면은 상기 웨이퍼에 매립되어 있는 적어도 하나의 금속성 패드와 상기 웨이퍼의 상부에 개구(opening)를 자체 내에 갖는 패시베이션층을 포함함 ― 와,
② 상기 다수의 블랭킷 금속층에 포토레지스트 재료를 도포하는 단계와,
③ 상기 포토레지스트 재료를 패터닝하여 땜납 범프 형성을 위한 영역을 제공하는 단계와,
④ 상기 땜납 범프 형성을 위한 영역 상에 금속 장벽층을 전해 도금하는 단계와,
⑤ 상기 전해 도금된 금속 장벽층 상에 C4 땜납 범프 재료를 전해 도금하는 단계와,
⑥ 상기 포토레지스트 재료를 제거하는 단계와,
⑦ 상기 C4 땜납 범프 재료를 마스크로 사용하여 적어도 하나의 블랭킷 금속층을 에칭하는 단계를 포함한다.
필요하다면, 단계 ⑦ 에서의 C4 땜납 볼 재료는 반도체 패키지와 결합되기 전에 리플로우할 수도 있다.
도 1은 본 발명의 방법에 따라 마련된 땜납과 볼 제한 금속층 사이에 장벽층을 구비하는 C4 땜납 볼을 도시한 도면.
도 2는 도 1에서 X와 Y로 표시된 영역의 상세도.
도 3a 내지 3h는 도 1에 도시한 구조를 제조하기 위해 본 발명에서 채택한 공정 단계를 나타내는 도면.
도면의 주요 부분에 대한 부호의 설명
10 : 반도체 웨이퍼 12 : 금속성 패드
14 : 패시베이션층 16 : 볼 제한 금속층
18 : 장벽층 20 : 땜납 볼
이하에서, 전해 도금된 C4 구조 내의 볼 제한 금속층과 공융 SnPb 땜납 사이에 전해 도금된 장벽층을 제공하는 본 발명을 첨부된 도면을 참조하여 보다 상세히 설명할 것이며, 동일한 참조 번호가 도면들의 동일하고 대응하는 구성요소들에 사용된다. 본 발명에서 만들어지는 장벽층은 패터닝 단계를 필요로 하지 않으며, 땜납과 동일한 포토레지스트 마스크를 사용해 도금된다. 장벽층은 땜납에 의해 습윤 가능해지고 땜납에 잘 부착된다. 또한, 본 발명의 장벽층은 대체 BLM의 사용을 가능하게 한다. 대체 BLM을 Cu가 아닌 다른 금속으로 구성할 수도 있다. 대체 BLM들은 또한 흔히 사용되는 BLM 보다 더 얇을 수 있으며, 그로 인해 제조하고 패터닝하기가 보다 용이하고 비용이 적게 든다.
도 1을 참조하면, 온도 민감형 기판과 결합되기 전의 본 발명의 전형적인 상호 접속 구조를 도시하고 있다. 구체적으로, 도 1의 상호 접속 구조는 금속성 패드(12)가 매립되어 있는 반도체 웨이퍼(10)와 금속성 패드(12)와 반도체 웨이퍼(10)의 기설정된 영역 상에 위치한 패시베이션층(14)을 포함한다. 이 구조는 금속성 패드(12)의 상부와 패시베이션층(14)의 일부분 상에 볼 제한 금속층(16)을 더 포함한다. 장벽층(18)과 땜납 볼(20)은 볼 제한 금속층(16)의 상부에 위치한다.
본 발명에 사용된 반도체 웨이퍼는 Si, Ge, SiGe, GaAs, GaP, InAs, InP 등과 같은 통상적인 반도체 재료를 포함한다. 매우 바람직한 반도체 재료는 Si이다. 웨이퍼는 전형적으로 다수의 활성(active) 집적회로(IC) 소자를 포함한다. 명료함을 위해, 이들 활성 IC 소자는 본 발명의 도면에 도시되어 있지 않다.
IC 소자와 외부와의 접속부를 형성하기 위해 본 발명에서 사용하는 금속성 패드는 Cu, Al, Cu 도핑된 Al과 같은 금속으로 구성되지만 여기에 한정되지는 않는다. 금속성 패드(12)에 대한 매우 바람직한 금속은 Cu 도핑된 Al이다.
패시베이션층(14)은 폴리이미드(polyimide), 실리콘 이산화물(silicon dioxide) 혹은 실리콘 질화물(silicon nitride)와 같은 재료로 형성된다. 본 발명에 의하면, 패시베이션층(14)은 금속성 패드(12)의 전체 표면을 덮고 있지는 않다. 대신, 패시베이션층(14)은 금속성 패드(12)를 노출시키는 개구를 그 내부에 구비하고 있다.
여기서, 볼 제한 금속층 혹은 BLM이라는 용어는 패시베이션층(14)과 금속성 패드(12)의 노출된 표면 상에 증착된 다수의 블랭킷 금속층을 나타내는데 사용된다. 본 발명에 따라, 금속성 패드(12)와 BLM(16)은 적어도 제 1 부착 금속층, 선택적 제 2 부착 금속층, 습윤 가능한 (단자) 금속층을 포함할 수 있다. 단순화를 위해, 이러한 다수의 금속층이 도 1에서 BLM(16)으로 표시된다.
도 2는 도 1에서 X - Y로 표시된 영역의 상세도로서, BLM(16)을 구성하는 다양한 금속층을 도시하고 있다. 상술한 바와 같이, BLM(16)은 제 1 부착 금속층(16a), 선택적 제 2 부착 금속층(16b), 습윤 가능한 단자 금속층(16c)을 포함할 수 있는 다수의 금속층을 포함한다.
금속성 패드(12)와 패시베이션층(14) 상에 직접 증착되는 제 1 부착 금속층은 상호 접속부와 웨이퍼를 부착시킨다. 더욱이, 제 1 부착층(16a)은 상호 접속 재료와 하부에 위치한 반도체 웨이퍼 상의 IC 소자 간의 어떠한 상호작용도 방지한다. 제 1 부착층(16a)은 금속성 패드(12)와 패시베이션층(14)에 부착될 수 있는 금속 혹은 금속 합금으로 구성될 수 있다. 제 1 부착층(16a)에 적합한 금속은 Ti, W, Cr 혹은 그 합금을 포함하지만 여기에 한정되지는 않는다. 이들 재료 중에서, 제 1 부착층(16a)은 TiW로 구성되는 것이 바람직하다.
제 2 부착층(16b)은 추가적 부착이 요구되는 경우에 본 발명에서 사용된다. 제 2 부착층은 보통 제 1 부착층(16a)과의 계면에서는 주로 Cr으로 구성되고, 습윤 가능한 단자 금속층(16c)과의 계면에서는 주로 Cu로 구성되는 위상 동조형(phased) CrCu 합금을 포함한다.
BLM(16)의 단자 금속층에 의해 땜납에 의한 습윤, 기계적 강도(mechanical strength), 낮은 접촉 저항(contact resistance)이 보장된다. 습윤 가능한 단자 금속층(16c)은 전형적으로 Cu, Ni, Co, Au, Fe 혹은 그 합금으로 구성된다. 이들 금속 중에서, 습윤 가능한 단자 금속층(16c)으로 Cu를 사용하는 것이 매우 바람직하다.
습윤 가능한 단자 금속층(16c)이 땜납 볼(20) 내의 Sn에 의해 손상되는 것을 방지하기 위해, 습윤 가능한 단자 금속층(16c)의 상부에 장벽층(18)이 형성된다. 장벽층용으로 적당한 재료들은 Ni 또는 NiFe, Fe, Co 혹은 그 합금을 포함하지만 여기에 한정되지는 않는다. 본 발명의 매우 바람직한 실시예에서, 장벽층(18)은 Ni로 구성된다.
도 1 및 도 2의 전기 화학적으로 제조되는 C4 구조에서, 선택적 제 2 부착층(16b)과 습윤 가능한 단자 금속층(16c)은 장벽층에 대해 언더컷될 수 있다.
상기에서 본 발명의 방법에 의해 제조되는 최종 상호 접속 구조에 대해 상세히 설명하였다. 이하에서는 이러한 구조를 제조하는 방법에 대해 상세히 설명한다. 도 3a 내지 3h를 참조하면, 전해 도금된 장벽층을 구비하는 전기 화학적으로 제조된 C4 상호 접속부를 만들기 위해 본 발명에서 사용하는 다양한 공정 단계들이 도시되어 있다.
구체적으로, 도 3a는 통상의 방법으로 제조된 반도체 웨이퍼(10)를 도시하고 있으며, 반도체 웨이퍼(10)는 완성된 회로 (도시 안됨), 웨이퍼에 매립되어 있는 금속성 패드(12), 금속성 패드(12)의 일부분 상에 위치하는 개구를 갖는 패시베이션층(14)을 포함한다. 도 3a에 도시된 이러한 구조는 당업자에게 잘 알려진 기법을 사용하여 제조된다. 이러한 기법은 당업자에게 잘 알려져 있고 본 발명에 결정적인 것이 아니므로, 이하에서는 논의되지 않을 것이다.
본 발명에 의한 방법의 제 1 단계가 도 3b에 도시되어 있다. 구체적으로, 도 3b는 BLM을 형성하는데 사용되는 다수의 금속층의 블랭킷 증착을 도시하고 있다. 이들 다수의 금속층은 도면에서 단일층(16)으로 표시되어 있다. BLM(16)을 형성하기 위해 본 발명에서는 다수의 금속층을 사용한다. 다수의 금속층은 상기에서 언급한 제 1 부착층(16a), 제 2 부착층(16b), 습윤 가능한 단자 금속층(16c)을 포함한다. 그러므로, 본 발명에서 BLM(16)은 (제 1 부착층으로) TiW 층, (제 2 부착층으로) CrCu 층, 습윤 가능한 금속층으로 Cu 층을 포함할 수 있다.
BLM(16)은 당업자에게 잘 알려진 임의의 통상적인 증착기법을 사용하여 금속성 패드(12) 상부와 패시베이션층(14) 상에 적절한 금속층을 증착함으로써 형성되며, 통상의 증착기법은 증발, 화학 기상 증착(chemical vapor deposition : CVD),플라즈마 강화 기상 증착(plasma enhanced vapor deposition), 전해 혹은 무전해 도금 등을 포함하지만 여기에 한정되지는 않는다. BLM 및 땜납을 도금하는 동안에 BLM(16)이 전류를 전달할 수 있는 한, BLM(16)을 형성하는데 사용된 금속층 각각의 전체 두께는 본 발명에서 결정적인 것이 아니다. 추가적으로, BLM은 구조 내에 존재하는 임의의 도전층과 패시베이션층(14)을 확실히 부착시킬 수 있을 만큼 두꺼워야 한다.
도면에 도시되어 있지 않은 본 발명의 한 선택적 실시예에서, BLM(16)은 장벽층의 균일한 핵형성(nucleation)을 촉진시키기 위해 Au 혹은 Cu와 같은 금속으로 형성된 50 nm 보다 얇은 층으로 피복(capping)되어 있다. 이 실시예는 단자 금속층이 Cu가 아닌 경우에 적용 가능하다.
이어서, 도 3c에 도시된 바와 같이, 두꺼운 포토레지스트 재료(22)를 금속성 패드(12)를 덮고 있지 않는 BLM(16)의 기설정된 영역 상에 증착하고, C4 패턴을 표준 포토리소그래픽(standard photolithographic) 기법을 사용하여 형성한다.
건식막(dry-film) 혹은 액상(liquid) 포토레지스트를 포함하는 어떠한 포토레지스트 재료도 본 발명에 사용할 수 있으며, 당업자에게 잘 알려진 기법을 통해 형성할 수 있다. 비록 포토레지스트의 두께가 결정적인 것은 아니지만, 포토레지스트가 적어도 전해 도금되는 장벽층(18)과 전해 도금되는 땜납을 합한 두께만큼 두꺼운 경우에 좋은 결과를 얻게 된다.
본 발명의 다음 단계는 포토레지스트(22)를 통하여 장벽층(18)을 전해 도금하는 것이다. 본 발명의 이 단계를 도 3d에 도시하고 있다. 구체적으로, 높은 전류 효율로 Ni을 전해 도금할 수 있는 것으로 당업자에게 잘 알려진 도금 조건을 사용하여 Ni을 니켈염 용액으로부터 전해 도금함으로써 장벽층(18)을 형성한다. Ni2+는 그의 염화물염(chloride salt), 그의 염화물염 및 황산염(sulfate salt)의 혼합물 혹은 그의 설팜산염(sulfamate salt)으로 존재할 수도 있다. 사용할 수 있는 전형적인 도금 파라미터는 농도 약 0.1 내지 약 1.5 mole/l의 Ni2+, 약 0 내지 약 0.8 mole/l의 붕산 버퍼(boric acid buffer), 약 2 내지 약 5의 pH, 약 20 ℃ 내지 약 70 ℃의 온도, 약 5 내지 30 mA/cm2의 전류 밀도를 포함하지만 여기에 한정되지는 않는다
또한, 도금조는 양극 분극제 (anode depolarizer)와 Ni 증착 특성을 개선시키는 습윤제(wetting agent) 및 응력 완화제(stress reliever)와 같은 작용제(agent)를 포함할 수도 있다.
상기에서 언급한 작업 조건은 약 0.2 내지 약 5.0 μm 혹은 그 이상의 두께를 갖는 장벽층을 형성하는데 충분하다.
도면에 역시 도시되어 있지 않은 본 발명의 다른 선택적 실시예에서, Au, Cu, Sn 혹은 유사한 금속으로 구성된 피복층이 장벽층(18)의 표면 상에 형성될 수 있다. 이러한 피복 재료는 전해 도금, 무전해 도금 혹은 포토레지스트 패턴을 사용한 교환 증착(exchange deposition)에 의해 증착될 수도 있다.
전해 도금된 장벽층(18)을 형성한 후, Sn, Pb, 그 합금으로 구성된 땜납 범프(20a)를 포토레지스트(22)를 통해 전해 도금한다(도 3e 참조). 본 발명에 사용한 매우 바람직한 땜납 재료는 공융 SnPb 합금이다. 여기서, 공융이라는 용어는 중량비 63 %의 Sn과 37 %의 Pb인 조성을 갖는 PbSn 합금을 나타내는데 사용된다. 땜납 범프(20a)는 약 100 μm 혹은 그 이상에 이르는 두께를 갖는 땜납 범프를 형성하는데 적합한 다음 조건을 사용하여 전해 도금함으로써 형성하는데, 공융 SnPb 합금은 Sn2+및 Pb2+를 포함한 메탄 술폰산(methane sulfonic acid) 용액으로부터 도금될 수 있다. 또한, 다른 산에 기초한 도금조도 사용할 수 있지만, 본 발명에서는 메탄 술폰산조가 매우 바람직하다. 구체적으로, 바람직한 도금조의 Sn2+농도는 약 0.1 내지 약 0.3 mole/l, Pb2+농도는 약 0.04 내지 약 0.2 mole/l, 메탄 술폰산의 농도는 약 1 내지 약 2.5 mole/l 이고, 온도는 대략 상온이며, 전류 밀도는 약 20 내지 약 100 mA/cm2이다.
고밀도(dense) 및 조밀한(compact) 증착물을 얻기 위해서는 전형적으로 유기 첨가제(organic addition agent)가 필요하다. 도금조 판매자(vendor)에 의해 공급되는 첨가제는 C4 땜납 도금에 좋은 성능을 발휘한다. 사용하는 특정 첨가제에 대해 SnPb 공융 조성을 얻을 수 있도록 도금 용액의 농도를 최적화할 수 있다.
도 3f는 당업자에게 잘 알려진 기법을 사용하여 포토레지스트를 제거하는 단계를 도시하고 있다. 다음 단계로, 도 3g에 도시한 바와 같이, 땜납 범프(20a)를 마스크로 사용하여 BLM(16)을 에칭함으로써, 장벽층(18)에 대한 금속층의 언더컷을 제공한다. BLM(16)에 사용된 금속층에 따라, 전기 화학적 에칭, 화학적 에칭 혹은 그 조합을 본 발명에서 사용할 수 있다. 예를 들어, 전기 화학적 에칭을 사용하여 CrCu 및 Cu 층을 패터닝하고, 화학적 에칭을 사용하여 TiW 층을 패터닝한다.
전기 화학적 에칭은 K2SO40.4 mole/l 와 글리세롤(glycerol) 1.5 mole/l의 혼합물을 포함하는 전해액을 사용한다(다타 등의 미국 특허 제 5,486,282 호에 개시되어 있으며, 특허의 내용은 본 출원에 참조로서 인용됨). 화학적 에칭에 의한 TiW의 선택적 제거는 60 ℃에서 H2O2, EDTA, K2SO4의 용액 내에서 달성된다(다타 등의 미국 특허 제 5,462,638 호에 개시되어 있으며, 특허의 내용은 역시 본 출원에서 참조로서 인용됨). Ni 장벽층은 전기 화학적 에칭 및 화학적 에칭의 두 공정 중 보호된다.
전술한 바와 같이, 본 발명에 사용된 에칭은 장벽층(18)에 대한 금속성 층(16a, 16b 혹은 16c)의 언더컷을 제공한다. 이러한 언더컷은 BLM이 땜납에 의해 손상되는 것을 방지하고, 또한, 최종 구조 내에서의 응력을 완화시킨다.
도 3h에 도시한 바와 같이, 땜납 범프(20a)를 선택적으로 리플로우하여 땜납 볼(20)을 형성한다. 웨이퍼는 이러한 공정을 거친 후, 다이싱(dicing) 및 기판과의 결합을 포함하는 후속공정에 사용될 수 있다.
본 발명을 특히, 바람직한 실시예와 관련하여 도시하고 기술하였으나, 당업자라면 본 발명의 정신 및 범주를 벗어나지 않은 범위 내에서 형태 및 세부 사항에 대해 전술한 변형 및 다른 변형을 할 수 있음을 이해할 것이다. 그러므로, 본 발명은 기술하고 설명한 형태로만 한정되는 것이 아니라 첨부된 청구범위의 범주 내에 속하는 것으로 해석되어야 한다.
본 발명에 의한 방법을 통해 C4를 제조함으로써 다음과 같은 장점을 얻게 된다. 저 융점, Sn 고 함유 SnPb 땜납 볼을 갖는 신뢰성 있는 구조의 C4를 제조할 수 있다. 저비용으로 통상적인 방법에 비해 보다 작은 크기의 C4와 보다 작은 패드 피치로 확장 가능한 C4구조를 제조할 수 있다. 땜납과 동일한 마스크를 사용해 전해 도금함으로써 별도의 패터닝 단계가 필요없는 장벽층을 형성할 수 있다. 전해 도금된 장벽층에 대해 볼 제한 금속층을 언더컷 에칭함으로써, 가장자리에서의 땜납의 위킹에 의해 볼 제한 금속층 내부의 단자 금속층이 손상되는 것을 방지하고 C4 구조 내의 응력을 완화시킬 수 있다. 칩을 열 민감형 재료에 직접 부착하는데 사용될 수 있는 C4 구조를 제조할 수 있다.

Claims (26)

  1. 반도체 웨이퍼에 매립된 금속성 패드 상에 C4 범프를 형성하는 방법에 있어서,
    ① 반도체 웨이퍼의 패시베이션(passivation)된 표면 상에 다수의 블랭킷(blanket) 금속층을 증착하는 단계 ― 상기 반도체 웨이퍼의 상기 패시베이션된 표면은 반도체 웨이퍼에 매립되어 있는 적어도 하나의 금속성 패드와 상기 웨이퍼 상부에 개구를 자체 내에 갖는 패시베이션층을 포함함 ― 와,
    ② 상기 다수의 블랭킷 금속층에 포토레지스트 재료를 도포하는 단계와,
    ③ 상기 포토레지스트 재료를 패터닝하여 C4 땜납 범프 형성을 위한 영역을 제공하는 단계와,
    ④ 상기 단계 ③에서 제공된 상기 영역 상에 금속 장벽층을 전해 도금하는 단계와,
    ⑤ 상기 전해 도금된 금속 장벽층 상에 C4 땜납 범프 재료를 제조하는 단계와,
    ⑥ 상기 포토레지스트 재료를 제거하는 단계와,
    ⑦ 상기 C4 땜납 범프 재료를 마스크로 사용하여 상기 다수의 블랭킷 금속층을 에칭하는 단계
    를 포함하는 C4 범프 형성 방법.
  2. 제 1 항에 있어서,
    상기 다수의 블랭킷 금속층이 적어도 제 1 부착층과 적어도 습윤(wetting) 가능한 단자 금속층을 포함하는 C4 범프 형성 방법.
  3. 제 2 항에 있어서,
    상기 다수의 블랭킷 금속층이 상기 제 1 부착층과 상기 습윤 가능한 단자 금속층 사이에 제 2 부착층을 더 포함하는 C4 범프 형성 방법.
  4. 제 2 항에 있어서,
    상기 제 1 부착층이 Ti, W, Cr, 그 합금으로 구성된 그룹으로부터 선택된 금속으로 이루어진 C4 범프 형성 방법.
  5. 제 4 항에 있어서,
    상기 제 1 부착층이 TiW로 이루어진 C4 범프 형성 방법.
  6. 제 2 항에 있어서,
    상기 습윤 가능한 단자 금속층이 Cu, Ni, Fe, Au, 그 합금으로 구성된 그룹으로부터 선택된 금속으로 이루어진 C4 범프 형성 방법.
  7. 제 6 항에 있어서,
    상기 습윤 가능한 단자 금속층이 Cu로 이루어진 C4 범프 형성 방법.
  8. 제 3 항에 있어서,
    상기 제 2 부착층이 CrCu로 이루어진 C4 범프 형성 방법.
  9. 제 1 항에 있어서,
    상기 전해 도금된 금속 장벽층이 Ni, Co, Fe, 그 합금으로 구성된 그룹으로부터 선택된 금속으로 이루어진 C4 범프 형성 방법.
  10. 제 9 항에 있어서,
    상기 전해 도금된 금속 장벽층이 Ni로 이루어진 C4 범프 형성 방법.
  11. 제 9 항에 있어서,
    상기 전해 도금된 금속 장벽층이 NiFe로 이루어진 C4 범프 형성 방법.
  12. 제 1 항에 있어서,
    상기 C4 땜납 범프 재료가 Sn, Pb, 그 합금으로 구성된 그룹으로부터 선택된 땜납인 C4 범프 형성 방법.
  13. 제 12 항에 있어서,
    상기 C4 땜납 범프 재료가 공융(eutectic) 조성을 갖는 Sn 및 Pb의 합금인 C4 범프 형성 방법.
  14. 제 2 항에 있어서,
    상기 다수의 블랭킷 금속층을 에칭하는 단계가 상기 습윤 가능한 단자 금속층을 전기 화학적으로 에칭하는 단계를 포함하는 C4 범프 형성 방법.
  15. 제 3 항에 있어서,
    상기 다수의 블랭킷 금속을 에칭하는 단계가 상기 습윤 가능한 단자 금속층과 상기 제 2 부착층 모두를 전기 화학적으로 에칭하는 단계를 포함하는 C4 범프 형성 방법.
  16. 제 15 항에 있어서,
    상기 습윤 가능한 단자 금속층과 제 2 부착층을 전기 화학적으로 에칭하는 상기 단계가 상기 장벽층에 대한 언더컷을 제공하는 C4 범프 형성 방법.
  17. 제 2 항에 있어서,
    상기 다수의 블랭킷 금속을 에칭하는 상기 단계가 상기 제 1 부착층을 화학적으로 에칭하는 단계를 포함하는 C4 범프 형성 방법.
  18. 제 1 항에 있어서,
    상기 C4 땜납 범프 재료를 리플로우(reflow)하여 땜납 볼을 형성하는 단계를 더 포함하는 C4 범프 형성 방법.
  19. 매립된 적어도 하나의 금속성 패드를 자체 내에 갖는 반도체 재료와,
    상기 반도체 재료의 상부에 위치하여 상기 금속성 패드에 대한 개구(opening)를 갖는 패시베이션층과,
    상기 패시베이션층의 일부 영역과 상기 금속성 패드 상에 위치하는 언더컷 되어 있는 볼 제한 금속층(undercut ball limiting metallurgy)과,
    상기 볼 제한 금속층 상의 장벽 금속층과,
    상기 장벽 금속층 상에 위치하는 땜납 범프 혹은 리플로우된 땜납 볼
    을 포함하는 상호 접속 구조물.
  20. 제 19 항에 있어서,
    상기 볼 제한 금속층이 다수의 금속층을 포함하는 상호 접속 구조물.
  21. 제 20 항에 있어서,
    상기 다수의 금속층이 적어도 제 1 부착층, 선택적 제 2 부착층, 습윤 가능한 단자 금속층을 포함하는 상호 접속 구조물.
  22. 제 21 항에 있어서,
    상기 제 1 부착층은 Ti, W, Cr 및 그 합금으로 구성된 그룹으로부터 선택된 금속으로 이루어진 상호 접속 구조물.
  23. 제 21 항에 있어서,
    상기 습윤 가능한 단자 금속층은 Cu, Ni, Co, Fe, Au 및 그 합금으로 구성된 그룹으로부터 선택된 금속으로 이루어진 상호 접속 구조물.
  24. 제 21 항에 있어서,
    상기 선택적 제 2 부착층은 CrCu로 이루어진 상호 접속 구조물.
  25. 제 19 항에 있어서,
    상기 장벽 금속층은 Ni, Co, Fe 및 그 합금으로 구성된 그룹으로부터 선택된 금속으로 이루어진 상호 접속 구조물.
  26. 제 19 항에 있어서,
    상기 땜납 범프 혹은 리플로우된 땜납 볼은 Sn, Pb 및 그 합금으로 구성된 그룹으로부터 선택된 재료인 상호 접속 구조물.
KR1019990008580A 1998-04-08 1999-03-15 씨4 범프 형성 방법 및 상호 접속 구조 KR100339190B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/057,205 1998-04-08
US09/057,205 US5937320A (en) 1998-04-08 1998-04-08 Barrier layers for electroplated SnPb eutectic solder joints
US9/057,205 1998-04-08

Publications (2)

Publication Number Publication Date
KR19990082735A KR19990082735A (ko) 1999-11-25
KR100339190B1 true KR100339190B1 (ko) 2002-05-31

Family

ID=22009147

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990008580A KR100339190B1 (ko) 1998-04-08 1999-03-15 씨4 범프 형성 방법 및 상호 접속 구조

Country Status (2)

Country Link
US (1) US5937320A (ko)
KR (1) KR100339190B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130113413A (ko) * 2010-07-07 2013-10-15 램 리써치 코포레이션 금속화를 위한 방법, 디바이스 및 재료

Families Citing this family (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6400575B1 (en) * 1996-10-21 2002-06-04 Alpine Microsystems, Llc Integrated circuits packaging system and method
US6285085B1 (en) * 1997-08-13 2001-09-04 Citizen Watch Co., Ltd. Semiconductor device, method of fabricating the same and structure for mounting the same
DE19735760A1 (de) * 1997-08-18 1999-02-25 Zeiss Carl Fa Lötverfahren für optische Materialien an Metallfassungen und gefaßte Baugruppen
US6162652A (en) * 1997-12-31 2000-12-19 Intel Corporation Process for sort testing C4 bumped wafers
US6107180A (en) * 1998-01-30 2000-08-22 Motorola, Inc. Method for forming interconnect bumps on a semiconductor die
US6642136B1 (en) * 2001-09-17 2003-11-04 Megic Corporation Method of making a low fabrication cost, high performance, high reliability chip scale package
US6108210A (en) * 1998-04-24 2000-08-22 Amerasia International Technology, Inc. Flip chip devices with flexible conductive adhesive
JPH11340265A (ja) 1998-05-22 1999-12-10 Sony Corp 半導体装置及びその製造方法
US6162718A (en) * 1998-09-04 2000-12-19 Advanced Micro Devices High speed bump plating/forming
US6214716B1 (en) * 1998-09-30 2001-04-10 Micron Technology, Inc. Semiconductor substrate-based BGA interconnection and methods of farication same
US7405149B1 (en) 1998-12-21 2008-07-29 Megica Corporation Post passivation method for semiconductor chip or wafer
US7381642B2 (en) * 2004-09-23 2008-06-03 Megica Corporation Top layers of metal for integrated circuits
US6327158B1 (en) * 1999-01-15 2001-12-04 National Semiconductor Corporation Metal pads for electrical probe testing on wafer with bump interconnects
US6232212B1 (en) * 1999-02-23 2001-05-15 Lucent Technologies Flip chip bump bonding
US20030038366A1 (en) * 1999-03-09 2003-02-27 Kabushiki Kaisha Toshiba Three-dimensional semiconductor device having plural active semiconductor components
US6656750B1 (en) * 1999-04-29 2003-12-02 International Business Machines Corporation Method for testing chips on flat solder bumps
US6047637A (en) * 1999-06-17 2000-04-11 Fujitsu Limited Method of paste printing using stencil and masking layer
US6352881B1 (en) 1999-07-22 2002-03-05 National Semiconductor Corporation Method and apparatus for forming an underfill adhesive layer
US6423625B1 (en) * 1999-08-30 2002-07-23 Taiwan Semiconductor Manufacturing Company Ltd. Method of improving the bondability between Au wires and Cu bonding pads
US6346469B1 (en) * 2000-01-03 2002-02-12 Motorola, Inc. Semiconductor device and a process for forming the semiconductor device
KR100319813B1 (ko) * 2000-01-03 2002-01-09 윤종용 유비엠 언더컷을 개선한 솔더 범프의 형성 방법
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US7262130B1 (en) 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
JP2001217354A (ja) * 2000-02-07 2001-08-10 Rohm Co Ltd 半導体チップの実装構造、および半導体装置
DE60128656T2 (de) 2000-02-25 2007-10-04 Ibiden Co., Ltd., Ogaki Mehrschichtige leiterplatte und verfahren zu ihrer herstellung
EP1139413B1 (en) * 2000-03-24 2005-03-16 Texas Instruments Incorporated Wire bonding process
US6878396B2 (en) * 2000-04-10 2005-04-12 Micron Technology, Inc. Micro C-4 semiconductor die and method for depositing connection sites thereon
US6638847B1 (en) * 2000-04-19 2003-10-28 Advanced Interconnect Technology Ltd. Method of forming lead-free bump interconnections
US6426282B1 (en) * 2000-05-04 2002-07-30 Applied Materials, Inc. Method of forming solder bumps on a semiconductor wafer
US6293457B1 (en) 2000-06-08 2001-09-25 International Business Machines Corporation Integrated method for etching of BLM titanium-tungsten alloys for CMOS devices with copper metallization
US6300234B1 (en) * 2000-06-26 2001-10-09 Motorola, Inc. Process for forming an electrical device
JP2002050647A (ja) * 2000-08-01 2002-02-15 Sharp Corp 半導体装置及びその製造方法
TW455965B (en) * 2000-08-29 2001-09-21 Phoenix Prec Technology Corp Method for forming solder bumpers on IC package substrate and structure formed by the same
US6724078B1 (en) * 2000-08-31 2004-04-20 Intel Corporation Electronic assembly comprising solderable thermal interface
CN1901177B (zh) 2000-09-25 2010-05-12 揖斐电株式会社 半导体元件及其制造方法、多层印刷布线板及其制造方法
WO2002027790A1 (en) * 2000-09-29 2002-04-04 Ellipsiz Ltd Barrier layers for solder joints
US6570396B1 (en) * 2000-11-24 2003-05-27 Kulicke & Soffa Investment, Inc. Interface structure for contacting probe beams
JP2002170838A (ja) * 2000-11-30 2002-06-14 Shinkawa Ltd 半導体装置およびその製造方法
US6822825B2 (en) * 2000-12-04 2004-11-23 Seagate Technology Llc Stiffness compensation for a thermally compensated fluid dynamic bearing
JP4656275B2 (ja) * 2001-01-15 2011-03-23 日本電気株式会社 半導体装置の製造方法
US6445069B1 (en) * 2001-01-22 2002-09-03 Flip Chip Technologies, L.L.C. Electroless Ni/Pd/Au metallization structure for copper interconnect substrate and method therefor
US6818545B2 (en) 2001-03-05 2004-11-16 Megic Corporation Low fabrication cost, fine pitch and high reliability solder bump
US6413851B1 (en) * 2001-06-12 2002-07-02 Advanced Interconnect Technology, Ltd. Method of fabrication of barrier cap for under bump metal
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US20030047339A1 (en) * 2001-09-12 2003-03-13 Lutz Michael A. Semiconductor device with compliant electrical terminals, apparatus including the semiconductor device, and methods for forming same
US7099293B2 (en) 2002-05-01 2006-08-29 Stmicroelectronics, Inc. Buffer-less de-skewing for symbol combination in a CDMA demodulator
DE10146353B4 (de) 2001-09-20 2007-08-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Lötperle und Lötperlenstruktur
US7284194B2 (en) * 2001-10-23 2007-10-16 Sun Microsystems, Inc. XML based report generator
KR20030044255A (ko) * 2001-11-29 2003-06-09 한국전자통신연구원 플립칩 본딩 광모듈 패키지 및 그 패키징 방법
US6593220B1 (en) 2002-01-03 2003-07-15 Taiwan Semiconductor Manufacturing Company Elastomer plating mask sealed wafer level package method
TW521406B (en) * 2002-01-07 2003-02-21 Advanced Semiconductor Eng Method for forming bump
JP3897596B2 (ja) * 2002-01-07 2007-03-28 日本テキサス・インスツルメンツ株式会社 半導体装置と配線基板との実装体
US6541366B1 (en) * 2002-01-08 2003-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving a solder bump adhesion bond to a UBM contact layer
US6622907B2 (en) * 2002-02-19 2003-09-23 International Business Machines Corporation Sacrificial seed layer process for forming C4 solder bumps
TW521359B (en) * 2002-02-20 2003-02-21 Advanced Semiconductor Eng Bump fabrication process
TWI239578B (en) * 2002-02-21 2005-09-11 Advanced Semiconductor Eng Manufacturing process of bump
US20040149593A1 (en) * 2002-03-05 2004-08-05 Erik Orwoll Electro-chemical cleaning process for electrical connectors
US6930032B2 (en) * 2002-05-14 2005-08-16 Freescale Semiconductor, Inc. Under bump metallurgy structural design for high reliability bumped packages
US6596621B1 (en) 2002-05-17 2003-07-22 International Business Machines Corporation Method of forming a lead-free tin-silver-copper based solder alloy on an electronic substrate
US20030219623A1 (en) * 2002-05-21 2003-11-27 Kao Cheng Heng Solder joints with low consumption rate of nickel layer
US7547623B2 (en) 2002-06-25 2009-06-16 Unitive International Limited Methods of forming lead free solder bumps
WO2004001837A2 (en) * 2002-06-25 2003-12-31 Unitive International Limited Methods of forming electronic structures including conductive shunt layers and related structures
KR100476301B1 (ko) * 2002-07-27 2005-03-15 한국과학기술원 전기도금법에 의한 반도체 소자의 플립칩 접속용 ubm의형성방법
US7423337B1 (en) 2002-08-19 2008-09-09 National Semiconductor Corporation Integrated circuit device package having a support coating for improved reliability during temperature cycling
DE10240921B4 (de) * 2002-09-02 2007-12-13 Qimonda Ag Verfahren und Anordnung zum selektiven Metallisieren von 3-D-Strukturen
TW558782B (en) * 2002-09-10 2003-10-21 Siliconware Precision Industries Co Ltd Fabrication method for strengthened flip-chip solder bump
TWI281718B (en) * 2002-09-10 2007-05-21 Advanced Semiconductor Eng Bump and process thereof
TWI220782B (en) * 2002-10-14 2004-09-01 Siliconware Precision Industries Co Ltd Cavity-down ball grid array package with heat spreader
US6897141B2 (en) * 2002-10-23 2005-05-24 Ocube Digital Co., Ltd. Solder terminal and fabricating method thereof
DE10251658B4 (de) * 2002-11-01 2005-08-25 Atotech Deutschland Gmbh Verfahren zum Verbinden von zur Herstellung von Mikrostrukturbauteilen geeigneten, mikrostrukturierten Bauteillagen sowie Mikrostrukturbauteil
TWI244184B (en) * 2002-11-12 2005-11-21 Siliconware Precision Industries Co Ltd Semiconductor device with under bump metallurgy and method for fabricating the same
US6854636B2 (en) * 2002-12-06 2005-02-15 International Business Machines Corporation Structure and method for lead free solder electronic package interconnections
US7301222B1 (en) 2003-02-12 2007-11-27 National Semiconductor Corporation Apparatus for forming a pre-applied underfill adhesive layer for semiconductor wafer level chip-scale packages
US6893799B2 (en) * 2003-03-06 2005-05-17 International Business Machines Corporation Dual-solder flip-chip solder bump
CN1284207C (zh) * 2003-06-03 2006-11-08 香港科技大学 一种用于半导体封装的焊球的制备方法
US6835580B1 (en) * 2003-06-26 2004-12-28 Semiconductor Components Industries, L.L.C. Direct chip attach structure and method
JP3678239B2 (ja) * 2003-06-30 2005-08-03 セイコーエプソン株式会社 半導体装置及びその製造方法、回路基板並びに電子機器
US20050045697A1 (en) 2003-08-26 2005-03-03 Lacap Efren M. Wafer-level chip scale package
US20050104208A1 (en) * 2003-11-14 2005-05-19 International Business Machines Corporation Stabilizing copper overlayer for enhanced c4 interconnect reliability
DE10355508B4 (de) * 2003-11-27 2006-07-06 Infineon Technologies Ag Ultradünne Halbleiterschaltung mit Kontakt-Bumps sowie zugehöriges Herstellungsverfahren
US7427557B2 (en) * 2004-03-10 2008-09-23 Unitive International Limited Methods of forming bumps using barrier layers as etch masks
US6995084B2 (en) * 2004-03-17 2006-02-07 International Business Machines Corporation Method for forming robust solder interconnect structures by reducing effects of seed layer underetching
TWI241001B (en) * 2004-03-26 2005-10-01 Advanced Semiconductor Eng Method of improving adhesive characteristic between photoresist layer and substrate, and bumping process
US7410833B2 (en) * 2004-03-31 2008-08-12 International Business Machines Corporation Interconnections for flip-chip using lead-free solders and having reaction barrier layers
US7358174B2 (en) * 2004-04-13 2008-04-15 Amkor Technology, Inc. Methods of forming solder bumps on exposed metal pads
US7282375B1 (en) 2004-04-14 2007-10-16 National Semiconductor Corporation Wafer level package design that facilitates trimming and testing
US7541275B2 (en) * 2004-04-21 2009-06-02 Texas Instruments Incorporated Method for manufacturing an interconnect
US20060076677A1 (en) * 2004-10-12 2006-04-13 International Business Machines Corporation Resist sidewall spacer for C4 BLM undercut control
CN100428414C (zh) * 2005-04-15 2008-10-22 中芯国际集成电路制造(上海)有限公司 形成低应力多层金属化结构和无铅焊料端电极的方法
DE102005035772A1 (de) * 2005-07-29 2007-02-01 Advanced Micro Devices, Inc., Sunnyvale Technik zum effizienten Strukturieren einer Höckerunterseitenmetallisierungsschicht unter Anwendung eines Trockenätzprozesses
TWI298204B (en) * 2005-11-21 2008-06-21 Advanced Semiconductor Eng Structure of bumps forming on an under metallurgy layer and method for making the same
US7674701B2 (en) 2006-02-08 2010-03-09 Amkor Technology, Inc. Methods of forming metal layers using multi-layer lift-off patterns
US7932615B2 (en) 2006-02-08 2011-04-26 Amkor Technology, Inc. Electronic devices including solder bumps on compliant dielectric layers
KR100716434B1 (ko) 2006-04-17 2007-05-10 주식회사 파이컴 프로브 본딩 방법 및 프로브 카드 제조 방법
US7501708B2 (en) * 2006-07-31 2009-03-10 International Business Machines Corporation Microelectronic device connection structure
US20080142968A1 (en) * 2006-12-15 2008-06-19 International Business Machines Corporation Structure for controlled collapse chip connection with a captured pad geometry
DE102006062037B4 (de) * 2006-12-29 2013-10-31 Advanced Micro Devices, Inc. Verfahren zum Steuern eines elektrochemischen Ätzprozesses und System mit einer elektrochemischen Ätzanlage
TWI345816B (en) * 2007-08-28 2011-07-21 Advanced Semiconductor Eng Method for forming bumps on under bump metallurgy
US20090108443A1 (en) * 2007-10-30 2009-04-30 Monolithic Power Systems, Inc. Flip-Chip Interconnect Structure
US8232655B2 (en) * 2008-01-03 2012-07-31 International Business Machines Corporation Bump pad metallurgy employing an electrolytic Cu / electorlytic Ni / electrolytic Cu stack
JP4724192B2 (ja) * 2008-02-28 2011-07-13 株式会社東芝 電子部品の製造方法
JP5200837B2 (ja) * 2008-10-01 2013-06-05 富士通セミコンダクター株式会社 半導体装置の製造方法
US9082762B2 (en) * 2009-12-28 2015-07-14 International Business Machines Corporation Electromigration-resistant under-bump metallization of nickel-iron alloys for Sn-rich solder bumps in Pb-free flip-clip
US20110186989A1 (en) * 2010-02-04 2011-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Bump Formation Process
JP2011249564A (ja) * 2010-05-27 2011-12-08 Renesas Electronics Corp 半導体装置の製造方法及び実装構造
US20120068345A1 (en) * 2010-09-16 2012-03-22 Infineon Technologies Ag Layer stacks and integrated circuit arrangements
US8338286B2 (en) 2010-10-05 2012-12-25 International Business Machines Corporation Dimensionally decoupled ball limiting metalurgy
US20120098124A1 (en) * 2010-10-21 2012-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having under-bump metallization (ubm) structure and method of forming the same
US8227333B2 (en) 2010-11-17 2012-07-24 International Business Machines Corporation Ni plating of a BLM edge for Pb-free C4 undercut control
US8492892B2 (en) 2010-12-08 2013-07-23 International Business Machines Corporation Solder bump connections
KR101782503B1 (ko) * 2011-05-18 2017-09-28 삼성전자 주식회사 솔더 범프 붕괴를 억제하는 반도체 소자의 범프 형성방법
TWI463621B (zh) 2011-11-04 2014-12-01 矽品精密工業股份有限公司 封裝基板結構及其製法
US9941176B2 (en) * 2012-05-21 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Selective solder bump formation on wafer
CN103531529B (zh) * 2012-07-04 2016-02-03 颀邦科技股份有限公司 半导体工艺
CN103531491B (zh) * 2012-07-05 2017-04-12 颀邦科技股份有限公司 半导体工艺、半导体结构及其封装构造
US9147663B2 (en) 2013-05-28 2015-09-29 Intel Corporation Bridge interconnection with layered interconnect structures
US20150072515A1 (en) * 2013-09-09 2015-03-12 Rajendra C. Dias Laser ablation method and recipe for sacrificial material patterning and removal
US20150069585A1 (en) * 2013-09-12 2015-03-12 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with an angled passivation layer
CN105280596A (zh) * 2014-07-10 2016-01-27 中芯国际集成电路制造(上海)有限公司 焊盘结构及其制作方法
KR102192195B1 (ko) * 2014-07-28 2020-12-17 삼성전자주식회사 솔더 조인트를 갖는 반도체 소자 및 그 형성 방법
KR102410018B1 (ko) 2015-09-18 2022-06-16 삼성전자주식회사 반도체 패키지
ITUB20160027A1 (it) * 2016-02-01 2017-08-01 St Microelectronics Srl Procedimento per produrre dispositivi a semiconduttore e corrispondente dispositivo
KR20180001640A (ko) 2016-06-24 2018-01-05 삼성디스플레이 주식회사 디스플레이 장치
IT201700087318A1 (it) 2017-07-28 2019-01-28 St Microelectronics Srl Dispositivo elettronico integrato con regione di redistribuzione e elevata resistenza agli stress meccanici e suo metodo di preparazione
IT201700087174A1 (it) 2017-07-28 2019-01-28 St Microelectronics Srl Dispositivo a semiconduttore e corrispondente metodo di fabbricazione di dispositivi a semiconduttore
US10354965B2 (en) * 2017-09-28 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Bonding pad process with protective layer
EP3729496A4 (en) * 2017-12-19 2021-11-03 INTEL Corporation BARRIER MATERIALS BETWEEN BOSSES AND PELLETS
US11469194B2 (en) 2018-08-08 2022-10-11 Stmicroelectronics S.R.L. Method of manufacturing a redistribution layer, redistribution layer and integrated circuit including the redistribution layer

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0845938A (ja) * 1994-07-27 1996-02-16 Toshiba Corp 半導体装置及びその製造方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5162257A (en) * 1991-09-13 1992-11-10 Mcnc Solder bump fabrication method
US5391514A (en) * 1994-04-19 1995-02-21 International Business Machines Corporation Low temperature ternary C4 flip chip bonding method
US5470787A (en) * 1994-05-02 1995-11-28 Motorola, Inc. Semiconductor device solder bump having intrinsic potential for forming an extended eutectic region and method for making and using the same
JPH11505668A (ja) * 1995-03-20 1999-05-21 エムシーエヌシー はんだバンプ製作方法及びチタンバリヤ層を含む構造
US5634268A (en) * 1995-06-07 1997-06-03 International Business Machines Corporation Method for making direct chip attach circuit card

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0845938A (ja) * 1994-07-27 1996-02-16 Toshiba Corp 半導体装置及びその製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130113413A (ko) * 2010-07-07 2013-10-15 램 리써치 코포레이션 금속화를 위한 방법, 디바이스 및 재료

Also Published As

Publication number Publication date
KR19990082735A (ko) 1999-11-25
US5937320A (en) 1999-08-10

Similar Documents

Publication Publication Date Title
KR100339190B1 (ko) 씨4 범프 형성 방법 및 상호 접속 구조
US6476494B1 (en) Silver-tin alloy solder bumps
US7834454B2 (en) Electronic structures including barrier layers defining lips
US7932169B2 (en) Interconnection for flip-chip using lead-free solders and having improved reaction barrier layers
US8026613B2 (en) Interconnections for flip-chip using lead-free solders and having reaction barrier layers
US6622907B2 (en) Sacrificial seed layer process for forming C4 solder bumps
EP0815593B1 (en) Solder bump fabrication methods and structure including a titanium barrier layer
US6570251B1 (en) Under bump metalization pad and solder bump connections
US8003512B2 (en) Structure of UBM and solder bumps and methods of fabrication
US7906425B2 (en) Fluxless bumping process
KR20090031293A (ko) 반도체 패키지의 내부 코어를 갖는 솔더 범프
KR20110002816A (ko) 구리 필러 범프 상의 금속간 화합물의 접착을 위한 방법 및 구조
US20020086520A1 (en) Semiconductor device having bump electrode
US6893799B2 (en) Dual-solder flip-chip solder bump
US6774026B1 (en) Structure and method for low-stress concentration solder bumps
US6897141B2 (en) Solder terminal and fabricating method thereof
US20080119056A1 (en) Method for improved copper layer etching of wafers with c4 connection structures
Datta Flip-chip interconnection
Annala et al. Electroplated solder alloys for flip chip interconnections
Xiao Reliability study and technology development of solder-bumped flip chip on low-cost substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee