KR100304468B1 - 반도체 웨이퍼 반송용의 캐리어 및 캐리어내의 웨이퍼 검출방법 - Google Patents

반도체 웨이퍼 반송용의 캐리어 및 캐리어내의 웨이퍼 검출방법 Download PDF

Info

Publication number
KR100304468B1
KR100304468B1 KR1019980016403A KR19980016403A KR100304468B1 KR 100304468 B1 KR100304468 B1 KR 100304468B1 KR 1019980016403 A KR1019980016403 A KR 1019980016403A KR 19980016403 A KR19980016403 A KR 19980016403A KR 100304468 B1 KR100304468 B1 KR 100304468B1
Authority
KR
South Korea
Prior art keywords
wafer
carrier
light
carrier body
optical element
Prior art date
Application number
KR1019980016403A
Other languages
English (en)
Other versions
KR19980086855A (ko
Inventor
히로아키 사에키
데루오 아사카와
Original Assignee
히가시 데쓰로
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP13436297A external-priority patent/JPH10308437A/ja
Priority claimed from JP13436397A external-priority patent/JPH10308438A/ja
Priority claimed from JP14577297A external-priority patent/JPH10321706A/ja
Application filed by 히가시 데쓰로, 동경 엘렉트론 주식회사 filed Critical 히가시 데쓰로
Publication of KR19980086855A publication Critical patent/KR19980086855A/ko
Application granted granted Critical
Publication of KR100304468B1 publication Critical patent/KR100304468B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명에서는, 캐리어 본체(10)에 착탈가능하게 장착되는 덮개(20)에 복수의 돌기(21)를 형성한다. 각 돌기(21)의 선단부(22)에 하프미러(23, 24)를 설치한다. 덮개(20)의 외측으로부터 돌기(21)로 향하여 웨이퍼(W)의 표면과 대략 평행한 광선을 발광소자(47)로부터 조사한다. 웨이퍼(W)가 존재하지 않는 경우, 광선은 하프미러(23, 24)에 의해 진로가 변경되어 돌기(21)의 위쪽 및 아래쪽에 있는 돌기(21)로 향한다. 광선은 광선이 입사한 돌기(21)의 위쪽 및 아래쪽에 있는 돌기(21)의 하프미러(23, 24)에 의해 재차 진로가 변경되어 돌기(21)를 통과하여 광선을 발사한 발광소자(47)의 위쪽 및 아래쪽에 있는 수광소자(48, 48)에 의해 수광된다. 웨이퍼(W)가 존재하는 경우에는 수광소자(48)는 광선을 검출하지 않는다. 이와 같이 하여, 웨이퍼(W)의 존재여부를 검출할 수 있다.

Description

반도체 웨이퍼 반송용의 캐리어 및 캐리어내의 웨이퍼 검출방법
본 발명은, 반도체 제조설비에 이용되는 반도체 웨이퍼 반송용의 캐리어와, 이 캐리어내에서의 웨이퍼의 검출방법에 관한 것이다.
반도체 제조설비에서는, 반도체 제조장치나 검사장치 등의 장치 사이에서 반도체 웨이퍼를 반송하는 경우에 캐리어가 이용된다. 이 캐리어는 소정 수씩, 예컨대 13매 혹은 25매씩 웨이퍼를 수납한다. 웨이퍼는 로트(lot)단위로 캐리어내에 수납되어 각 장치 사이를 반송된다.
웨이퍼에 각종의 처리를 행할 때에, 캐리어내의 웨이퍼 맵(wafer map)이 작성된다. 반도체 제조장치는 상기 웨이퍼 맵에 근거하여 각종의 처리를 웨이퍼에 실시하고, 처리 데이터를 기록하도록 되어 있다. 웨이퍼 맵을 작성할 때에, 캐리어내의 각 슬롯(slot)에 웨이퍼가 존재하는지 아닌지를 검출할 필요가 있다. 또, 웨이퍼의 처리에 앞서 모든 웨이퍼가 캐리어로부터 취출되었는지 아닌지를 조사할 필요도 있다.
그런데, 최근 6인치(inch) 혹은 8인치의 웨이퍼 대신, 12인치(300mm)의 웨이퍼가 주류로 되는 경향이 있다. 이에 따라, 12인치 웨이퍼에 대응한 반도체 제조장치나 캐리어가 개발되고 있다. 현재의 경우, 12인치 웨이퍼용의 캐리어로서는, 예컨대 크게 나누어 오븐(oven)방식의 캐리어와 캐리어를 포드(pod)내에 수납하여 덮개를 하는 밀폐방식의 포드(예컨대, unified pod)가 생각되고 있지만, 후자의 쪽이 주류로 되는 것으로 생각되고 있다.
또, 웨이퍼가 대구경화(大口徑化)될 뿐만 아니라, 웨이퍼에 형성되는 집적회로의 선폭이 서브쿼터미크론(subquarter micron)이하의 초미세구조로 되고 있다. 이 때문에, 반도체 제조공장에서는 클린 룸(clean room)의 초청정화기술, 웨이퍼의 자동반송기술, 나아가서는 반도체 제조장치나 검사장치의 스페이스저감화기술이 점점 중요하게 되고 있다. 이와 같이 반도체 제조설비의 자동화가 점점 촉진되어 오퍼레이터(operator)가 개재하는 장면(場面)이 적어지면, 종래보다도 더 웨이퍼 검출기의 중요성이 증가하게 된다. 또, 웨이퍼의 대구경화에 따라서 웨이퍼 단가가 비약적으로 상승하기 때문에, 웨이퍼에 대한 처리를 실수없이 실시하는 것이 이전보다도 중요하게 되고 있다. 이 때문에, 캐리어내의 웨이퍼 맵을 정확하게 파악하는 것이나 캐리어내의 웨이퍼의 잔존을 확실하게 검출하는 필요성이 증가하고 있다.
종래부터, 반도체 제조장치에는 발광소자 및 수광소자를 이용하여 광학적으로 웨이퍼를 검출하는 웨이퍼 검출장치가 장비되어 있다. 웨이퍼 검출장치로서는, 예컨대 캐리어의 상하 혹은 좌우에 서로 대치시켜서 발광소자 및 수광소자를 배설하고, 양 소자간에 캐리어를 두고 캐리어내의 웨이퍼를 검출하는 타입의 것이 있다. 이 대치형 검출장치는 발광소자로부터 상대하는 수광소자로 향하여 광선을 조사하고, 수광소자에 의한 조사광선의 검출의 유무에 따라 캐리어내의 각 슬롯에서의 웨이퍼의 유무를 검출한다.
또, 웨이퍼 검출장치에는 한쪽에 발광소자 및 수광소자와, 다른쪽에 반사경을 배설하고, 양 소자와 반사경의 사이에 캐리어를 두고 캐리어내의 웨이퍼를 검출하는 타입의 것도 있다. 이 반사형 검출장치는 발광소자로부터 반사경을 향하여 광선을 조사하고, 수광소자에 의한 반사경으로부터의 반사광선의 검출의 유무에 따라 캐리어내의 웨이퍼의 유무를 검출한다.
그렇지만, 상기 웨이퍼 검출장치에 있어서는, 전자의 경우는 발광소자 및 수광소자가, 후자의 경우는 이들에 더하여 반사경이 캐리어의 반송로 혹은 설치장소의 상하 혹은 좌우에 배치되기 때문에, 캐리어를 반송할 때에 웨이퍼 검출장치가 장애로 된다. 또한 발광소자, 수광소자 및 반사경에 고유의 스페이스를 할애할 필요가 있어 스페이스저감화의 관점에서도 좋지 않다. 더욱이, 후자의 반사형 검출장치에 있어서는, 반사경으로부터의 반사광인지 웨이퍼로부터의 반사광인지를 식별할 수 없어, 웨이퍼의 유무를 잘못 검출할 우려가 있다.
본 발명은 상기 과제를 해결하는 신규한 캐리어 및 캐리어내의 웨이퍼 검출방법을 제공한다. 그리고, 이에 따라 (1) 웨이퍼 검출장치의 설치장소의 자유도를 높이고, (2) 캐리어반송의 장애로 되지 않는 위치에 웨이퍼 검출장치를 설치하는 것을 가능하게 하며, (3) 웨이퍼 검출장치 설치스페이스의 삭감을 가능하게 하고, (4) 캐리어내의 웨이퍼의 유무를 고정밀도로 확실하게 검출하는 것을 가능하게 한다.
도 1은 본 발명의 제 1실시형태에 따른 캐리어를 반도체 제조장치의 캐리어 탑재대에 탑재한 상태를 나타낸 사시도이고,
도 2는 캐리어를 캐리어 탑재대에 탑재한 상태를 나타낸 도 1과는 다른 시점으로부터의 사시도(본 도면에는 반도체 제조장치의 프론트 패널은 기재되어 있지 않음).
도 3은 캐리어와 반도체 제조장치와의 관계를 나타낸 옆쪽으로부터의 단면도,
도 4는 캐리어와 캐리어 검출장치와의 관계를 나타낸 측방으로부터의 단면도,
도 5는 본 발명의 제 2실시형태에 따른 캐리어를 반도체 제조장치의 캐리어 탑재대에 탑재한 상태를 나타낸 사시도,
도 6은 캐리어와 반도체 제조장치와의 관계를 나타낸 옆쪽으로부터의 단면도,
도 7은 코너 큐브를 확대하여 나타낸 평면도,
도 8은 코너 큐브를 확대하여 나타낸 단면도,
도 9는 웨이퍼 검출장치의 동작을 설명하는, 중계실측으로부터의 정면도,
도 10은 웨이퍼 검출장치를 확대하여 나타낸 사시도,
도 11은 웨이퍼 검출장치의 보지체를 확대하여 나타낸 사시도,
도 12는 캐리어의 변형예를 나타낸 요부단면도,
도 13은 웨이퍼 검출장치의 보지체의 변형예를 나타낸 평면도이다.
본 발명의 제 1의 관점에 의하면, 복수의 웨이퍼를 일정한 간격을 두고 수납하는 캐리어 본체와 이 캐리어 본체에 착탈가능하게 장착되는 덮개, 상기 캐리어 본체에 웨이퍼를 수용하고 상기 덮개를 상기 캐리어 본체에 장착한 경우에, 상기 각 웨이퍼의 양 표면을 사이에 두고 위치하도록 상기 덮개의 내면에 설치된 복수의 돌기 및, 이 돌기를 통과하는 상기 웨이퍼의 표면과 대략 평행한 광선의 진로를 상기 웨이퍼의 표면에 대략 직교하는 방향으로 변경함과 더불어 상기 웨이퍼의 표면에 대략 직교하는 광선의 진로를 상기 웨이퍼의 표면과 대략 평행한 방향으로 변환하는, 상기 돌기에 설치된 광학소자를 구비한 것을 특징으로 하는 반도체 웨이퍼를 수용하여 반송하기 위한 캐리어가 제공된다.
또, 본 발명의 제 2의 관점에 의하면, 복수의 웨이퍼를 일정한 간격을 두고 수납하는 캐리어 본체와, 이 캐리어 본체에 웨이퍼를 수용한 경우에, 상기 각 웨이퍼의 양 표면을 사이에 두고 위치하도록 상기 캐리어 본체의 양면에 설치된 복수의 돌기 및, 이 돌기를 통과하는 상기 웨이퍼의 표면과 대략 평행한 광선의 진로를 상기 웨이퍼의 표면에 대략 직교하는 방향으로 변경함과 더불어 상기 웨이퍼의 표면에 대략 직교하는 광선의 진로를 상기 웨이퍼의 표면과 대략 평행한 방향으로 변경하는, 상기 돌기에 설치된 광학소자를 구비한 것을 특징으로 하는 반도체 웨이퍼를 수용하여 반송하기 위한 캐리어가 제공된다.
상기 광학소자는 하프미러(half mirror)로서 형성할 수 있다.
또, 본 발명의 제 3의 관점에 의하면, 캐리어내의 웨이퍼를 검출하는 방법에 있어서, 웨이퍼의 표면과 대략 평행한 광선을 웨이퍼 표면의 위쪽 또는 아래쪽에 배치된 광학소자로 조사하는 공정과, 상기 광학소자에 의해 광선의 방향을 웨이퍼의 표면과 대략 직교하는 방향으로 변환하는 공정과, 방향변환된 광선을 검출하는 공정을 구비하고, 방향변환된 광선의 검출의 유무에 기초하여 웨이퍼의 유무를 검출하는 것을 특징으로 하는 방법이 제공된다.
또, 본 발명의 제 4의 관점에 의하면, 복수의 웨이퍼를 일정한 간격을 두고 수납하는, 광학적으로 투명한 벽면을 갖는 캐리어 본체와 이 캐리어 본체의 내부에 설치된 코너 큐브(corner cube)를 구비한 것을 특징으로 하는 반도체를 수용하여 반송하기 위한 캐리어가 제공된다.
또, 본 발명의 제 5의 관점에 의하면, 복수의 웨이퍼를 일정한 간격을 두고 수납하는, 광학적으로 투명한 벽면을 갖는 캐리어 본체와 이 캐리어 본체에 착탈가능하게 장착되는 덮개와, 이 덮개에 설치되고 상기 캐리어 본체의 내부를 향한 반사면을 갖는 코너 큐브를 구비한 것을 특징으로 하는 반도체를 수용하여 반송하기 위한 캐리어가 제공된다.
또, 본 발명의 제 6의 관점에 의하면, 캐리어내의 웨이퍼를 검출하는 방법에 있어서, 광학적으로 투명한 벽면을 갖는 캐리어 본체의 외부로부터 상기 벽면을 투과시켜서 캐리어 본체내에 설치된 코너 큐브로 광선을 조사하는 공정과 상기 코너 큐브로부터의 반사광을 캐리어 본체의 외부에서 검출하는 공정을 구비하고, 광선의 검출의 유무에 기초하여 웨이퍼의 유무를 검출하는 것을 특징으로 하는 방법이 제공된다.
(실시형태)
제 1 실시형태
이하, 도 1~도 4를 참조하여 본 발명의 제1실시형태에 대해 설명한다. 캐리어(1)는 폴리카보네이트(polycarbonate), PEEK(Poly Ether Ether Keton) 등의 합성수지로 형성된 밀폐식의 포드로서 구성되어 있다. 캐리어(1)는, 예컨대 12인치(300mm)의 웨이퍼를 13매 혹은 25매 수납하는 것이다.
캐리어(1)는 복수의 웨이퍼(W)를 서로 평행하게 일정한 간격을 두고 수납하는 캐리어 본체(10)와 캐리어 본체(10)의 웨이퍼 취출구(取出口)에 착탈가능하게 장착된 덮개(20)를 갖추고 있다. 캐리어 본체(10) 및 덮개(20)는 광학적으로 투명하다. 캐리어 본체(10)의 측벽(12)의 내면에는 수평방향으로 뻗은 복수의 돌기(18)가 상하방향으로 일정한 간격을 두고 설치되어 있다. 서로 인접하는 돌기(18)의 사이가 웨이퍼(W)를 수평으로 지지하는 슬롯(15)으로 되어 있다.
캐리어 본체(10)의 상벽(上壁; 11)에는 피파지부(被把持部; 17)가 장착되어 있다. 피파지부(17)는 제조실의 천정에 배치된 캐리어 반송장치(도시하지 않음)가 반도체 제조장치 사이에서 캐리어(1)를 자동반송할 때에 이용된다.
사용시에는 웨이퍼(W)의 자연산화를 극력 방지함과 더불어 캐리어(1)내부를 깨끗한 환경으로 하기 위해, 캐리어(1)내에는 예컨대 질소가스가 봉입된다.
특히, 도 4에 나타낸 바와 같이, 덮개(20)의 내면에는 빗살모양으로 배열된 복수의 돌기(21)가 형성되어 있다. 각 돌기(21)는 수평방향으로 돌출하고 있다. 캐리어 본체(10)내에 웨이퍼(W)를 수용하고 덮개(20)를 캐리어 본체(10)에 계합시킨 경우, 서로 인접하는 돌기(21)가 각 웨이퍼(W)를 상하방향으로부터 끼워 넣는다.
각 돌기(21)는 끝이 가는 선단부분(先端部分; 22)을 갖는다. 돌기(21)의 끝이 가는 선단부분(21)은 상면(23) 및 하면(24)을 갖는다. 상면(23) 및 하면(24)은, 수평면 즉 웨이퍼(W)의 표면에 대하여 45도의 각도를 이루고 경사짐과 더불어, 90도의 각도를 이루고 서로 교차하고 있다. 상면(23) 및 하면(24)은 하프미러로서 형성되어 있다. 이하, 본 명세서에 있어서, 상면(23) 및 하면(24)을 각각 상부 하프미러(23), 하부 하프미러(24)라 부르기로 한다.
도 1 및 도 3에 나타낸 바와 같이, 반도체 제조장치(30)의 프론트 패널(front panel; 31)에는 캐리어(1)의 덮개(20) 및 캐리어 본체(10)의 웨이퍼 취출구측 부분이 감입(嵌入)하는 개구부(32)가 형성되어 있다.
프론트 패널(31)보다 안쪽(도 3에서는 우측)에는 웨이퍼(W)의 중계실(中繼室; 38)이 설치되어 있다. 중계실(38)내에는 웨이퍼 반송기구(도시하지 않음)가 설치되어 있다. 이 웨이퍼 반송기구는 캐리어(1)의 덮개(20)가 떼어지면, 캐리어 본체(10)내의 전 웨이퍼(W)를 일괄하여 중계실(38)내로 이송한다. 웨이퍼(W)는 웨이퍼 반송기구에 의해 반도체 제조장치(30)에 설치된 복수의 처리실(도시하지 않음)로 순차 반송된다. 웨이퍼(W)에는 각 처리실에서 소정의 처리가 실시된다.
프론트 패널(31)의 전방에는 캐리어(1)가 탑재되는 캐리어 탑재대(33)가 설치되어 있다. 캐리어 탑재대(33)는 프론트 패널(31)의 개구부(32)를 향하여 도 1의 화살표(A)방향으로 왕복이동이 가능하게 받침대(34)에 지지되어 있다.
캐리어 탑재대(33)의 상면에는 위치결정돌기(36)가 예컨대 4군데(2개만 도시한다)에 형성되어 있다. 캐리어 본체(10)의 저면에는 위치결정돌기(36)에 대응하여 위치결정凹부(16)가 형성되어 있다. 위치결정凹부(16)를 위치결정돌기(36)에 맞춤으로써 캐리어(1)를 캐리어 탑재대(33)상에 위치결정할 수 있다.
프론트 패널(31)의 내측에는 덮개 오프너(opener; 40)가 설치되어 있다. 덮개 오프너(40)는, 캐리어(1)의 덮개(20)를 흡착하여 보지하는 덮개 보지체(41)와 덮개 보지체(41)를 지지하는 지지체(42)와, 지지체(42)를 승강시키는 에어 실린더(air cylinder; 43)를 갖추고 있다. 지지체(42)는 도 2의 화살표(C)방향으로 요동가능하게 되어 있다. 덮개 보지체(41)에는 캐리어(1)의 덮개(20)의 로크(lock)기구를 조작하는 로크조작기구(도시하지 않음)가 내장되어 있다.
더욱이, 덮개 보지체(41)에는 캐리어 본체(10)내의 웨이퍼(W)를 검출하기 위한 웨이퍼 검출기(45)가 장비되어 있다. 웨이퍼 검출기(45)는 복수의 발광소자(47) 및 수광소자(48)가 유니트화된 센서 어레이(sensor array;46)와 센서 어레이(46)의 발광소자(47) 및 수광소자(48)를 시퀀스제어하는 센서 콘트롤러(sensor controller;49)를 갖추고 있다. 센서 콘트롤러(49)는 반도체 제조장치(30) 전체의 동작을 제어하는 콘트롤러(36)의 제어하에서 동작하도록 되어 있다.
도 4에는, 덮개 보지체(41)가 도 3에 있어서 2점쇄선으로 나타낸 위치에 있는 경우의 센서 어레이(46)와 덮개(20)와의 위치관계가 나타내어져 있다. 도면의 간략화를 위해, 도 4에서는 덮개 보지체(41)의 기재는 생략하고 있다. 발광소자(47) 및 수광소자(48)는 상하방향으로 열을 이루어 교대로 배치되어 있다. 발광소자(47) 및 수광소자(48)의 위치는 덮개(20)의 돌기(21)의 위치에 대응하고 있다.
이하, 본 실시형태의 작용에 대해 설명한다. 도 3에 나타낸 바와 같이, 캐리어 반송장치(도시하지 않음)가 피파지부(17)를 파지하고 캐리어(1)를 반송하여 반도체 제조장치(30)의 캐리어 탑재대(33)상에 탑재한다. 캐리어 탑재대(33)가 프론트 패널(31)로 향하여 진출하고, 캐리어(1)의 덮개(20)가 프론트 패널(31)의 개구부(32)와 감합(嵌合)한다. 다음으로, 덮개 오프너(40)의 덮개 보지체(41)가 상승하여 도 3의 2점쇄선 위치로 이동한다. 덮개 보지체(41)는 덮개(20)를 흡착하고 도 3의 실선위치로 이동한다. 이에 따라, 캐리어 본체(10)의 웨이퍼 취출구(14)가 개방된다.
다음으로, 도시하지 않은 중계실내의 웨이퍼 반송기구에 의해 캐리어 본체(10)내의 전 웨이퍼(W)를 일괄하여 캐리어 본체(10)로부터 중계실로 이송한다. 다음으로, 덮개 오프너(40)가 도 3의 2점쇄선 위치로 이동하여 덮개(20)를 캐리어 본체(10)에 장착하여 웨이퍼 취출구(14)를 닫는다.
다음으로, 웨이퍼 검출기(45)가 캐리어(10)내에 웨이퍼(W)의 잔존이 없는지 어떤지를 검출한다. 웨이퍼 검출기(45)의 센서 콘트롤러(49)는, 우선 최초에, 가장 위쪽에 위치하는 발광소자(47)를 발광시킨다. 발광소자(47)는 캐리어(1)의 덮개(20)에 대하여 직교함과 더불어 수평방향으로 향하는 광선(L1)을 덮개(20)의 외면으로 향하여 조사한다. 광선(L1)은 투명한 덮개(20)를 투과하여 진행하고, 돌기(21)의 상하부 하프미러(23, 24)에 도달한다.
광선(L1)은 상부 하프미러(23)에 의해 반사되어 아래쪽으로 90°만큼 방향이 바뀌어지고, 또 하부 하프미러(24)에 의해 위쪽으로 90°만큼 방향이 바뀌어진다. 즉, 광선(L1)은 웨이퍼(W)의 표면에 직교하는 방향(연직방향)으로 방향을 바꾼다.
광선(L1)이 입사한 돌기(21)의 상부 하프미러(23)로부터의 반사광(L2)의 일부는 동일 돌기(21)의 하부 하프미러(24)를 투과하고, 아래에 이웃하는 돌기(21)의 상부 하프미러(23)을 투과한 후, 동일 돌기(21)의 하부 하프미러(24)에서 90°만큼 방향이 바뀌어 덮개(20)을 투과하여 발광소자(47)의 아래에 이웃하는 수광소자(48)에서 수광된다. 한편, 광선(L1)이 입사한 돌기(21)의 하부 하프미러(24)로부터의 반사광(L3)은 위에 이웃하는 돌기(21)의 하프미러(23, 24)를 매개해서 덮개(20)를 투과하여 발광소자(47)의 위에 이웃하는 수광소자(48)에서 수광된다.
캐리어 본체(10)내의 최상단의 슬롯(15) 및 그 하단의 슬롯(15)중 어느 하나 혹은 양쪽에 웨이퍼(W)가 잔존하고 있으면, 반사광(L2, L3)중 어느 하나 혹은 양쪽이 웨이퍼(W)에 의해 차단된다. 그러면, 대응하는 수광소자(48)가 반사광을 수광하게 되기 때문에, 웨이퍼(W)의 잔존을 검출할 수 있다.
그 후, 나머지의 발광소자(47)로부터 순차적으로 광선(L1)을 조사하여 발광소자(47)의 양 옆의 수광소자(48)에 의해 웨이퍼(W)의 유무를 검출한다. 이에 따라, 캐리어 본체(10)의 각 슬롯(15)에서의 웨이퍼(W)의 존재유무를 검출할 수 있다.
또한, 이상의 설명에 있어서, 웨이퍼 검출기(45)는 캐리어 본체(10)내의 웨이퍼(W)의 잔존을 검출하는 것이었지만, 이에 한정되는 것은 아니다. 즉, 웨이퍼(W)를 중계실내로 옮겨놓기 전에 웨이퍼 검출기(45)를 사용함으로써 캐리어 본체(10)내의 각 슬롯(15)에서의 웨이퍼(W)를 검출하고, 웨이퍼 매핑(wafer mapping)을 작성할 수도 있다.
이상 설명한 바와 같이, 본 실시형태에 의하면, 캐리어 본체(10)내의 웨이퍼(W)의 유무를 확실하게 검출할 수 있다.
또, 덮개 오프너(40)내에 센서 어레이(46)가 장착되어 있기 때문에, 웨이퍼 검출기(40)에 전용의 스페이스를 할애할 필요가 없고, 또한 웨이퍼 검출기가 웨이퍼반송의 장애로 되는 일도 없다.
더욱이, 발광소자(47)로부터 수광소자(48)까지의 광로길이가 짧기 때문에, 덮개(20)의 광학적 정밀도가 그다지 높지 않더라도, 웨이퍼(W)의 존재여부를 충분히 검출할 수 있다.
또한, 상기 실시형태에 있어서는, 돌기(21)의 끝이 가는 선단부(22)에 설치한 하프미러(23, 24)를 광선의 진로를 변경하는 광학소자, 즉 광로변환수단으로서 이용했지만, 이에 한정되는 것은 아니다. 예컨대, 끝이 가는 선단부(22)를 프리즘(prism)으로 치환하는 것에 의해 동일한 기능을 실현할 수 있다.
또, 상기 실시형태에서는 센서 어레이(46)를 덮개 오프너(40)의 덮개 보지체(41)에 설치한 경우에 대해 설명했지만, 센서 어레이(46)를 캐리어(1)측, 예컨대 덮개(20)나 캐리어 본체(10)에 설치해도 좋다. 센서 어레이(46)를 덮개(20)에 설치하는 경우에는, 돌기(21)를 그대로 이용할 수 있다.
한편, 캐리어 본체(10)에 돌기를 설치하는 경우에는, 센서 어레이(46)의 위치에 대응시킨 위치에 돌기를 설치할 필요가 있다. 예컨대, 센서 어레이(46)를 캐리어 본체(10)의 측벽(12)에 설치하는 경우에는, 캐리어 본체(10)의 측벽(12)의 내면에 형성된 웨이퍼 지지용 슬롯(15)을 구획하는 돌기(18)를 하프미러를 설치하기 위한 돌기로서 이용할 수 있다.
센서 어레이(46)를 캐리어(1)에 설치한 경우에는, 센서 콘트롤러(49)도 캐리어(1)에 설치한다. 더욱이, 캐리어(1)에 수광소자(47)의 ID번호를 인식하는 회로를 설치한다. 이에 따라 반도체 제조장치나 검사장치로부터 독립시켜 웨이퍼의 유무를 검출할 수 있다. 이 경우에는, 캐리어(1)와 반도체 제조장치나 검사장치와의 통신수단을 설치함으로써, 캐리어내의 웨이퍼 맵 혹은 웨이퍼의 존재여부를 반도체 제조장치나 검사장치에 대하여 통지할 수 있다.
더욱이, 상기 실시형태에서는 발광소자(47) 및 수광소자(48)를 덮개(20)의 각 돌기(21)에 대응하여 설치하고 있지만, 이에 한정되는 것은 아니다. 즉, 발광소자와 이에 대응하는 수광소자를 1개씩 설치한 가동부재를, 상하방향으로 주사시켜서 캐리어(10)내의 각 슬롯의 웨이퍼(W)를 1매씩 검출하도록 해도 좋다.
이상 설명한 바와 같이 본 실시형태에 의하면, 웨이퍼검출기의 설치 스페이스의 삭감을 도모할 수 있고, 또한 캐리어 반송의 장애로 되지 않고, 캐리어내의 웨이퍼의 유무를 확실하게 검출할 수 있는 캐리어를 제공할 수 있다.
제 2 실시형태
다음으로, 도 5 내지 도 13을 참조하여 제 2의 실시형태에 대해 설명한다. 제 2의 실시형태에 있어서, 제 1의 실시형태와 동일 구성요소에 대해서는 동일부호를 붙이고 중복되는 설명은 생략한다.
도 5 및 도 6에 나타낸 바와 같이, 캐리어 본체(10A)의 상벽(11)의 일부에는 복수의 코너 큐브(50)가 종횡으로 연속하여 설치되어 있다. 제 2실시형태의 캐리어 본체(10A)는 제 1실시형태의 캐리어 본체(10)에 대하여 상기 코너 큐브(50)를 갖춘 점만 다르다. 또, 제 2실시형태에 따른 덮개(20A)는 제 1실시형태의 덮개(20)에 대하여 돌기(21)가 설치되어 있지 않은 점만 다르다.
코너 큐브(50)는 도 7 및 도 8에 나타낸 바와 같이 서로 직각으로 교차하는 3면을 반사면(51)으로서 갖춘 삼각추의 반사경이다. 코너 큐브(50)는 캐리어 본체(10)와 동일의 투명한 재료로 이루어지고, 캐리어 본체(10A)와 일체적으로 형성되어 있다. 바람직하게는, 반사면(51)의 다른측의 캐리어 본체(10A)의 외측면에는 각 반사면(51)에서의 광선의 투과를 방지하여 반사면(51)의 광선의 반사율을 높이기 위한 피막이 설치된다.
삼각추 형상을 갖는 각 코너 큐브(50)의 가상저면(52)은 상벽(11)내에 위치한다. 저면(52)은 상벽(11)의 내면에 대하여 평행한 동일 평면상에 위치하고 있다. 또, 각 코너 큐브(50)의 정점은 각 저면(52)과 평행한 동일의 평면상에 위치하고 있다.
코너 큐브(50)의 저면(52)에 광선(L1)을 입사시키면, 광선(L1)은 3개의 반사면(51)에서 1회씩 3회 반사한 후, 저면(52)으로부터 출사한다. 저면(52)으로의 광선(L1)의 입사각도에 관계없이 입사광선(L1)과 출사광선(L2)은 평행으로 된다.
도 5 및 도 6에 나타낸 바와 같이, 캐리어 탑재대(33)의 상면의 측부에는 웨이퍼 검출기(55)가 배치되어 있다. 웨이퍼 검출기(55)는, 반도체 제조장치(30)의 프론트 패널(31)의 근방에 위치하고 있다. 이 웨이퍼 검출기(55)는 발광소자 및 수광소자(도시하지 않음)를 내장하고 있다. 발광소자에는, 예컨대 편광필터, 파장판(波長板) 등의 부재(도시하지 않음)가 부설되고, 발광소자로부터의 출사광이 편광으로 되도록 되어 있다. 또, 수광소자의 앞에는 편광필터(도시하지 않음)가 설치되어 있다.
도 6 및 도 9에 나타낸 바와 같이, 반도체 제조장치(30)의 프론트 패널(31)의 다른측에는 웨이퍼 검출장치(60)가 배치되어 있다. 웨이퍼 검출장치(60)는 덮개 오프너(40A)의 지지체(42)의 옆에 배치되어 있다. 이와 같은 배치로 함으로써, 웨이퍼 검출장치(60)의 설치 스페이스로서 덮개 오프너(40A)의 데드 스페이스(dead space)를 유효하게 이용할 수 있다. 또한, 제 2실시형태에서의 덮개 오프너(40A)는 덮개보지부(41)가 웨이퍼 검출장치(45)를 내장하고 있지 않은 점에 있어서만, 제 1실시형태의 덮개 오프너(40)와 다르다.
특히 도 10 및 도 11에 나타낸 바와 같이, 웨이퍼 검출장치(60)는 빗살모양으로 형성된 복수의 돌기(64)를 갖춘 보지체(61)를 가지고 있다. 돌기(64)의 피치(pitch)는 캐리어 본체(10A)의 측벽(12)에 설치된 슬롯(15)의 피치에 대응하고 있다. 각 돌기(64)의 상면 및 하면에는 각각 발광소자(65) 및 수광소자(66)가 설치되어 있다. 여기서, 최상단의 돌기(64)에는 그 하면에 발광소자(65)만이 배설되고, 최하단의 돌기(64)에는 그 상면에 수광소자(66)만이 배설되어 있다.
보지체(61)에는 에어 실린더(63)에 의해 구동되는 실린더 로드(cylinder rod; 62)가 연결되어 있다. 실린더 로드(62)와 보지체(61)와의 사이에는 모터(도시하지 않음)가 설치되어 있고, 보지체(61)는 90°정역회전이 가능하게 되어 있다. 또한, 보지체(61)의 구동기구는 에어 실린더(63)에 한정되는 것은 아니라 다른 구동기구를 이용해도 좋다.
다음으로, 제 2실시형태의 작용에 대해 설명한다. 제 1의 실시형태와 마찬가지로, 캐리어(1A)가 캐리어 탑재대(33)상의 소정위치에 탑재되면, 캐리어 탑재대(33)가 반도체 제조장치(30)의 프론트 패널(31)을 향하여 이동하고, 이에 따라 캐리어(1A)의 덮개(20A) 및 본체(10A)의 웨이퍼 취출구 부분이 프론트 패널(31)의 개구(32)에 끼워진다.
다음으로, 덮개 오프너(40)의 덮개 보지부(41)가 덮개(20A)를 흡착하여 캐리어 본체(10A)로부터 떼어낸다. 덮개 보지부(41)는 덮개(20A)를 흡착한 채로 하강하여 도 6에 나타낸 위치에 도달하고, 웨이퍼(W)의 검출동작 및 옮겨놓는 동작이 종료하기까지 대기한다.
그 후, 웨이퍼 검출장치(60)의 에어 실린더(63)에 의해 보지체(61)가 도 9의 1점쇄선으로 나타낸 퇴피위치로부터 실선으로 나타낸 검사위치까지 상승하여 정지한다.
그 직후에, 도시하지 않은 전환용의 모터에 의해 보지체(61)가 도 9에 나타낸 위치로부터 90°회전한다. 그러면, 도 6에 나타낸 바와 같이, 보지체(61)의 각 돌기(64)가 캐리어 본체(10A)내에 수납된 각 웨이퍼(W)의 사이로 들어간다. 각 웨이퍼(W)는 그 위쪽에 위치하는 돌기(64)에 설치된 발광소자(65)와, 그 아래쪽에 위치하는 돌기(64)에 설치된 수광소자(66)에 의해 끼워 넣어진다. 또한, 각 돌기(64)가 각 웨이퍼(W) 사이로 들어간 상태에서, 발광소자(65) 및 수광소자(66)와 웨이퍼(W)와의 사이에 작은 간극이 생기도록 되어 있다.
이 상태에서, 센서 콘트롤러(도시하지 않음)가 작동하여, 도 11에 나타낸 바와 같이 각 발광소자(65)로부터 광선(L)을 조사한다. 이 후, 발광소자(65) 및 이에 대향하는 수광소자(66)간에 웨이퍼(W)가 개재하면, 수광소자(66)는 발광소자(65)로부터의 광선(L)을 수광하지 않고, 이에 따라 웨이퍼(W)의 존재가 검출된다. 또, 발광소자(65) 및 수광소자(66) 사이에 웨이퍼(W)가 개재하지 않으면, 수광소자(66)는 발광소자(65)로부터의 광선(L)을 수광하고, 이에 따라 웨이퍼(W)의 부존재가 검출된다. 이상과 같이 하여, 캐리어 본체(10A)의 각 슬롯에서 웨이퍼(W)의 존재여부가 검출되고 웨이퍼의 매핑이 행해진다.
매핑이 종료하면, 보지체(61)는 90°역방향으로 회전하고, 각 돌기(64)가 웨이퍼(W)로부터 빠져 나간다. 다음으로, 보지체(61)는 하강하여 원래의 퇴피위치로 되돌아온다. 보지체(61)가 캐리어 본체(10A)로부터 퇴피하면, 도시하지 않은 중계실(36)내의 웨이퍼 반송기구(도시하지 않음)가 웨이퍼(W)를 일괄하여 캐리어 본체(10A)내로부터 중계실(38)내로 이송한다. 웨이퍼(W)의 이송후, 덮개 오프너(40A)는 캐리어 본체(10A)에 덮개(20A)를 장착하여 웨이퍼 취출구(14)를 폐쇄한다.
이 상태에서, 캐리어 탑재대(33) 위의 웨이퍼 검출기(55)가 동작한다.
캐리어 본체(10A)내에 웨이퍼(W)가 잔존하고 있지 않으면, 웨이퍼 검출기(55)의 발광소자로부터 광선(L1)을 조사하면, 광선(L1)은 도 6에 나타낸 바와 같이 캐리어 본체(10A)의 투명한 저벽(底壁; 13)을 투과하여 상벽(上壁; 11)의 코너 큐브(50)로 입사한다. 이 입사광선(L1)은 코너 큐브(50)의 3개의 반사면(51)에서 순차적으로 반사된다. 반사광선(L2)은 캐리어 본체(10A)의 내부를 경유하여 입사광선(L1)과 거의 동일의 경로를 통하여 웨이퍼 검출기(55)의 수광소자로 되돌아온다.
이 때, 캐리어 본체(10A)내에 1매라도 웨이퍼(W)의 잔존이 있으면, 발광소자로부터의 광선(L1)은 웨이퍼(W)에 의해 차단되어 코너 큐브(50)에 도달하지 않고, 수광소자는 반사광(L2)을 검출하지 않는다. 이에 따라 웨이퍼(W)의 잔존을 확인할 수 있다.
이 때, 입사광선(L1)이 웨이퍼(W)에 의해 반사되더라도, 이 반사광선은 수광소자로 되돌아오는 일은 없기 때문에, 웨이퍼 검출기(55)의 수광소자로 반사광선을 검출하는 일은 없다. 만일, 웨이퍼(W)로부터의 반사광선이 웨이퍼 검출기(55)의 수광소자로 되돌아왔다고 해도 코너 큐브(50)로부터의 반사광선과는 편광방향이 다르기 때문에, 수광소자의 편광필터에 의해 차단된다. 이 때문에, 검출미스가 발생할 염려는 없다.
이상과 같이 하여, 웨이퍼(W)의 잔존이 없는 것이 확인되면, 반도체 처리장치(30)는 웨이퍼(W)에 대한 처리를 실행한다. 웨이퍼(W)에 대한 처리가 종료하면, 상술한 경우와는 역의 동작으로 웨이퍼(W)를 캐리어 본체(10A)내로 되돌리고, 덮개(20A)에 의해 웨이퍼 취출구를 닫는다.
본 실시형태의 캐리어(1A)에 의하면, 웨이퍼 검출기(55)를 입사광선(L1)이 코너 큐브(50)로 입사하고, 게다가 입사광선(L1)이 모든 웨이퍼(W)의 수납위치를 가로지르는 위치에 설치하면 좋기 때문에, 웨이퍼 검출기(55)의 설치위치의 자유도가 높아진다. 이 때문에, 캐리어(1A)의 반송시에 캐리어(1A)와 간섭하지 않는 위치를 적당히 선택하여 웨이퍼 검출기(55)를 설치할 수 있다.
제 2 실시형태의 변형예
* 캐리어(1A)의 변형예
상기 제 2실시형태에서는 코너 큐브(50)를 캐리어 본체(10A)의 상벽(11)에 설치한 경우에 대해 설명했지만, 도 12에 나타낸 바와 같이 코너 큐브(50)를 캐리어 본체(10A)의 측벽(12)에 설치해도 좋다. 이 경우, 예컨대 웨이퍼 검출기(55)는 캐리어(1A)의 전방의 약간 옆쪽에, 즉 반도체 제조장치(30)의 프론트 패널(31)의 내측에 설치된다. 이 경우, 웨이퍼 검출기(55)는 상하방향으로 이동하면서 광선을 코너 큐브(50)로 향하여 조사한다.
또, 코너 큐브(50)를 측벽(12)의 상단으로부터 하단에 걸쳐 설치하면, 웨이퍼(W)의 유무뿐만 아니라 잔존하고 있는 웨이퍼의 수납위치까지 확인할 수 있다. 이와 같이, 코너 큐브(50)는 필요에 따라 캐리어 본체의 적당한 위치를 선택하여 설치할 수 있다.
더욱이, 코너 큐브(50)는 덮개(20A)에 설치해도 상관없다.
* 웨이퍼 검출장치의 변형예
웨이퍼 검출장치(60)의 구성은 이하와 같이 변경할 수 있다.
예컨대, 도 13a에 나타낸 바와 같이, 보지체(61A)를 좌우 한쌍의 돌기(67, 67)를 갖는 대략 역디귿자(⊃자)모양으로 형성한다. 각 돌기(67, 67)의 내측에 발광소자(65) 및 수광소자(66)가 서로 대향하여 배설된다. 보지체(61A)는 검사위치로 이동한 경우, 좌우의 돌기(67, 67)에 의해 웨이퍼(W)의 둘레면을 좌우 양측으로부터 끼우도록 구성되어 있다. 이 경우, 보지체(61A)의 구동기구는 보지체(61A) 및 에어 실린더(63)을 일체적으로 도 13a의 화살표방향으로 진퇴동작시키는 기능을 가진 것, 또는 보지체(61A)만을 도 13a의 화살표방향으로 요동시키는 기능을 가진 것이라면 좋다. 도 13a에 나타낸 웨이퍼 검출장치(60A)를 이용하는 경우에는, 보지체(61A)가 검사위치에 있어서 발광소자(65)로부터 광선(L)을 조사했을 때, 수광소자(66)에서 광선(L)을 수광하면 웨이퍼(W)의 부존재를 검출할 수 있고, 수광소자(66)에서 광선(L)을 수광하지 않으면 광선(L)이 웨이퍼(W)로 차됨으로써 웨이퍼(W)의 존재를 검출할 수 있다.
또, 도 13b에 나타낸 바와 같이, 돌기를 설치하지 않고 보지체(61B)에 직접 발광소자(65) 및 수광소자(66)을 배치해도 좋다. 이 경우, 횡방향으로 서로 인접하는 발광소자(65) 및 수광소자(66)의 쌍을 종방향의 열을 이루도록 배치한다. 그리고, 발광소자(65) 및 수광소자(66)의 각 쌍에 의해, 캐리어의 각 슬롯(15)내의 웨이퍼(W)의 존재여부를 검출한다. 즉, 보지체(61B)가 검사위치에 있어서 발광소자(65)로부터 광선(L)을 조사했을 때, 수광소자(66)에서 광선(L)을 수광하면 웨이퍼(W)의 존재가 검출되고, 수광소자(66)에서 광선(L)을 수광하지 않으면 웨이퍼(W)의 부존재가 검출되는 것으로 된다.
또한, 상기 변형예에 있어서는 캐리어 본체(10A)내에 수용되는 웨이퍼(W)의 수에 대응한 수의 발광소자(65) 및 수광소자(66)를 보지체(61A, 61B)에 설치하고 있지만, 이에 한정되는 것은 아니다. 즉, 발광소자 및 수광소자를 한쌍만 보지체에 설치하고, 보지체를 상하방향으로 주사함으로써, 캐리어 본체(10A)의 각 슬롯(15)내의 웨이퍼(W)의 유무를 검출하도록 해도 좋다.
본 발명에 의하면, 웨이퍼 검출기의 설치 스페이스의 삭감을 도모할 수 있고, 또한 캐리어 반송에 장애로 되지 않고 캐리어내의 웨이퍼의 유무를 확실하게 검출할 수 있는 캐리어를 제공할 수 있다.
본 발명의 캐리어에 의하면, 웨이퍼 검출기를 입사광선이 코너 큐브로 입사하고, 게다가 입사광선이 모든 웨이퍼의 수납위치를 가로지르는 위치에 설치하면 좋기 때문에, 웨이퍼 검출기의 설치위치의 자유도가 높아진다. 이 때문에, 캐리어의 반송시에 캐리어와 간섭하지 않는 위치를 적당히 선택하여 웨이퍼 검출기를 설치할 수 있다.

Claims (15)

  1. 복수의 웨이퍼를 일정한 간격을 두고 수납하는 캐리어 본체와,
    상기 캐리어 본체에 착탈가능하게 장착되는 덮개,
    상기 캐리어 본체에 웨이퍼를 수용하고 상기 덮개를 상기 캐리어 본체에 장착한 경우에, 상기 각 웨이퍼의 양 표면을 사이에 두고 위치하도록 상기 덮개의 내면에 설치된 복수의 돌기 및,
    상기 돌기를 통과하는 상기 웨이퍼의 표면과 대략 평행한 광선의 진로를 상기 웨이퍼의 표면에 대략 직교하는 방향으로 변경함과 더불어 상기 웨이퍼의 표면에 대략 직교하는 광선의 진로를 상기 웨이퍼의 표면과 대략 평행한 방향으로 변환하는 상기 돌기에 설치된 광학소자를 구비한 것을 특징으로 하는 반도체 웨이퍼를 수용하여 반송하기 위한 캐리어.
  2. 제 1항에 있어서, 상기 각 돌기는 그 선단측에 서로 교차하도록 형성된 한쌍의 경사면을 갖고,
    상기 각 경사면은 하프미러로서 형성되며,
    상기 광학소자가 상기 하프미러인 것을 특징으로 하는 캐리어.
  3. 제 1항에 있어서, 상기 덮개에, 상기 광학소자로 향하여 광선을 출사하는 발광소자와, 상기 광학소자를 거친 광선을 수광하는 수광소자가 장착되어 있는 것을 특징으로 하는 캐리어.
  4. 제 1항에 있어서, 상기 덮개는 광학적으로 투명한 재료로 형성되어 있는 것을 특징으로 하는 캐리어.
  5. 복수의 웨이퍼를 일정한 간격을 두고 수납하는 캐리어 본체와,
    상기 캐리어 본체에 웨이퍼를 수용한 경우에, 상기 각 웨이퍼의 양 표면을 사이에 두고 위치하도록 상기 캐리어 본체의 내면에 설치된 복수의 돌기 및,
    상기 돌기를 통과하는 상기 웨이퍼의 표면과 대략 평행한 광선의 진로를 상기 웨이퍼의 표면에 대략 직교하는 방향으로 변경함과 더불어 상기 웨이퍼의 표면에 대략 직교하는 광선의 진로를 상기 웨이퍼의 표면과 대략 평행한 방향으로 변경하는 상기 돌기에 설치된 광학소자를 구비한 것을 특징으로 하는 반도체 웨이퍼를 수용하여 반송하기 위한 캐리어.
  6. 제 5항에 있어서, 상기 각 돌기는 그 선단측에 서로 교차하도록 형성된 한쌍의 경사면을 갖고,
    상기 각 경사면은 하프미러로서 형성되며,
    상기 광학소자가 상기 하프미러인 것을 특징으로 하는 캐리어.
  7. 제 5항에 있어서, 상기 캐리어 본체에, 상기 광학소자로 향하여 광선을 출사하는 발광소자와, 상기 광학소자를 거친 광선을 수광하는 수광소자가 장착되어 있는 것을 특징으로 하는 캐리어.
  8. 제 5항에 있어서, 상기 캐리어 본체는 광학적으로 투명한 재료로 형성되어 있는 것을 특징으로 하는 캐리어.
  9. 캐리어내의 웨이퍼를 검출하는 방법에 있어서,
    웨이퍼의 표면과 대략 평행한 광선을 웨이퍼 표면의 위쪽 또는 아래쪽에 배치된 광학소자로 조사하는 공정과,
    상기 광학소자에 의해 광선의 방향을 웨이퍼의 표면과 대략 직교하는 방향으로 변환하는 공정과,
    방향변환된 광선을 검출하는 공정을 구비하고,
    방향변환된 광선의 검출의 유무에 기초하여 웨이퍼의 유무를 검출하는 것을 특징으로 하는 방법.
  10. 제 9항에 있어서, 상기 광학소자로서 하프미러가 이용되는 것을 특징으로 하는 방법.
  11. 복수의 웨이퍼를 일정한 간격을 두고 수납하는 광학적으로 투명한 벽면을 갖는 캐리어 본체와,
    상기 캐리어 본체의 내부에 설치된 코너 큐브를 구비한 것을 특징으로 하는 반도체를 수용하여 반송하기 위한 캐리어.
  12. 제 11항에 있어서, 상기 코너 큐브는 상기 캐리어 본체의 벽면에 설치되고, 상기 캐리어 본체의 내부를 향한 반사면을 가지고 있는 것을 특징으로 하는 캐리어.
  13. 제 12항에 있어서, 상기 코너 큐브는 상기 캐리어 본체의 벽면과 일체로 형성되어 있는 것을 특징으로 하는 캐리어.
  14. 복수의 웨이퍼를 일정한 간격을 두고 수납하는 광학적으로 투명한 벽면을 갖는 캐리어 본체와,
    상기 캐리어 본체에 착탈가능하게 장착되는 덮개 및,
    상기 덮개에 설치되고, 상기 캐리어 본체의 내부를 향한 반사면을 갖는 코너 큐브를 구비한 것을 특징으로 하는 반도체를 수용하여 반송하기 위한 캐리어.
  15. 캐리어내의 웨이퍼를 검출하는 방법에 있어서,
    광학적으로 투명한 벽면을 갖는 캐리어 본체의 외부로부터 상기 벽면을 투과시켜서 캐리어 본체내에 설치된 코너 큐브로 광선을 조사하는 공정과,
    상기 코너 큐브로부터의 반사광을 캐리어 본체의 외부에서 검출하는 공정을 구비하고,
    광선의 검출의 유무에 기초하여 웨이퍼의 유무를 검출하는 것을 특징으로 하는 방법.
KR1019980016403A 1997-05-08 1998-05-08 반도체 웨이퍼 반송용의 캐리어 및 캐리어내의 웨이퍼 검출방법 KR100304468B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP13436297A JPH10308437A (ja) 1997-05-08 1997-05-08 キャリア及びキャリア内のウエハ検出方法
JP13436397A JPH10308438A (ja) 1997-05-08 1997-05-08 キャリア及びキャリア内のウエハ検出方法
JP97-134362 1997-05-08
JP97-134363 1997-05-08
JP14577297A JPH10321706A (ja) 1997-05-20 1997-05-20 キャリア載置機構
JP97-145772 1997-05-20

Publications (2)

Publication Number Publication Date
KR19980086855A KR19980086855A (ko) 1998-12-05
KR100304468B1 true KR100304468B1 (ko) 2001-11-30

Family

ID=27316881

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980016403A KR100304468B1 (ko) 1997-05-08 1998-05-08 반도체 웨이퍼 반송용의 캐리어 및 캐리어내의 웨이퍼 검출방법

Country Status (3)

Country Link
US (1) US6053983A (ko)
KR (1) KR100304468B1 (ko)
TW (1) TW444316B (ko)

Families Citing this family (278)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1139390A1 (en) * 2000-03-28 2001-10-04 Infineon Technologies AG Semiconductor wafer pod
TW444260B (en) * 2000-07-13 2001-07-01 Ind Tech Res Inst Wafer mapping method of wafer load port equipment
JP4756766B2 (ja) * 2001-04-23 2011-08-24 不二越機械工業株式会社 ワークの供給装置
WO2003009346A2 (en) * 2001-07-15 2003-01-30 Applied Materials,Inc. Processing system
US7217076B2 (en) * 2001-08-31 2007-05-15 Asyst Technologies, Inc. Semiconductor material handling system
JP4669643B2 (ja) * 2001-09-17 2011-04-13 ローツェ株式会社 ウエハマッピング装置およびそれを備えたロードポート
US6808589B2 (en) * 2002-06-14 2004-10-26 Taiwan Semiconductor Manufacturing Co. Ltd Wafer transfer robot having wafer blades equipped with sensors
DE10250353B4 (de) * 2002-10-25 2008-04-30 Brooks Automation (Germany) Gmbh Einrichtung zur Detektion von übereinander mit einem bestimmten Abstand angeordneten Substraten
US6984839B2 (en) * 2002-11-22 2006-01-10 Tdk Corporation Wafer processing apparatus capable of mapping wafers
US7255524B2 (en) * 2003-04-14 2007-08-14 Brooks Automation, Inc. Substrate cassette mapper
JP4028814B2 (ja) 2003-04-21 2007-12-26 川崎重工業株式会社 マッピング装置
US7015492B2 (en) * 2003-08-15 2006-03-21 Asm International N.V. Method and apparatus for mapping of wafers located inside a closed wafer cassette
US20050209721A1 (en) * 2003-11-06 2005-09-22 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
US20070276531A1 (en) * 2003-11-06 2007-11-29 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
US7218983B2 (en) * 2003-11-06 2007-05-15 Applied Materials, Inc. Method and apparatus for integrating large and small lot electronic device fabrication facilities
US7720557B2 (en) 2003-11-06 2010-05-18 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
US7720558B2 (en) * 2004-09-04 2010-05-18 Applied Materials, Inc. Methods and apparatus for mapping carrier contents
JP4012189B2 (ja) * 2004-10-26 2007-11-21 Tdk株式会社 ウエハ検出装置
CN100369217C (zh) * 2004-12-15 2008-02-13 上海华虹Nec电子有限公司 在炉管作业中消除产品片上图形效应的方法
JP4713424B2 (ja) * 2006-08-24 2011-06-29 川崎重工業株式会社 オープナ側ドア駆動機構
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
AU2015217747B2 (en) * 2014-02-12 2017-10-05 Tetra Laval Holdings & Finance S.A. A cheese mould, method and apparatus for handling said mould
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR900006017B1 (ko) * 1987-12-18 1990-08-20 한국전기통신공사 가변직경형 웨이퍼운송장치
US4875824A (en) * 1988-02-01 1989-10-24 Biorne Enterprises, Inc. Wafer transfer apparatus
CH680275A5 (ko) * 1990-03-05 1992-07-31 Tet Techno Investment Trust
JP3468430B2 (ja) * 1994-02-15 2003-11-17 東京エレクトロン株式会社 位置検出案内装置、位置検出案内方法及び真空処理装置
TW277139B (ko) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
KR0152324B1 (ko) * 1994-12-06 1998-12-01 양승택 웨이퍼 측면파지 이송 반도체 제조장치

Also Published As

Publication number Publication date
TW444316B (en) 2001-07-01
US6053983A (en) 2000-04-25
KR19980086855A (ko) 1998-12-05

Similar Documents

Publication Publication Date Title
KR100304468B1 (ko) 반도체 웨이퍼 반송용의 캐리어 및 캐리어내의 웨이퍼 검출방법
KR100648238B1 (ko) 웨이퍼 핸들링 시스템
EP2840599B1 (en) Accommodating container and wafer stocker using same
EP0510108B1 (en) Devices and methods for reading identification marks on semiconductor wafers
KR100616125B1 (ko) 수직 인터페이스에 적합한 개방 시스템
KR100880462B1 (ko) 검사장치 및 검사방법
KR20120103565A (ko) 웨이퍼 검출 장치
KR20090024615A (ko) 기판 위치 어긋남 검출 시스템
JP4664264B2 (ja) 検出装置及び検出方法
KR20220137858A (ko) 기판 처리 장치
US6184970B1 (en) Master plate transporting system
US5780849A (en) Apparatus for detecting objects to be transferred for use in semiconductor device fabrication apparatus
JP2003218018A (ja) 処理装置
KR20180130388A (ko) Smif 장치
JPH11163091A (ja) ウエハパッキング装置及びウエハパッキング方法
TW202308024A (zh) 裝載端口
WO2009114193A2 (en) Bare reticle storage chamber and stocker
US6405610B1 (en) Wafer inspection apparatus
JPH10321706A (ja) キャリア載置機構
JPH07231031A (ja) 位置検出/案内装置
JPH10308438A (ja) キャリア及びキャリア内のウエハ検出方法
JP3628212B2 (ja) 基板搬入・搬出装置及びこれを用いた基板処理装置
JP4111939B2 (ja) 基板搬入・搬出装置及びこれを用いた基板処理装置
JPH05294405A (ja) 基板検出装置
JP2001267399A (ja) 基板有無検知方法及び基板保管装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee