KR100282463B1 - 열처리장치 및 열처리용 보오트 - Google Patents

열처리장치 및 열처리용 보오트 Download PDF

Info

Publication number
KR100282463B1
KR100282463B1 KR1019940001400A KR19940001400A KR100282463B1 KR 100282463 B1 KR100282463 B1 KR 100282463B1 KR 1019940001400 A KR1019940001400 A KR 1019940001400A KR 19940001400 A KR19940001400 A KR 19940001400A KR 100282463 B1 KR100282463 B1 KR 100282463B1
Authority
KR
South Korea
Prior art keywords
wafer
heat treatment
boat
support
substrate
Prior art date
Application number
KR1019940001400A
Other languages
English (en)
Other versions
KR940018937A (ko
Inventor
데쓰 오사와
Original Assignee
마쓰바 구니유키
도오교오 에레구토론 도오호쿠 가부시키가이샤
히가시 데쓰로
동경 엘렉트론주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마쓰바 구니유키, 도오교오 에레구토론 도오호쿠 가부시키가이샤, 히가시 데쓰로, 동경 엘렉트론주식회사 filed Critical 마쓰바 구니유키
Publication of KR940018937A publication Critical patent/KR940018937A/ko
Application granted granted Critical
Publication of KR100282463B1 publication Critical patent/KR100282463B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/14Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Furnace Charging Or Discharging (AREA)

Abstract

여러개의 반도체 웨이퍼를 서로 간격을 두고 수납하고, 열처리로 내에서 웨이퍼를 열처리하기 위한, 열처리보오트는, 바닥판과, 바닥판 바깥면부에 세워서 설치된 제1의 지주와, 제1의 지주에 대해서 중심각이 105∼120도가 되도록 양측에 각각 바닥판 위에 세워서 설치된 제2 및 제3의 지주와, 바닥판과 대향해서 바닥판과 함께 제1, 제2 및 제3의 지주를 유지하는 천정판을 구비하고 있다. 이 열처리용 보오트에 웨이퍼를 지지한 상태에서 웨이퍼에 가하여지는 최대 응력은 3지점으로 분산되어 최소가 된다.

Description

열처리장치 및 열처리용 보오트
제1도는 본 발명의 한 실시예에 관한 열처리장치를 나타내는 사시도.
제2도는 상기 열처리 장치의 주요부를 나타낸 사시도.
제3도는 본 발명의 한 실시예에 관한 열처리용 보오트의 지주를 나타내는 부분도.
제4도는 상기 지주의 수평단면도.
제5도는 상기 지주에 홈을 형성하는 방법의 한 예를 나타내는 도면.
제6도는 상기 홈 형성방법의 다른 예를 나타내는 도면.
제7도는 상기 홈 형성방법의 또 다른 예를 나타내는 도면.
제8도는 3개의 지주사이의 중심각을 나타내는 도면.
제9도는 상기 지주의 형성방법의 한 예를 나타내는 도면.
제10도는 종래의 4점 지지보오트에 지지된 웨이퍼상의 응력분포를 나타내는 도면.
제11도는 본 발명의 3점 보오트에 지지된 웨이퍼상의 응력 분포를 나타내는 도면.
제12도는 웨이퍼의 둘레 가장자리와 지지점과의 사이의 거리와, 최대 전단 응력과의 관계를 나타내는 그래프.
제13도는 지지점간의 중심각과, 최대 전단응력과의 관계를 나타내는 그래프이다.
* 도면의 주요부분에 대한 부호의 설명
1 : 반도체 웨이퍼 2 : 열처리장치
3 : 캐리어 4 : 케이싱체
6 : I/O 포트 8 : 자세변환기구
10 : 캐리어 이송기구 12 : 로봇아암
14 : 엘리베이터 16 : 이송스테이지
20 : 캐리어 스토우커 22 : 캐리어 지지대
22′ : 처리용기(반응관) 23 : 가스공급관
24 : 열처리로(종형로) 25 : 배기관
26 : 셔터 28 : 웨이퍼보오트
30 : 보오트 엘리베이터 31 : 플랜지
32 : 옮겨싣는 장치 33 : 보온통
34 : 천정판 36 : 바닥판
37 : 진입공간 38,40A,40B : 지주
42,44 : 홈 50,52 : 구멍
55 : 커터 60,62,64 : 모서리형 팁
68 : 통형상 부재 70 : 홈부
72,74,76,78 : 각각의 부분 α : 열린 각도
L : 중심선 P : 중심
Q : 교점 θ : 각
본 발명은, 반도체 웨이퍼 따위의 원형판 형상의 처리체에 대해서 열처리를 하기 위하여 사용되는 열처리장치 및 열처리용 보오트에 관한 것이다.
반도체 웨이퍼의 제조 프로세스의 하나로서, 산화막의 형성과 도우펀트의 확산 등을 행하기 위하여 고온하에서 열처리를 행하는 프로세스가 있다. 이 열처리를 행하는 장치로서는, 종래의 횡형 열처리로가 주류였으나, 최근에는, 외기의 유입이 적다는 등의 이유로 종형 열처리로가 많이 사용되어 오고 있다.
종형 열처리로를 사용한 장치(종형 열처리장치)에 있어서는, 다수의 웨이퍼를 상, 하로 간격을 두고 수납하고, 열처리로에 대해서 로우드, 언로우드를 하기 위하여 세로로 긴 열처리 보오트(웨이퍼 보오트라고도 한다)가 사용된다. 이 열처리용 보오트는, 상,하로 각각 대향해서 배치된 원형의 천정판과 바닥판 사이에, 예를 들면 석영으로 형성된 4개의 지주가 형성되고, 2개의 지주에 대해서는 웨이퍼의 진입 방향 정면의 좌우위치를 각각 지지하는 그러한 위치관계로 배치되고 있으며, 단열재인 보온통 위에 설치되어 있다.
그리고, 각 지주에는, 삽입된 각 웨이퍼의 바깥면부를 지지하도록 웨이퍼의 두께보다도 약간 상,하의 폭이 넓은 홈부가 형성되고, 앞 측의 2개의 지주 사이로부터 반송아암으로 홈부에 대하여 웨이퍼의 탈착이 행하여진다.
이 열처리용 보오트는, 처리전의 웨이퍼가 소정 수량 탑재되면, 엘리베이터가 상승하여 열처리로 내로 도입되며, 이에 의하여 웨이퍼가 로우드되어서, 소정의 열처리가 행하여진다.
그런데, 열처리프로세스 중에는, 가령 이온주입을 한 후에, 주입된 도우펀트(불순물이온)를 소정의 깊이까지 확산시키기 위하여, 1200℃ 정도의 고온으로 장시간 가열하는 경우가 있다. 웨이퍼의 기본재가 실리콘인 경우에는, 실리콘웨이퍼의 항복응력도 극단적으로 작아져서, 상온시의 항복응력의 약 560분의 1까지 저하한다.
한편, 웨이퍼는 대구경화가 진행중이며, 그 크기는 6인치로부터 8인치로 이행하기 시작하고, 더욱 12인치로의 이행도 검토되고 있다. 이와 같이 웨이퍼가 대구경화되면, 상술한 바와 같이 웨이퍼의 기본재의 융점에 가까운 온도에서 열처리를 하였을 때에, 열처리용 보오트의 지주(支柱)에 의해서 지지되고 있는 슬립이라고 불리우는 표면 결함이 웨이퍼에 발생한다. 이 슬립은, 눈으로는 확인하기 어려울 정도의 미소한 단층이며, 확대경이나 현미경으로 밖에는 볼 수가 없다.
여기에서 슬립이 발생하는 원인으로서는,
(1) 웨이퍼의 자중에 의한 내부응력
(2) 웨이퍼의 면내 온도 불균일에 의거하는 열변형 응력을 원인으로서 들고 있다.
즉, 상기 (1)에 대해서는, 열처리용 보오트에 의한 지지위치가 웨이퍼의 바깥면부에 있으며, 더우기 부분적인 지지라는 점에서, 지지장소 부근에서 웨이퍼의 자중에 의한 큰 내부응력이 생겨, 이 내부 응력이 어떤 크기를 넘었을 때 슬립이 발생하는 것으로 생각된다.
또, 상기(2)에 대해서는, 웨이퍼를 승온시킬 때 중심부와 바깥면부와의 사이에 생기는 온도 분포에 기인하는 열변형 응력이 어떤 크기를 넘었을때 슬립이 발생하는 것으로 생각된다. 슬립의 발생원인의 하나로 생각되고 있는 웨이퍼 자중에 의한 내부응력에 관하여, 종래의 열처리용 보오트의 구조에 관해서 더욱 고찰하면, 웨이퍼에는 규격치 내에서 휨이 있으며, 또 가열시의 온도 분포의 불균일성에 의거하는 휨도 있다. 또, 지주의 홈의 가공에 있어서도, 제조상의 오차가 있으며, 이와 같은 요인이 복합해서, 4개소에 있는 웨이퍼의 지지점 중 유효하게 웨이퍼를 지지하고 있는 개소는 제10도와 같이 3개점이 있다. 3점에서 지지되면, X표로 나타나는 지주의 배치에서 알 수 있듯이 각 지지점의 하중은 언밸런스가 되어, 그중의 1개소에, 슬립의 발생한계를 넘은 큰 응력이 발생하게 된다.
한편, 열처리용 보오트의 4개의 지주를 각각 웨이퍼의 바깥면을 따라가는 원호형상의 것을 사용하여 웨이퍼의 지지면적을 넓게 잡아, 이에 의해서 웨이퍼의 자중에 의한 내부 응력을 경감시키고자 하는 수법도 검토되고 있다.
그러나, 4개의 지주 각각에 대해서 큰 지지면적을 확보하기 위해 단면형상이 원호형상인 부재를 절삭 가공하는 것은 대단히 시간이 걸릴 뿐만 아니라, 상술한 바와 같이 웨이퍼의 휨 등에 의해서, 웨이퍼는, 각 지주의 예정하고 있는 지지 면적 전체에 균일하게 지지되지 못하고, 어떤 장소에 편중해서 과대한 하중을 받는 경우가 있으므로 슬립을 유효하게 방지할 수 있다는 보장이 적다.
이상 설명과 같이 웨이퍼를 열처리하는 경우, 특히 웨이퍼의 기본재의 융점에 가까운 고온으로 열처리하는 경우에는, 웨이퍼가 대구경이면, 슬립의 발생이라는 문제가 야기되며, 이것은 웨이퍼의 대구경화를 막는 하나의 과제로 되고 있다.
본 발명의 목적은, 원형판 형상의 피처리체를 열처리하는 경우에, 웨이퍼의 자중에 의한 내부응력의 최적화를 도모하고 슬립의 발생을 경감할 수 있는 열처리 장치 및 열처리 보오트를 제공하는데 있다.
본 발명에 따르면, 바닥판과, 상기 바닥판의 바깥면부에 세워서 설치된 제1 지주와, 상기 제1의 지주에 대해서 중심각이 105도 내지 120도가 되도록 양측에 각각 상기 바닥판 상에 세워서 설치된 제2 및 제3의 지주와,
상기 바닥판과 대향하여, 바닥판과 함께 상기 제1, 제2 및 제3의 지주를 유지하는 천정판으로서 구성되는 여러개의 판형상 피처리체를 서로 간격을 두고 수납하여, 열처리 노내에서 피처리체를 열처리하기 위한 열처리용 보오트가 제공된다.
이와 같은 구성에 따르면, 피처리체, 예컨대 반도체 웨이퍼가 3개의 지주로 지지되고, 더우기 각 지주의 지지면은 피처리체의 둘레방향으로 대략 3등분한 장소에 위치하고 있으므로, 피처리체가 휘어도 반드시 각 지주에 의해서 지지된다. 따라서, 피처리체는 항상 3개소에서 지지되며, 각 지지장소는 대략 균등하게 피처리체의 자중에 의한 하중이 분산되므로, 1개소에 큰 하중이 가하여져 과대한 응력 집중이 일어나는 것을 방지할 수 있으며, 이 결과, 웨이퍼상의 슬립발생을 경감할 수가 있다.
[실시예]
이하에 첨부된 도면을 참조하면서 본 발명의 실시예에 관하여 설명한다.
제1도는, 본 발명의 한 실시예에 관한 종형 열처리장치의 사시도이다.
제1도에 도시하는 바와 같이, 열처리장치(2)의 케이싱체(4)의 앞부분에는 예를 들면 25매의 반도체 웨이퍼(1)를 수용한 캐리어(3)를 반입, 반출하기 위한 I/O포트(6)가 형성되고, 이 I/O포트(6)의 하부에는 웨이퍼(1)를 캐리어(3)와 함께 그대로 90도 자세 변환시키기 위한 자세변환 기구(8)가 형성되어 있다. I/O포트(6) 후방에는, 자세변환기구(8)로부터 캐리어(3)를 받아서 반송하는 캐리어 이송기구(10)가 배열 설치되고, 캐리어 이송기구(10)의 로봇아암(12)은 캐리어 엘리베이터(14)에 의해서 승감함과 동시에 전후방향으로 신축이 자유롭도록 구성되어 있다.
또, I/O포트(6)의 더욱 후방에는 이송스테이지(16)가 설치되고, 이 이송스테이지(16)는, 상,하 2단으로 캐리어(3)를 유지 가능하게 구성되어 있다. 그리고, 이송 스테이지(16) 상방에는 캐리어 스토우커(20)가 설치되고, 이 캐리어 스토우커(20)에는 최대 8개까지의 캐리어(3)가 한번에 수납되도록, 캐리어 지지대(22)가 설치되어 있다.
상기 캐리어 스토우커(20)의 후방에는, 처리용기(22′)를 내장한 열처리로(24)가 배열 설치되고, 이 열처리로(24)의 하단 개구부를 개폐하는 셔터(26)가 회전운동이 자유롭게 설치되어 있다. 열처리로(24) 하방에는, 예를 들면 150매의 웨이퍼를 한번에 수용할 수 있는 웨이퍼 보오트(28)가, 보오트 엘리베이터(30)에 의해서 승강 가능하게 설치되어 있다. 그리고, 웨이퍼보오트(28)와 이송스테이지(16)와의 사이에는, 예를 들면 5매의 웨이퍼를 한번에 이송 가능한 5개의 핀셋을 갖춘 옮겨싣는 장치(32)가 배설되어 있다.
이와 같이 구성된 열처리장치(2)에서는, 예를 들면 25매의 웨이퍼(1)가 수용된 캐리어(3)가 I/O포트(6)에서 자세변환기구(8)상에 배치되고, 이 자세변환기구(8)에 의해서 캐리어(3)의 자세가 90도 변환된 후, 이어서 캐리어(3)는, 캐리어 이송기구(10)에 의해서 이송스테이지(16)에 반입되거나, 또는 캐리어 엘리베이터(14)에 의해서 캐리어 스토우커(20)에 반입된다. 그리고, 반송스테이지(16)상의 캐리어(3)내의 웨이퍼(1)는, 옮겨싣는 장치(32)에 의해서 웨이퍼보오트(28)에 옮겨실어진다.
소정수의 웨이퍼(1)가 수납된 웨이퍼보오트(28)는, 보오트 엘리베이터(30)에 의해서 상승되고, 그와 동시에 셔터(26)가 개방되어서, 열처리로(24)의 하단개구로부터 노내에 삽입된다. 그후, 셔터(26)가 폐쇄되고, 웨이퍼(1)는 열처리로(24)내에서 히이터 가열에 의하여 열처리가 행하여진다. 그리고, 소정의 열처리가 종료된 후에, 웨이퍼 보오트(28)는 하강해서 열처리로(24)내로부터 원래의 위치로 이동한다. 소정시간 경과 후, 웨이퍼보오트(28)상의 웨이퍼(1)는, 옮겨싣는 장치(32)에 의해서, 이송스테이지(16)상의 캐리어(3)로 반송된다.
상기 웨이퍼보오트(28) 또는 캐리어(3)에는 다수의 웨이퍼(1)를 적층하기 위한 지지홈이 일정한 피치로 서로 사이를 두고 형성되어 있다.
다음에, 본 발명에 관한 열처리용 보오트에 관해서 설명한다.
제2도에 도시한 바와 같이 상기 웨이퍼보오트(28)는, 상,하로 각각 대향해서 배치된 원형의 천정판(34) 및 바닥판(36)을 갖추고, 이들 사이에 3개의 예컨대 SiC와 폴리실리콘으로 된 지주(38,40A,40B)가 설치되어 있다.
상기 지주(38)는, 제1의 지주에 상당함과 동시에 2개의 지주(40A),(40B)는, 제2 및 제3의 지주에 상당하며, 이들 지주(38,40A,40B)는, 상세한 위치관계는 뒤에 설명하지만 천정판(34)의 원주(또는 바닥판(36)의 원주)를 3등분한 장소에 가까운 위치, 다시 말해서 웨이퍼(1)의 둘레방향을 따라서 대략 3등분한 장소에 배열 설치되어 있다.
제2 및 제3의 지주(40A,40B)사이는, 웨이퍼보오트(28)에 대해서 웨이퍼(1)의 인수인도를 하기 위한 옮겨싣는 장치(32)의 핀셋이 진입되는 진입공간(37)을 형성하며, 웨이퍼(1)에 대한 제1의 지주(38) 위치는, 웨이퍼(1)의 진입방향을 따르는 웨이퍼(1)의 중심선(L)상에 위치하고 있다(제4도 참조). 그리고, 지주(40A,40B)는, 지주(38)의 진입방향 바로 앞에서 중심선(L)에 대하여 좌우 대칭위치에 각각 배치되고 있다.
제1의 지주(38)는, 가령 횡단면 형상이 직사각형인 각기둥 재료에 의해서 구성됨과 동시에, 제2 및 제3의 지주(40A,40B)는 두께가 두꺼운 통형상 부재를 반으로 쪼갠, 단면이 원호형상인 부재가 사용되며, 원호형상 부재의 내부 둘레면측이 웨이퍼(1)의 중심보다도 약간 제1의 지주(38)측을 향하도록 배치되고 있다.
지주(38,40A,40B)에는 제3도와 같이, 웨이퍼(1)가 삽입되어서 지지되도록 다수의 홈부(42,44)가 각각 형성되어 있으며, 각 웨이퍼(1)에 대응하는 홈부(42,44)의 바닥면, 즉 지지면은, 웨이퍼(1)가 수평으로 지지되도록 같은 높이 위치에 설치되어 있다.
제4도에 도시하는 바와 같이, 제1의 지주(38)의 홈부(42)에 대해서는, 웨이퍼(1)의 삽입방향 앞측에서 보아 예를 들면 가로 넓이 15㎜, 안으로의 깊이 10㎜의 크기로 가공되어 있다. 또, 제2 및 제3의 지주(40A,40B)의 홈부(42,44)에 대해서는, 가령 바깥지름 23㎜, 두께 8㎜의 원호형상 부재를 사용하고, 웨이퍼(1)의 인도시에 있어서의 웨이퍼(1) 좌측(우측)의 가장 바깥면의 이동궤적보다도 약간 바깥쪽위치를 홈의 기초단면으로 하여 절삭해서 형성되고 있다. 그리고, 홈부(42,44)의 상,하폭은, 웨이퍼(1)가 인도시에 상,하로 오르내릴 수 있는 2.5㎜정도로 형성되어 있다.
또, 홈부(42,44)에서의 웨이퍼(1)의 지지면 위치관계에 대해서 설명하면, 제4도에 도시한 바와 같이, 웨이퍼(1)가 각 홈부(42,44)의 지지면에 지지되었을 때에, 홈부(44)의 지지면 일부는, 웨이퍼(1)의 중심(P)에 대하여, 홈부(42)의 지지면 중심과 이루는 각도(θ)가 105도 내지 120도가 되도록 위치하고 있는 것이 바람직하며, 본 실시예에서는, 제2 및 제3의 지주(40A,40B)의 외부 둘레면과 웨이퍼(1)의 바깥면과의 교점을 Q로 하면, 점 P에 대하여 점 Q와 홈부(42)의 지지면 중심을 이루는 각도(θ)가 105도 내지 120도가 되도록 위치설정 되어 있다.
제13도는 θ = 98도인 경우 100%로 하여 최대 전단응력을 비율로 나타내는 그래프인데, 이 그래프에서도 알 수 있듯이, θ = 105 내지 120도의 범위가 80%로 되고, θ = 114.5도 부근이 최소로 되고 있다.
또, 웨이퍼(1)에 가하여지는 응력은, 가급적 웨이퍼(1)의 내측을 지지하는 쪽이 작아지므로, 웨이퍼(1)의 바깥둘레를 뜨도록 하기 위해서, 홈부(42,44)의 기초부측의 지지면은 개구측 지지면보다도 높이가 낮아지도록 가공되고 있다.
제12도는 웨이퍼 둘레 가장자리로부터의 지지점 위치에 의한 최대전단응력의 변화를 나타내는 그래프이며, 지지점위치가 5㎜인 점을 100%로 하면, 15㎜의 점에서는 약 53%까지 저하하고 있는 것을 알 수 있다.
제5도 내지 제7도는 상기 홈부와 형상 및 가공방법을 나타내고 있다.
제5도 및 제6도의 예에서는, 지주(38,40A,40B)의 두께 방향과 교차하는 방향에, 세로 단면형상이 원형 혹은 타원형인 구멍(50,52)을 뚫고, 지주(38,40A,40B)의 내측으로부터 이들 구멍(50,52)을 향해서 절삭하여 슬리트를 형성하여, 웨이퍼(1)의 바깥둘레가 접촉하지 않는 절결홈(42,44)을 형성하고 있다.
또, 제7도의 예에서는, 지주(38,40A,40B)에 우선 수평인 홈부를 형성하고, 이어서 비스듬이 위로부터 커터(55)로 홈부(42,44)를 절삭해서 같은 구조의 홈부(42,44)를 형성하고 있다.
이와 같은 홈부의 가공은 예를 들면 석영보오트의 본격 소성전의 가소성 단계에서 행하여진다. 또, 제5도 및 제6도와 같이, 절결홈(42,44)의 지지면 둘레 가장자리부는 단면 원호형상으로 모떼어냄 되고 있다.
이상과 같이 구성된 웨이퍼보오트(28)는, 제2도와 같이 하부에 플랜지(31)를 갖춘 보온통(33)위에 부착 및 이탈이 자유롭게 장착되어 있으며, 이 보온통(33)은 보오트 엘리베이터(30)위에 얹어 놓여 있다. 이 웨이퍼보오트(28)의 상방에는 종형로(24)가 배치되어 있다. 또, 종형로(24)내의 처리용기 또는 반응관(22′)에는, 소정의 가스를 공급하는 가스공급관(23)과, 반응관(22)내를 배기하는 배기관(25)이 접속되어 있다.
다음에 상기 실시예의 작용에 관해서 설명한다. 우선, 옮겨싣는 장치 또는 반송아암(32)에 의해서 처리전의 웨이퍼(1)를 웨이퍼 보오트(28)의 제2 및 제3의 지주(40A,40B) 사이 (진입공간(37))으로부터 보오트(28)내로 삽입하고, 지주(38,40A,40B)의 각 홈부(42,44)에 삽입하여, 반송아암(32)을 웨이퍼보오트(28)에 대해서 상대적으로 약간 하강시키므로서 웨이퍼(1)는 웨이퍼 보오트(28)에 인도된다. 이에 의하여 예를 들면 웨이퍼(1)의 오리엔테이션 플래트의 중앙이 제1의 지주(38)의 홈부(42)의 지지면에 의해서 지지되고, 웨이퍼(1)의 진입방향 좌우 양측면부가 각각 제2 및 제3의 지주(40A,40B) 홈부(44)의 지지면에 의해서 지지된다. 단, 본예에서는 이미 설명한 바와 같이 웨이퍼(1)의 지지위치는 웨이퍼(1)의 바깥 둘레면보다 약간 내측에 위치하고 있다.
이와 같은 웨이퍼(1)의 인도를 웨이퍼 보오트(28)의 상단으로부터 차례로 행하고, 웨이퍼보오트(28)에 소정 매수 즉, 150매를 탑재한 후, 보오트 엘리베이터(30)를 상승시켜서 웨이퍼(1)를 종형로(24)내에 로우드한다. 예를 들면 약 1200℃의 온도에서 열처리를 하는 경우, 종형로(24)내는, 가령 약 800℃로 가열되고 있으며, 웨이퍼(1)가 로우드된 후, 약 1200℃까지 승온되어, 소정의 열처리가 행하여진다. 그후, 보오트 엘리베이터(30)가 강하되고 웨이퍼(1)가 언로우드되고, 상술한 것과 반대의 조작으로 웨이퍼(1)가 웨이퍼보오트(28)로부터 꺼내어 진다.
본 실시예에 의하면, 웨이퍼보오트(28)의 웨이퍼(1)의 지지면이 웨이퍼(1)를 둘레방향으로 3등분한 위치로부터 가까운 위치에 있으므로, 웨이퍼(1)가 휘거나, 홈부(42,44)의 가공 정밀도에 의거하는 지지면 높이 위치에 불균형이 있어서도, 웨이퍼(1)는 균형이 잡혀 3개의 지지면에서, 말하자면 3점에서 지지된다.
따라서, 제10도 및 제11도와 같이 종래의 종형로의 경우부터 사용되어온 4점지지의 웨이퍼보오트와 비교하면, 완전한 4점 지지의 경우에 비하여, 각 지지점에서의 하중은 커지나, 4점 지지점이 무너진 경우와 같이 1점에 과대한 하중이 가하여져서 큰 응력이 발생하는 일이 없으므로, 웨이퍼(1)상의 슬립의 발생을 경감시킬 수가 있다. 그리고, 실리콘 웨이퍼의 경우, 실리콘의 융점이 1410℃이므로 약 1000℃의 온도에서 열처리하는 경우에, 상술한 구성은 대단히 유효하다.
또, 제2 및 제3의 지주(40A,40B)로서 통형상부재를 반으로 쪼갠 것을 사용하고 있으므로, 제1의 지주(38)에 대한 열림 각도(θ)를 크게 잡으면서 지지면을 웨이퍼(1)의 내측으로 모을 수가 있으므로, 따라서 웨이퍼의 지지면에서의 응력을 작게 할 수가 있다. 이에 대하여 각주 형상 혹은 원주형상의 지주를 사용한 경우에는, 웨이퍼(1)의 진입 궤적을 따라서 지주에 홈부를 형성하였을 때에 지주의 두께가 없어져 버린다. 그리고, 보오트의 지주를 보다 더 바깥쪽에 설치하여 지주의 두께를 확보하는 방법을 생각할 수가 있으나, 이것은 열처리용 보오트의 바깥지름이 커지므로 바람직하지 못하다.
여기에서 제1의 지주(38)와 제2 및 제3의 지주(40A,40B)와의 열림각도와 슬림발생과의 관계를 조사하기 위하여, 제8도와 같이 제1지주에 대응하는 모서리형 팁(60)과 제2 및 제3의 지주에 대응하는 모서리형 팁(62,64)을 소정의 열림각도(α)(웨이퍼(1)의 중심에 대한 팁이 서로 이루는 각도)로 배치하고, 이 위에 웨이퍼(1)를 얹어서 상기 실시예와 같은 열처리를 하여, 웨이퍼(1)의 표면을 관찰하였다.
각도(α)를 95도, 100도, 105도, 110도로 각각 설정한바, 105도 부터는 다소 슬립이 줄고, 110도에서는 슬립의 발생이 상당히 경감되어, 슬립의 발생을 볼 수 없는 샘플도 있었다.
따라서, 제2의 지주의 지지면 일부는, 웨이퍼(1)의 둘레방향을 따라서 대략 3등분한 위치에 있어야 하며, 구체적으로는 제2지주의 지지면 일부는, 제1지주의 지지면 중심과 이루는 각도가 105도 내지 120도가 되는 것이 바람직하다.
이상에 있어서, 각 지주의 구조는, 상기 실시예에 한정되는 것은 아니며, 예를 들면 제9도에 도시하는 바와 같이 원을 평평하게 한, 단면이 타원형상인 통형상부재(68)를 4개로 나누어, 각 부분(72,74,76,78)을 제2의 지주로서 사용하면, 제9도에 부재(72)를 대표해서 웨이퍼(1)의 홈부(70)를 나타내는데, 지주의 두께를 크게 남기면서 지지면에 의해서 웨이퍼(1)의 둘레 가장자리로부터 내측부분을 지지할 수가 있다.
본 발명은 상기 실시예 및 변형예에 의해서 한정되는 것은 아니며, 본 발명의 요지를 이탈함이 없이, 여러가지로 변형하여 실시할 수 있음은 당연한 일이다.

Claims (4)

  1. 복수의 판형상의 피처리체를 서로 간격을 두고 수납하여, 열처리로 내에서 피처리체를 열처리하기 위한 열처리용 보오트로서, 바닥판과, 상기 바닥판의 바깥면부에 세워서 설치된 제1지주와, 상기 제1지주에 대해서 중심각이 105도 내지 120도가 되도록 양측에 각각 상기 바닥판 상에 세워서 설치된 제2 및 제3지주와, 상기 바닥판과 대향하며, 바닥판과 함께 상기 제1, 제2 및 제3지주를 유지하는 천정판으로 구성되는 열처리용 보오트.
  2. 제1항에 있어서, 상기 제1의 지주는, 상기 피처리체를 상기 보오트에 수납할 때의 삽입방향 정면에 위치하고, 상기 제2 및 제3지주는, 상기 제1지주에 대해서 상기 삽입방향 앞쪽의 양측에 위치하며, 상기 제2 및 제3지주사이의 공간을 통해서 상기 피처리체가 삽입되는 열처리용 보오트.
  3. 피처리기판을 수용하여 열처리하기 위한 열처리로와, 피처리 기판을 지지하여 상기 열처리로 내로 반입하기 위한 보오트 수단을 구비하고, 상기 보오트수단은, 바닥판과 천정판 및 3개의 지주를 구비하며, 상기 3개의 지주는, 상기 피처리 기판을 상기 보오트수단에 수납하였을 때에, 피처리기판의 둘레를 대략 3등분하는 위치에 배열 설치되어 있는 피처리기판을 열처리하기 위한 열처리장치.
  4. 피처리기판을 수용하여 열처리하기 위한 수단과, 피처리기판을 지지하여 상기 열처리 수단 내에 반입하기 위한 수단을 구비하고, 상기 반입수단은, 상기 피처리기판을 반입수단에 수납하여 피처리기판을 지지한 상태에서 피처리기판에 가하여지는 응력이 최소가 되도록 3점 지지하는 수단을 구비하는 피처리기판을 열처리하기 위한 열처리장치.
KR1019940001400A 1993-01-27 1994-01-26 열처리장치 및 열처리용 보오트 KR100282463B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP03131593A JP3245246B2 (ja) 1993-01-27 1993-01-27 熱処理装置
JP93-31315 1993-01-27

Publications (2)

Publication Number Publication Date
KR940018937A KR940018937A (ko) 1994-08-19
KR100282463B1 true KR100282463B1 (ko) 2001-04-02

Family

ID=12327853

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940001400A KR100282463B1 (ko) 1993-01-27 1994-01-26 열처리장치 및 열처리용 보오트

Country Status (3)

Country Link
US (2) US5586880A (ko)
JP (1) JP3245246B2 (ko)
KR (1) KR100282463B1 (ko)

Families Citing this family (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3245246B2 (ja) * 1993-01-27 2002-01-07 東京エレクトロン株式会社 熱処理装置
JP3151118B2 (ja) * 1995-03-01 2001-04-03 東京エレクトロン株式会社 熱処理装置
CA2218518C (en) * 1995-05-05 2002-10-01 Saint-Gobain Industrial Ceramics, Inc. Slip free vertical rack design
JP3318186B2 (ja) 1995-05-19 2002-08-26 科学技術振興事業団 ガスクラスターの形成方法と薄膜形成方法
KR100296365B1 (ko) * 1996-06-28 2001-11-30 고지마 마타오 실리콘단결정웨이퍼의열처리방법과그열처리장치및실리콘단결정웨이퍼와그제조방법
KR100284567B1 (ko) * 1997-04-15 2001-04-02 후지이 아키히로 수직 웨이퍼 보트
US5948300A (en) * 1997-09-12 1999-09-07 Kokusai Bti Corporation Process tube with in-situ gas preheating
US5931666A (en) * 1998-02-27 1999-08-03 Saint-Gobain Industrial Ceramics, Inc. Slip free vertical rack design having rounded horizontal arms
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
JP3487497B2 (ja) * 1998-06-24 2004-01-19 岩手東芝エレクトロニクス株式会社 被処理体収容治具及びこれを用いた熱処理装置
US6608689B1 (en) * 1998-08-31 2003-08-19 Therma-Wave, Inc. Combination thin-film stress and thickness measurement device
US6171400B1 (en) 1998-10-02 2001-01-09 Union Oil Company Of California Vertical semiconductor wafer carrier
JP2000232151A (ja) * 1999-02-10 2000-08-22 Hitachi Ltd 縦型炉用ウェハボート
US6196211B1 (en) 1999-04-15 2001-03-06 Integrated Materials, Inc. Support members for wafer processing fixtures
JP4328468B2 (ja) * 1999-04-15 2009-09-09 インテグレイティッド マテリアルズ インク ウェーハ処理用シリコン固定具の製造方法
US6225594B1 (en) 1999-04-15 2001-05-01 Integrated Materials, Inc. Method and apparatus for securing components of wafer processing fixtures
US6205993B1 (en) 1999-04-15 2001-03-27 Integrated Materials, Inc. Method and apparatus for fabricating elongate crystalline members
US6099645A (en) * 1999-07-09 2000-08-08 Union Oil Company Of California Vertical semiconductor wafer carrier with slats
TWI250604B (en) * 1999-07-29 2006-03-01 Ibm Improved ladder boat for supporting wafers
US6455395B1 (en) 2000-06-30 2002-09-24 Integrated Materials, Inc. Method of fabricating silicon structures including fixtures for supporting wafers
US6450346B1 (en) 2000-06-30 2002-09-17 Integrated Materials, Inc. Silicon fixtures for supporting wafers during thermal processing
ATE506693T1 (de) * 2000-06-30 2011-05-15 Integrated Materials Inc Silizium-befestigungen für scheibenhaltervorrichtung zur wärmebehandlung und herstellungsverfahren
US6727191B2 (en) * 2001-02-26 2004-04-27 Integrated Materials, Inc. High temperature hydrogen anneal of silicon wafers supported on a silicon fixture
US6571964B2 (en) * 2001-03-28 2003-06-03 International Business Machines Corporation Tray for retaining disks
US6871657B2 (en) * 2001-04-06 2005-03-29 Akrion, Llc Low profile wafer carrier
US6488497B1 (en) * 2001-07-12 2002-12-03 Saint-Gobain Ceramics & Plastics, Inc. Wafer boat with arcuate wafer support arms
KR100480821B1 (ko) * 2002-05-17 2005-04-07 엘지.필립스 엘시디 주식회사 정전기 방지용 패널 수납장치
US7022192B2 (en) * 2002-09-04 2006-04-04 Tokyo Electron Limited Semiconductor wafer susceptor
US6799940B2 (en) 2002-12-05 2004-10-05 Tokyo Electron Limited Removable semiconductor wafer susceptor
TWI310850B (en) * 2003-08-01 2009-06-11 Foxsemicon Integrated Tech Inc Substrate supporting rod and substrate cassette using the same
US20050098514A1 (en) * 2003-11-06 2005-05-12 Hans-Armin Ohlmann Stacking system for injection molded articles
US20050205502A1 (en) * 2004-03-18 2005-09-22 Brown Steven A Rails for semiconductor wafer carriers
US20060027171A1 (en) * 2004-08-06 2006-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer boat for reducing wafer warpage
KR100852975B1 (ko) * 2004-08-06 2008-08-19 가부시키가이샤 히다치 고쿠사이 덴키 열처리 장치 및 기판의 제조 방법
JP4619984B2 (ja) * 2005-04-25 2011-01-26 株式会社テラセミコン 半導体の製造装置及び半導体基板のローディング及び/又はアンローディング方法。
US20070062889A1 (en) * 2005-09-19 2007-03-22 Vishay Thin Film, Inc. Universal cassette
US7241141B2 (en) * 2005-09-19 2007-07-10 Texas Instruments Incorporated Low contact SiC boat for silicon nitride stress reduction
US7661544B2 (en) * 2007-02-01 2010-02-16 Tokyo Electron Limited Semiconductor wafer boat for batch processing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI541928B (zh) * 2011-10-14 2016-07-11 晶元光電股份有限公司 晶圓載具
US9153466B2 (en) * 2012-04-26 2015-10-06 Asm Ip Holding B.V. Wafer boat
FR2995394B1 (fr) * 2012-09-10 2021-03-12 Soitec Silicon On Insulator Dispositif de support d'une pluralite de substrats pour un four vertical
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
EP3084816A1 (de) * 2013-12-20 2016-10-26 Centrotherm Photovoltaics AG Waferboot
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP6469046B2 (ja) * 2016-07-15 2019-02-13 クアーズテック株式会社 縦型ウエハボート
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6770461B2 (ja) * 2017-02-21 2020-10-14 クアーズテック株式会社 縦型ウエハボート
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
JP7030604B2 (ja) * 2018-04-19 2022-03-07 三菱電機株式会社 ウエハボートおよびその製造方法
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6216516A (ja) * 1985-07-15 1987-01-24 Mitsubishi Electric Corp 半導体製造装置
US4872554A (en) * 1987-07-02 1989-10-10 Fluoroware, Inc. Reinforced carrier with embedded rigid insert
US5054418A (en) * 1989-05-23 1991-10-08 Union Oil Company Of California Cage boat having removable slats
US5044752A (en) * 1989-06-30 1991-09-03 General Signal Corporation Apparatus and process for positioning wafers in receiving devices
US5310339A (en) * 1990-09-26 1994-05-10 Tokyo Electron Limited Heat treatment apparatus having a wafer boat
JP3204699B2 (ja) * 1990-11-30 2001-09-04 株式会社東芝 熱処理装置
US5055036A (en) * 1991-02-26 1991-10-08 Tokyo Electron Sagami Limited Method of loading and unloading wafer boat
JP3234617B2 (ja) * 1991-12-16 2001-12-04 東京エレクトロン株式会社 熱処理装置用基板支持具
US5445486A (en) * 1992-03-29 1995-08-29 Tokyo Electron Sagami Limited Substrate transferring apparatus
US5540782A (en) * 1992-10-15 1996-07-30 Tokyo Electron Kabushiki Kaisha Heat treating apparatus having heat transmission-preventing plates
JP3245246B2 (ja) * 1993-01-27 2002-01-07 東京エレクトロン株式会社 熱処理装置

Also Published As

Publication number Publication date
JP3245246B2 (ja) 2002-01-07
KR940018937A (ko) 1994-08-19
JPH06224146A (ja) 1994-08-12
US6033215A (en) 2000-03-07
US5586880A (en) 1996-12-24

Similar Documents

Publication Publication Date Title
KR100282463B1 (ko) 열처리장치 및 열처리용 보오트
KR100290047B1 (ko) 열처리용보트
US5820367A (en) Boat for heat treatment
JP3348936B2 (ja) 縦型熱処理装置
KR100285408B1 (ko) 기판처리장치,기판반송기 및 기판반송장치
US20190013216A1 (en) Semiconductor device manufacturing platform with single and twinned processing chambers
JP5043826B2 (ja) 基板処理装置及び半導体装置の製造方法
JP2012530381A (ja) ワークピース処理システム
US6607381B2 (en) Auxiliary heat-insulating jig
KR100481609B1 (ko) 반도체 제조 장치 및 반도체 장치의 제조 방법
KR19980703007A (ko) 열처리장치
US5356261A (en) Wafer boat rotating apparatus
KR100364101B1 (ko) 열처리 방법
JP3215599B2 (ja) 熱処理用基板保持具、熱処理方法および熱処理装置
JP2007073865A (ja) 熱処理装置
JP3333577B2 (ja) 熱処理用ボート及び縦型熱処理装置
JP2003324106A (ja) 熱処理装置、半導体デバイスの製造方法及び基板の製造方法
JPH05291166A (ja) 異径被処理体用ボート及びそれを用いた被処理体の移し換え方法
JP3190079B2 (ja) 半導体集積回路装置の製造方法
JP3503710B2 (ja) 半導体ウエハの熱処理用搭載治具及び熱処理装置
JP2000150403A (ja) 保温筒および縦型熱処理装置
JP2005101161A (ja) 熱処理用支持具、熱処理装置、熱処理方法、基板の製造方法及び半導体装置の製造方法
JP2006080294A (ja) 基板の製造方法
JP2004356355A (ja) 熱処理方法、基板の製造方法、半導体装置の製造方法及び熱処理装置
KR101082604B1 (ko) 웨이퍼 이송용 로봇암

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20111028

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20121114

Year of fee payment: 13

EXPY Expiration of term