JPWO2011093258A1 - ドライエッチング方法 - Google Patents

ドライエッチング方法 Download PDF

Info

Publication number
JPWO2011093258A1
JPWO2011093258A1 JP2011551844A JP2011551844A JPWO2011093258A1 JP WO2011093258 A1 JPWO2011093258 A1 JP WO2011093258A1 JP 2011551844 A JP2011551844 A JP 2011551844A JP 2011551844 A JP2011551844 A JP 2011551844A JP WO2011093258 A1 JPWO2011093258 A1 JP WO2011093258A1
Authority
JP
Japan
Prior art keywords
etching
plasma
gas
recess
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011551844A
Other languages
English (en)
Other versions
JP5686747B2 (ja
Inventor
学 吉居
学 吉居
一弘 渡邉
一弘 渡邉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ulvac Inc
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Priority to JP2011551844A priority Critical patent/JP5686747B2/ja
Publication of JPWO2011093258A1 publication Critical patent/JPWO2011093258A1/ja
Application granted granted Critical
Publication of JP5686747B2 publication Critical patent/JP5686747B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00087Holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0111Bulk micromachining
    • B81C2201/0112Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Micromachines (AREA)

Abstract

ドライエッチング方法は、第1の工程と第2の工程とを含む。第1の工程は、酸化ガスとフッ素含有ガスとを含む混合ガスから第1のプラズマを生成し、シリコン層(Ls)に第1のプラズマによる異方性エッチングを施してシリコン層(Ls)に凹部を形成することを含む。第2の工程は、第2のプラズマにより凹部の内表面に有機膜を堆積する有機膜形成処理と、有機膜に覆われた凹部に第1のプラズマによる異方性エッチングを施すエッチング処理とを交互に繰り返すことを含む。第1の工程で形成される凹部の底面の一部にエッチングストッパ層(Lo)が露出されたとき、第1の工程から第2の工程への切り替えが行われる。

Description

この発明は、ドライエッチング方法、特にシリコン層をエッチングする異方性エッチングの方法に関する。
従来、例えば微小な機械部品と電子部品とを有するいわゆるMEMSデバイスを製造する際、MEMSデバイスに用いるシリコン層をエッチングするためにドライエッチングが利用されている。特許文献1は、MEMSデバイスのシリコン層に凹部や貫通孔等のパターンを形成するために、反応性ドライエッチングを用いることを記載している。この特許文献1に記載された方法を例に、従来のドライエッチング方法を、図4を参照して以下に説明する。
図4(a)に示されるように、ドライエッチングでは、処理対象の基板Sが収容された真空容器内に、六フッ化硫黄(SF)ガスを用いたプラズマ、すなわち、フッ素ラジカル(F)や各種正イオン等を含むエッチャント54が生成される。基板Sは、MEMSデバイスを構成するシリコン層52を含み、このシリコン層52は、例えばエッチングストッパ層であるシリコン酸化物層51上に積層されている。シリコン層52の表面52sには、エッチングマスク53が形成されている。このエッチングマスク53は、シリコン層52の被エッチング領域52aを露出させるようにパターニングされている。そして、図4(b)に示されるように、基板Sに印加されたバイアス電圧によって基板Sに引き込まれた正イオンと、基板Sの表面に接触するフッ素ラジカルとにより、被エッチング領域52aでエッチング反応が進行して、被エッチング領域52aに凹部55が形成される。
ここで、基板Sに引き込まれた正イオンは、シリコン層52の厚さ方向へエッチングを進行させるが、指向性を有しないラジカルは、シリコン層52の厚さ方向のみならず、該厚さ方向と交差する方向へもエッチングを進行させる。MEMSデバイスでは、シリコン層52の厚さは数十μmから数百μmにも達する。このため、このようなラジカルによる等方的なエッチングがシリコン層52の厚さの全体で続くことになると、シリコン層52に形成される凹部55が、シリコン層52の厚さ方向のみならずその厚さ方向と交差する方向にも大きく広がってしまう。この問題に対し、特許文献1に記載のドライエッチング方法では、以下のような方法でエッチングが行われている。
つまり、その方法では、図4(b)に示すように、シリコン層52の厚さ方向の一部にのみ凹部55が形成された後、一旦エッチング反応が停止される。次いで、図4(c)に示されるように、真空容器内に三フッ化炭化水素(CHF)ガス56が導入されることで、上記凹部55の内面を含む基板Sの表面全体にポリテトラフルオロエチレン((C)からなる保護膜57が形成される。その後、図4(d)に示されるように、再び真空容器内に導入された六フッ化硫黄ガスがプラズマ化されることにより、シリコン層52の厚さ方向へのエッチングが再開される。
このとき、凹部55の側面に形成された保護膜57には主にラジカルのみが接触する一方、凹部55の底面に形成された保護膜57にはラジカルのみならず正イオンも接触することとなる。その結果、エッチャント54による保護膜57の除去が、凹部55の側面よりも該凹部55の底面で速くなる。よって、凹部55の側面は保護膜57によって保護される一方、凹部55の底面はシリコン層52の厚さ方向に更にエッチングされることになる。その後、凹部55の底面がシリコン酸化物層51の表面に達するまで、六フッ化硫黄ガスを用いたエッチング工程(図4(d))と、三フッ化炭化水素ガス56を用いた保護膜形成工程(図4(c))とが交互に繰り返される。これにより、厚さが数十μmから数百μmのシリコン層52をその厚さ方向に貫通するように貫通孔Hが形成される。
特許第4090492号
上記のようにエッチング工程と保護膜形成工程とが繰り返されることにより上記貫通孔Hが形成される際、最終のエッチング工程時には、シリコン層52の下層にあるシリコン酸化物層51の表面が露出されるようになる。このとき、シリコン酸化物層51にも上記バイアス電圧が印加されていることから、上記エッチャント54に含まれる正イオンが、シリコン層52だけでなく、露出したシリコン酸化物層51の表面にも引き込まれるようになる。
この際、上記六フッ化硫黄ガスに由来するエッチャント54によってはシリコン酸化物層51がエッチングされないため、正イオンの衝突を受けたシリコン酸化物層51の表面が正に帯電するようになる。このように、シリコン酸化物層51の表面が正に帯電すると、図4(e)に示されるように、シリコン酸化物層51に向かう正イオンの進行方向が、シリコン酸化物層51の表面の近傍において凹部55の側面、つまり、シリコン層52へと逸れてしまう。よって、シリコン酸化物層51が露出し続ける最終のエッチング工程時には、凹部55の底面に向けて進行した正イオンの殆どが、凹部55の側面に向けて移動することとなる。そのために、正イオンによる凹部55の側面の浸食が大きくなり、ノッチ60が貫通孔Hの底部(側面下部)に形成されてしまう。つまり、シリコン酸化物層51の表面における貫通孔Hの開口Haが、所望とするサイズよりも拡大してしまう。例えばこの貫通孔Hが、完成したMEMSデバイスにおいて光を導く光路として用いられる場合には、この開口Haでの光の反射が所望のものとならず、MEMSデバイスとしての機能が損なわれる虞がある。
なお、こうした問題は、MEMSデバイスで用いられるシリコン層のエッチングに限り生じるものではなく、誘電体からなるエッチングストッパ層に積層された数十μmから数百μmの厚さを有したシリコン層を先の図4に示したドライエッチング方法にてエッチングした際には、共通して生じるものである。
この発明は、上記従来の実情に鑑みてなされたものであり、その目的は、シリコン層とエッチングストッパ層との境界におけるシリコン層の不所望の浸食、すなわち、ノッチの発生を抑制しつつ、シリコン層をエッチング可能なドライエッチング方法を提供することにある。
以下、上記課題を解決するための手段及びその作用効果について記載する。
本発明の一態様は、ドライエッチング方法である。誘電体からなるエッチングストッパ層上にシリコン層を有する基板に、開口を有するマスク層を介してドライエッチングを施す方法は、第1の工程と、第2の工程とを備える。第1の工程は、前記シリコン層を酸化する酸化ガスとフッ素含有ガスとを含む混合ガスから第1のプラズマを生成すること、該第1のプラズマ中で前記基板を負のバイアス電位に維持すること、前記マスク層の開口を介して前記シリコン層に前記第1のプラズマによる異方性エッチングを施して前記シリコン層に凹部を形成することを含む。第2の工程は、有機膜形成処理とエッチング処理とを交互に繰り返すことを含む。前記有機膜形成処理は、前記第1のプラズマで除去可能な有機膜の形成に用いる第2のプラズマを生成すること、該第2のプラズマ中で前記基板を負のバイアス電位に維持すること、前記第2のプラズマにより前記凹部の内表面に前記有機膜を堆積することを含む。前記エッチング処理は、前記混合ガスから前記第1のプラズマを生成すること、該第1のプラズマ中で前記基板を負のバイアス電位に維持すること、前記マスク層の開口を介して前記有機膜に覆われた前記凹部に前記第1のプラズマによる異方性エッチングを施すことを含む。前記第1の工程により形成される前記凹部の底面の一部に前記エッチングストッパ層が露出されたとき、前記第1の工程から前記第2の工程への切り替えが行われる。
上記方法では、第1の工程で、第1のプラズマによる異方性エッチングが行われることによりシリコン層に凹部が形成される。第1のプラズマは、酸化ガスとフッ素含有ガスとを含む混合ガスから生成されている。従って、酸化ガスによって凹部の内表面を保護しつつ、フッ素含有ガスによりシリコン層をエッチングすることができる。すなわち、指向性を有しないラジカルによる等方性エッチングを抑制しつつ、異方性エッチングを促進させることができる。第1の工程で、凹部の底面の一部にエッチングストッパ層が露出されると、第1の工程が終了し、第2の工程が開始される。第2の工程では、第2のプラズマによる有機膜形成処理と第1のプラズマによる異方性エッチング処理が交互に繰り返し行われる。従って、凹部の底面の一部にエッチングストッパ層が露出された後は、エッチングストッパ層の露出部分が有機膜によって覆われた状態で、凹部に対し異方性エッチングが実施される。このとき、凹部の底面に向かって飛行した正イオン(第1のプラズマによるエッチャント)は、凹部の底面を覆う有機膜を除去するために利用されることとなる。このため、第2の工程でエッチングストッパ層の表面が正に帯電することが抑制される。従って、シリコン層とエッチングストッパ層との境界におけるシリコン層の不所望の浸食、すなわちノッチの発生を好適に抑制することができる。
上記したドライエッチング方法においては、前記第2の工程で、前記第2のプラズマによる前記有機膜形成処理と前記第1のプラズマによる前記エッチング処理とを交互に複数回繰り返すようにしてもよい。
この方法では、基板のシリコン層の厚さや、凹部の開口の大きさや、エッチング処理の種々の条件等に応じて、ノッチの発生を好適に抑制しながら、シリコン層を貫通する凹部をエッチングによって適切に形成することができる。
上記したドライエッチング方法においては、前記第1の工程で、前記凹部の底面の一部に前記エッチングストッパ層が露出されたことを、前記シリコン層のエッチング時に生成されるエッチング生成物の量の変化に基づいて検出するようにしてもよい。
ドライエッチング処理では通常、エッチングに利用されるエッチャントと被エッチング対象物との反応によりエッチング生成物が生成される。このエッチング生成物の生成量はエッチング条件に応じた固有値を示すものとなる。例えばエッチング条件が単一の条件であれば、エッチング生成物の生成反応が略定常状態で進行するため、エッチング生成物の生成量は処理の開始時から一定値となる。一方、エッチング条件が変更される場合には、エッチング生成物の生成反応が非定常状態で進行するため、エッチング生成物の生成量がエッチング条件に応じて増大あるいは減少するものとなる。
ここで、凹部の底面の一部にエッチングストッパ層が露出されると、凹部の底面に向かって飛行したエッチャントの一部は、シリコン層ではなくエッチングストッパ層に衝突することになる。そのため、凹部の底面に向かって飛行したエッチャントの全てがシリコン層に衝突する場合と比較して、エッチング反応に利用されるエッチャントの量とシリコン層の量とが減少する。結果、単位時間あたりに処理容器内に存在するエッチャントの量が増大する一方、単位時間あたりに処理容器内に存在するエッチング生成物の量が減少することになる。つまり、エッチャントと接触するシリコン層が減少するときには、それに応じて上記エッチング生成物の生成量も変化することとなる。
上記方法によれば、凹部の底面の一部におけるエッチングストッパ層の露出が、第1の工程におけるエッチング生成物の生成量の変化に基づいて検出される。従って、エッチングストッパ層の表面が、正イオンに長期にわたり曝露されることが抑制され、ひいては、ノッチの発生を好適に抑制することができる。
上記したドライエッチング方法においては、前記第1の工程で、前記エッチング生成物の量を監視し、前記エッチング生成物の量が減少したとき、前記凹部の底面の一部に前記エッチングストッパ層が露出されたと検出するようにしてもよい。
上記のように、凹部の底面の一部にエッチングストッパ層が露出されると、凹部の底面に向かって飛行したエッチャントの一部は、シリコン層ではなくエッチングストッパ層に衝突することになる。そのため、シリコン層とエッチャントとの反応により生成されるエッチング生成物の量が減少することになる。
上記方法によれば、凹部の底面の一部におけるエッチングストッパ層の露出が、第1の工程におけるエッチング生成物の生成量の減少によって検出されることから、エッチングストッパ層の露出を好適に検出することができる。それゆえ、第1の工程から第2の工程への切り替えが遅れることによってエッチングストッパ層の露出部分が正に帯電することを抑制し、ひいては、上記ノッチの生成を好適に抑制することができる。
上記したドライエッチング方法においては、前記第1の工程で、前記シリコン層をエッチングするエッチャントの量を監視し、前記エッチャントの量が増加したとき、前記凹部の底面の一部に前記エッチングストッパ層が露出されたと検出するようにしてもよい。
上記のように、凹部の底面の一部にエッチングストッパ層が露出されると、凹部の底面に向かって飛行したエッチャントの一部は、シリコン層ではなくエッチングストッパ層に衝突することになる。このエッチングストッパ層に衝突したエッチャントは、エッチング反応に利用されないため処理容器内に残存することになる。あるいは、このエッチャントは他の粒子と衝突した後にシリコン層と反応することも考えられるが、最初にエッチング反応に利用される場合と比較すると、処理容器内に滞在する時間が長くなる。
上記方法によれば、凹部の底面の一部におけるエッチングストッパ層の露出が、第1の工程におけるエッチャントの量の増大によって検出されることから、エッチングストッパ層の露出を好適に検出することができる。それゆえ、第1の工程から第2の工程への切り替えが遅れることによってエッチングストッパ層の露出部分が正に帯電することを抑制し、ひいては、上記ノッチの生成を好適に抑制することができる。
上記したドライエッチング方法において、前記混合ガスが、前記フッ素含有ガスとしての六フッ化硫黄ガスと、前記酸化ガスとしての酸素ガスと、臭化水素ガスとを含むものとしてもよい。また、前記第2のプラズマが、フッ化炭素化合物から生成されてもよい。また、前記有機膜が、前記フッ化炭素化合物の重合体として形成されてもよい。
上記方法によれば、六フッ化硫黄ガスは、シリコン層をエッチングするフッ素ラジカルの原料として使用される。酸素ガスは、六フッ化硫黄ガスと協同して不揮発性のフッ化酸化シリコンを生成する。臭化水素ガスは、シリコン層をエッチングする臭素イオンの原料として使用されるとともに、酸素ガスと協同して臭化酸化シリコンを生成する。そのため、フッ化酸化シリコン及び臭化酸化シリコンといったハロゲン化酸化シリコンにより、凹部の側面(シリコン層)が保護される。更には、フッ素ラジカルと臭素イオンとによって凹部の底面のエッチングが促進されるため、異方性エッチングを好適に実現することができる。
加えて上記方法では、フッ化炭素化合物の重合体が上記有機膜として形成されるため、第1のプラズマに含有されるエッチャントによる化学的エッチングや物理的エッチングによって有機膜が好適に除去される。従って、有機膜は、凹部の浸食を好適に保護しつつもエッチングの進行を阻害することがない。
本発明に係るドライエッチング方法の一実施の形態であるプラズマエッチング方法を実施するプラズマエッチング装置を示す概略構成図。 (a)〜(h)は、プラズマエッチング方法を用いて処理対象基板に貫通孔を形成する工程を示す工程図。 (a)(b)(c)は、エッチングガス、八フッ化シクロブタンガスの供給処理、及び、エッチング生成物量の推移を示すタイミングチャート。 (a)〜(e)は、従来のプラズマエッチング方法を用いて基板に貫通孔を形成する工程を示す工程図。
以下、本発明に係るドライエッチング方法の一実施の形態を図1〜図3を参照して説明する。なお、一実施の形態では、シリコン層をプラズマエッチングする方法について説明する。
図1は、本実施の形態のプラズマエッチング方法を用いたエッチングを実施するプラズマエッチング装置の概略構成を示している。同図1に示されるように、プラズマエッチング装置10の真空容器11によって区画されるプラズマ生成領域11a内には、処理対象である基板Sを載置する基板ステージ12が設けられている。なお、基板Sは、例えばMEMSデバイス用の基板であって、エッチングストッパ層としてのシリコン酸化物層Loと、その上に積層されたシリコン層Lsとを含む。基板Sは、シリコン酸化物層Loを下側にして基板ステージ12上に配置される。
基板ステージ12には、これに載置された基板Sにバイアス電圧を印加する高周波電源13が接続されている。これら基板ステージ12と高周波電源13との間には、マッチングボックス14が接続されている。このマッチングボックス14は、負荷となるプラズマ生成領域11aと、高周波電源13から基板Sまでの伝送路とのインピーダンスの整合を図る整合回路と、ブロッキングコンデンサとを含む。また、プラズマ生成領域11a内において、上記基板ステージ12の上方にはシャワープレート15が配設されている。このシャワープレート15は、エッチング処理に用いるプラズマの原料となるガスをプラズマ生成領域11a内に均一に拡散する。
真空容器11の天部11bに形成されたガス導入口11eには、プラズマの原料となるガス、例えば、フッ素含有ガスである六フッ化硫黄(SF)ガス、酸化ガス(O)、及び臭化水素(HBr)ガスからなる混合ガスをプラズマ生成領域内11aに供給するエッチングガス供給部21が接続されている。これに加え、同ガス導入口11eには、同じくプラズマ生成領域11a内にフッ化炭素化合物のガス、例えば八フッ化シクロブタン(C)ガスを供給するフッ化炭素化合物ガス供給部22が接続されている。他方、真空容器11の底部11cに形成された排気口11fには、ターボ分子ポンプ等から構成されて、プラズマ生成領域11a内の気体を排気する排気部23が接続されている。
また真空容器11の側部11dに形成された検出口11gには、プラズマ生成領域11a内に存在する物質の量を単位時間あたりに検出する検出部30が接続されている。なお、プラズマエッチング装置10にてエッチング処理が実施されるときには、プラズマ生成領域11a内に生成された生成物、例えばエッチング反応により生成された生成物や、エッチング反応に利用されるエッチャントの生成量が検出部30によって例えば1秒ごとに検出される。
こうしたプラズマエッチング装置10は、上記基板Sにエッチング処理を施すことで、基板Sの特にシリコン層Lsにその厚さ方向に貫通してシリコン酸化物層Loにまで至る貫通孔を形成する。このエッチング処理では、まず基板Sが、プラズマエッチング装置10の搬入口から真空容器11内に搬入され、基板ステージ12上に載置される。次いで、エッチングガス供給部21にて調量されたエッチングガスがガス導入口11eから導入され、シャワープレート15を介してプラズマ生成領域11a内に均一に拡散される。こうしてエッチングガスが導入されると、排気部23によってプラズマ生成領域11aが所定の圧力に調圧される。なお、プラズマ生成領域11a内の圧力は、これに導入されるガスの流量と排気部23の排気量とによって、エッチング処理の実施中に渡り所定の圧力に維持される。
エッチングガスの雰囲気が所定の圧力でプラズマ生成領域11aに形成されると、高周波電源13から例えば60MHzの高周波電力がマッチングボックス14を介して基板ステージ12に供給される。これにより、基板ステージ12の周囲に存在するエッチングガスが電離あるいは乖離して、基板Sの周囲に例えば、フッ化硫黄系の各種正イオン(SF )や臭素の正イオン(Br)や、さらには、フッ素ラジカル(F)等のラジカルから構成されるプラズマが生成される。また、高周波電力の周波数に追従するプラズマ中の電子が基板Sの表面全体に衝突して、マッチングボックス14に含まれるブロッキングコンデンサの作用により該基板Sの表面全体が負のバイアス電位となる。そして、これら正イオンやラジカルが基板Sのシリコン層Lsと反応することにより、該シリコン層Lsがエッチングされる。
また、当該プラズマエッチング装置10は、こうしたエッチング処理に加えて、上記フッ化炭素化合物ガス供給部22から供給されたガスを用いて、エッチング処理によって上記シリコン層Lsに形成された凹部に保護膜を形成する処理も実施する。この保護膜は、プラズマで除去可能な有機膜である。そして、これらエッチング処理と保護膜形成処理(有機膜形成処理)とが交互に繰り返されることによって、基板Sのシリコン層Lsをその厚さ方向に貫通してシリコン酸化物層Loにまで達する貫通孔が形成される。
次に、上記基板Sに貫通孔を形成する際に実施されるドライエッチング処理の処理工程について、図2、図3を参照して詳述する。図2は、基板Sをシリコン層42の厚さ方向に切断したときの断面形状を、該貫通孔の形成工程の順に示している。
図2(a)に示されるように、基板Sは、例えば二酸化シリコン等のシリコン酸化物からなるシリコン酸化物層41と、その上に積層された、シリコンからなるシリコン層42とを含む。このシリコン層42の表面42sにはマスク層であるエッチングマスク43が形成されている。このエッチングマスク43は、貫通孔の形成領域に対応してシリコン層42の被エッチング領域42aを露出させるようにパターニングされている。なお、以下における混合ガスのガス流量、エッチング処理時の圧力、エッチング処理時の高周波電力の出力値、フッ化炭素化合物ガスのガス流量、保護膜形成処理時の圧力、及び保護膜形成処理時の高周波電力の出力値は、いずれも上記シリコン層42の厚さが100μm、円形孔である被エッチング領域42aの口径が50μmで構成された基板Sについて好適なものを例示する。
上記基板Sにドライエッチング処理が施される際にはまず、基板Sが収容された真空容器11に、エッチングガス供給部21からエッチングガスが供給される。本実施の形態では、このエッチングガスとして、六フッ化硫黄(SF)ガス、酸素ガス(O)、及び臭化水素(HBr)ガスが混合された混合ガスが用いられる。これらガスは六フッ化硫黄ガス、酸素ガス、臭化水素ガスの順にそれぞれ、例えば75sccm、75sccm、15sccmの流量で真空容器11内に導入される。つまり、真空容器11内での六フッ化硫黄ガスの分圧をPSF、酸素ガスの分圧をP、そして臭化水素ガスの分圧をPHBrとするとき、これらの分圧比は、「PSF:P:PHBr=5:5:1」となっている。こうして真空容器11内に混合ガスが導入されると、排気部23が駆動されて、真空容器11内が所定の圧力、例えば20Paとなるように該真空容器11内の気体が排気される。
次いで、高周波電源13から、例えば60MHzの高周波電力がマッチングボックス14を介して1200Wの出力値で基板Sに供給される。これにより、基板Sが載置された基板ステージ12の周囲には、混合ガスを用いたプラズマが生成されて基板Sが負のバイアス電位となる。このプラズマには、上記六フッ化硫黄の励起種であるフッ素ラジカルに加えて、フッ化硫黄系(SF系)の各種正イオンや、臭化水素の励起種である臭素の正イオンが含まれる。これらラジカル及び正イオンは、エッチングマスク43の開口から露出されるシリコン層42の表面42s(被エッチング領域42a)に飛行し、該シリコン層42をその表面42sからエッチングするエッチャント44として機能する。
つまり、基板Sの表面42sに引き込まれた正イオンは物理的及び化学的なエッチングを基板Sの表面42sで進行させる。また、フッ素ラジカルあるいは臭素イオンは、シリコン(Si)との反応により、揮発性の四フッ化ケイ素(SiF)あるいは、揮発性の四臭化ケイ素(SiBr)を生成する化学的なエッチングを、同じく基板Sの表面42sで進行させる。これらのうち、正イオンによるエッチングは、該正イオンが上記バイアス電位によって基板Sに略垂直に引き込まれることで誘起されることから、シリコン層42の表面42sに対して垂直な方向にエッチングを進行させるいわゆる異方性エッチングである。これに対して、フッ素ラジカルや臭素イオンによるエッチングは、指向性を有さない等方性エッチングである。
また、本実施の形態におけるドライエッチング処理に際しては、エッチャント44を生成するために、六フッ化硫黄ガス、臭化水素ガスに加え、酸素ガスもプラズマエッチング装置10内に導入されている。そのため、酸素ガスに由来する酸素ラジカル(O)と、上述のようなケイ素のハロゲン化物とが反応し、フッ化酸化シリコン(SiO)や臭化酸化シリコン(SiOBr)が生成される。ハロゲン化酸化シリコンの1種であるこれらフッ化酸化シリコン及び酸化臭化シリコンの生成反応は、エッチングマスク43の開口部である被エッチング領域42a全体で生じるため、該被エッチング領域42aの全体にこれらハロゲン化酸化シリコンが堆積することになる。そして、シリコン層42の被エッチング領域42aに堆積した上記ハロゲン化酸化シリコンは、シリコン層42と同様、上述のエッチングで除去されるとともに、特に臭化酸化シリコンは、フッ素ラジカルと反応して揮発性のフッ化臭化シリコン(SiBr)となることで、シリコン層42上から除去される。
つまり、本実施の形態では、上記各種励起種によるシリコン層42のエッチングと、該励起種とシリコンと酸素との反応生成物であるハロゲン化酸化シリコンのシリコン層42への堆積とが同時に進行する。このようなドライエッチング処理が進行すると図2(b)に示されるように、シリコン層42の厚さ方向に凹部45が形成される際に、この凹部45の内表面の全体に上記ハロゲン化酸化シリコンが堆積するようになる。
ここで、ハロゲン化酸化シリコンに対する等方的なエッチングの速度と該ハロゲン化酸化シリコンの堆積速度とが凹部45の底面45a及び凹部45の側壁45bに対して等しくなるようにプラズマ生成領域11a内の環境が維持されるものとする。つまり、凹部45の底面45aに堆積するハロゲン化酸化シリコンの量と、凹部45の側壁45bに堆積するハロゲン化酸化シリコンの量とがほぼ同一であるとともに、上記ラジカルやイオン等による等方性エッチングの速度も、凹部45の底面45aと該凹部45の側壁45bとでほぼ同一であると見なせるものとする。この場合、シリコン層42の被エッチング領域42aに形成された凹部45においては、上記正イオンの分だけ、該凹部45の底面45aにおけるエッチング速度が高くなる。特に正イオンの入射確率が高い底面45aの中央では、該底面45aの中央周辺と比べてエッチング速度が高くなる。これに対して、凹部45の側壁45bにおいては、これに堆積したハロゲン化酸化シリコンのエッチングが完了しない限り、シリコン層42のエッチングが進行しなくなる。その結果、被エッチング領域42aに対するエッチング処理は、同図2(b)に示されるように、シリコン層42の厚さ方向に窪んだ凹部45がその底面45aの中央で最も窪むかたちに形成されることとなる。
本実施の形態では、このような異方性のエッチングが進行するように、予め実施された実験等に基づき、プラズマ生成領域11aに形成するプラズマ状態や基板Sのバイアス電位、すなわち、混合ガスを構成する各種ガスの流量、プラズマ生成領域11aの圧力、高周波電源13から供給される高周波電力等が設定されている。なお、このようなエッチング条件は、例えば単一の条件で構築されてもよく、あるいは複数の異なる条件が連続的に実施されるかたちに構築されてもよい。
そして、こうした異方性エッチングが進行すると、図2(c)に示されるように、やがてシリコン層42の厚さ方向に垂直に延びる凹部45の底面45aの一部に、シリコン層42の下層であるシリコン酸化物層41が露出される。この際には、底面45aにおいて異方性のエッチングが最も進行しやすい部位、つまり正イオンの入射確率が最も高くなる底面45aの中央で、シリコン酸化物層41が露出されるようになる。そして、シリコン酸化物層41の露出部分は、エッチャント44の1つである正イオンの衝突によって正に帯電することになる。
このように、凹部45の底面45aの一部にシリコン酸化物層41が露出されるまでシリコン層42のエッチングが進行すると、エッチングガス供給部21から基板Sへの混合ガスの供給が停止される。そして、図2(d)に示されるように、フッ化炭素化合物ガス供給部22からフッ化炭素化合物ガス、例えば八フッ化シクロブタンガス46の供給が開始される。この八フッ化シクロブタンガス46は、例えば80sccmの流量で真空容器11内に供給される。こうして真空容器11内に八フッ化シクロブタンガス46が導入されると、真空容器11内が所定の圧力、例えば40Paとなるように該真空容器11内の気体が排気される。次いで、高周波電源13から、例えば60MHzの高周波電力がマッチングボックス14を介して600Wの出力値で基板Sに供給される。これにより、基板Sの周囲に八フッ化シクロブタンガス46からなるプラズマが生成される。
こうして生成されたプラズマ中においては、フッ化炭素系のラジカル(C )やそのクラスターが励起種として生成される。そして、基板Sに設けられた凹部45の内表面全体に該励起種が重合して、フッ化炭素化合物(CF系)の膜である保護膜47が形成される。この際、高周波電力の周波数に追従して生じるプラズマ中の電子が、正に帯電したシリコン酸化物層41の一部(露出部分)を含む基板Sの表面全体に衝突して、シリコン酸化物層41中に残留する正電荷を除電するようになる。また、マッチングボックス14に含まれるブロッキングコンデンサの作用により、基板Sの表面全体が再び負のバイアス電位となる。さらに、このときには、高周波電力の周波数に追従する正イオンが八フッ化シクロブタンガス46のプラズマ中に含まれないため、基板Sが負に帯電し続ける。その結果、静電的に中和若しくは負に帯電されたシリコン酸化物層41上でも上記励起種による保護膜47の生成が進行するようになる。この際、シリコン酸化物層41を含む底面45aの中央は、上記励起種が最も進入しやすい部位、つまり該励起種の入射確率が最も高くなる部位となる。従って、保護膜47は、底面45aの中央で、最も緻密な膜構造、あるいは最も厚い膜厚を有するようになる。なお、炭素骨格を有する有機膜であるCF系の保護膜47は、上記混合ガスのプラズマによって除去可能な組成である。
こうした保護膜47の形成処理が例えば20秒間実施されると、図2(e)に示されるように、八フッ化シクロブタンガス46の供給が停止され、再びエッチングガス供給部21(図1)から混合ガスが供給される。この混合ガスに含まれる六フッ化硫黄ガス、酸素ガス、及び臭化水素ガスの流量はそれぞれ、75sccm、75sccm、及び15sccmとされる。こうして混合ガスが供給されると、真空容器11内が所定の圧力、例えば20Paとなるように該真空容器11内の気体が排気される。次いで、高周波電源13から、例えば60MHzの高周波電力がマッチングボックス14を介して1200Wの出力値で基板Sに供給される。これにより、六フッ化硫黄ガスの励起種であるフッ化硫黄系の正イオンや酸素イオンをエッチャント44として行う異方性エッチングと、同じく六フッ化硫黄ガスの励起種であるフッ素ラジカルや酸素ラジカルをエッチャント44として行う等方性エッチングとにより、CF系の重合膜である保護膜47が凹部45の内表面から除去される。
このとき、凹部45の底面45aを覆う保護膜47は、正イオンによる異方性エッチングとラジカルによる等方性エッチングとにより除去される。特に、正イオンの入射確率が高くなる部位や、正イオンによりエッチングされやすい膜構造の部位では、保護膜47が早く取り除かれることとなる。ここで、底面45aの中央では、保護膜47の膜構造が高いエッチング耐性あるいは厚い膜厚を有する一方、正イオンの入射確率が高くなる。これに対して、底面45aの中央周辺では、保護膜47の膜構造が上記中央に形成された保護膜47よりも低いエッチング耐性あるいは薄い膜厚を有する一方、正イオンの入射確率が上記中央よりも低くなる。それゆえ、底面45aの中央と底面45aの中央周辺とにおいては、保護膜47が取り除かれる速度が略同じとなるか、あるいは底面45aの中央周辺の方が少しだけ遅くなる。すなわち、底面45aの略全体は同じタイミングで露出されるか、あるいは底面45aの中央が少しだけ先行して露出される。なお、等方性エッチングが支配的に進行する凹部45の側壁45bでは、上記底面45aと比較して保護膜47が残存しやすくなる。その結果、底面45aの略全体で保護膜47が側壁45bに先行して取り除かれ、底面45aの中央周辺に残るシリコン層42のエッチングが継続して進行することとなる。
このようにして再度エッチングが進行すると、凹部45の側壁45bが保護されつつ、凹部45の底面45aに露出されるシリコン酸化物層41の面積割合が次第に高くなる。また、底面45aの中央に露出されたシリコン酸化物層41は、底面45aの中央周辺に残存するシリコン層42のエッチングが進行するに連れて再び正に帯電し始める。但し、上記のように保護膜47の形成時にはシリコン酸化物層41中に残留する正電荷が除電され若しくは基板Sが負に帯電されることにより、シリコン酸化物層41に正電荷が蓄積され始めるタイミングは、シリコン層42が再びエッチングされ始めるタイミングと略同じか、あるいはそれよりも遅れる。そのため、保護膜47が形成されることなくエッチング処理が続けられた場合と比較して、シリコン酸化物層41に帯電する正電荷量がエッチングの開始時において大幅に低減されることとなる。それゆえに、シリコン酸化物層41の露出部分に向けて正イオンが進行したとしても、正イオンの殆どはシリコン酸化物層41に衝突してエッチング能を失活させるため凹部45の側壁45bにおいてエッチング反応が進行することはない。
またシリコン酸化物層41に向けて進行した正イオンがシリコン酸化物層41から逸れることがあっても、凹部45がその底面45aの中央で最も窪むかたちを呈するため、こうした正イオンによるエッチングが底面45aのシリコン層42のエッチングとして寄与するようになる。それゆえ、底面45aのシリコン層42が該シリコン層42の厚さ方向に沿ってエッチングされ続けることとなる。つまり、
(A)シリコン酸化物層41に正電荷が蓄積され始めるタイミングが、シリコン層42がエッチングされ始めるタイミングと略同じ、若しくはそれより遅いこと、
(B)凹部45がその底面45aの中央で最も窪むかたちを呈すること、
により、シリコン層42はその厚さ方向に沿ってエッチングされ続けることとなる。なお本実施の形態では、この凹部45の側壁45bに形成された保護膜47が残存して側壁45bのシリコン層42のエッチングが進行しない程度の時間、例えば10秒間にエッチング処理の時間が設定されている。
この10秒間に渡るエッチング処理が完了すると、図2(f)に示されるように、エッチングガス供給部21からのエッチングガスの供給が停止され、先の図2(d)と同様、フッ化炭素化合物ガス供給部22から八フッ化シクロブタンガス46が供給されて、凹部45の内表面に保護膜47が再び形成される。つまり本実施の形態では、先の図2(a)〜図2(c)に示される、混合ガスを用いたシリコン層42のエッチング処理を行う第1の工程の後に、先の図2(d)及び図2(f)に示される、保護膜47によって凹部45の内表面を覆う保護膜形成処理と、先の図2(e)に示される、混合ガスによるエッチング処理とを交互に繰り返す第2の工程を実施するようにしている。
上記第2の工程では保護膜形成処理とエッチング処理とが例えば25回繰り返される。この間、底面45aに露出されるシリコン酸化物層41は、先行するエッチング処理により正に帯電するものの、上記のように、シリコン酸化物層41に帯電した正電荷は、後続する保護膜47の形成処理において除電されることになる。そして凹部45の側壁45bに保護膜47が残存する状態で上記エッチング処理と保護膜形成処理とが繰り返されることにより、図2(g)に示されるように、底面45aのシリコン層42の全てがエッチングされる。こうして、シリコン層42の厚さ方向に貫通する貫通孔Hが形成される。この貫通孔Hは、シリコン酸化物層41の露出面に相当する開口Haを有し、シリコン層42の表面42sの開口と、シリコン酸化物層41を露出させる貫通孔Hの開口Haとはほぼ同一の形状となる。つまり、シリコン層42に形成された貫通孔Hは、シリコン層42の厚さ方向のいずれの位置であっても、シリコン層42の表面42sと平行な断面の形状がほぼ同一となる。
こうしてシリコン層42をその厚さ方向に貫通する貫通孔Hが形成されると、図2(h)に示されるように、シリコン層42に積層されたエッチングマスク43と、貫通孔Hの内表面に残存する保護膜47とが除去される。
本実施の形態においては、上述のような貫通孔Hの形成に際し、シリコン層42のドライエッチング処理を開始したときから、当該ドライエッチング処理の反応生成物、特に真空容器11の気相中に存在する上記四フッ化ケイ素や四臭化ケイ素の量を検出部30によって検出するようにしている。これら揮発性を有するエッチング生成物の生成量の推移と、上記エッチングガス及び保護膜47の原料である八フッ化シクロブタンガスの供給処理について、図3を参照して説明する。
図3は、エッチングガス及び八フッ化シクロブタンガスの真空容器11への供給処理を示すとともに、真空容器11内における揮発性のエッチング生成物の存在量の推移を示している。図3(a)に示されるように、タイミングt1にてシリコン層42のエッチング工程である第1の工程が開始されると、図3(c)に示されるように、シリコン層42とフッ素ラジカルとの反応、あるいはシリコン層42と臭素イオンとの反応により、揮発性のエッチング生成物が真空容器11内に存在するようになる。このとき、図3(b)に示されるように、保護膜47の形成材料である八フッ化シクロブタンガスの供給はなされない。
なお、上述のように、ドライエッチング処理の実施中は、混合ガスが一定の条件で真空容器11内に供給されるとともに、一定の条件にて真空容器11内の気体が排気されることにより、該真空容器11内が所定の圧力に維持されている。そのため、単位時間当たりのエッチング生成物の量が一定であれば、つまり、エッチング速度が一定であれば、ドライエッチング処理の実施中に渡って真空容器11内のエッチング生成物の量は一定に維持される。例えば、先の図2(a)、図2(b)に示されるように、シリコン層42のみのエッチングによって凹部45が形成されている間は、単位時間当たりにシリコン層42の被エッチング領域42aに衝突するエッチャント44の量と、エッチャント44と反応するシリコンの量とがほぼ等しいと考えられる。そのため、真空容器11内のエッチング生成物の量もほぼ一定に維持されることになる。
第1の工程によるシリコン層42のエッチングが進行し、凹部45の底面45aの一部に、シリコン層42の下層であるシリコン酸化物層41が露出すると(タイミングt2)、図3(c)に示されるように、シリコン層42のエッチング反応により生成されるエッチング生成物の量が減少する。これは、シリコン酸化物層41が底面45aの一部に露出されることにより、被エッチング領域42aにおいてシリコン層42と反応しないエッチャント44が存在するためである。つまり、エッチャント44とのエッチング反応に供される単位時間当たりのシリコン層42の量が減少することで、揮発性のエッチング生成物の生成量が減少する。こうして、一旦シリコン酸化物層41が露出されると、シリコン層42のエッチングが進行するに従って、凹部45の底面45aに露出されるシリコン酸化物層41の面積が拡大される。よって、エッチャント44と反応する単位時間当たりのシリコン層42の量も減少することになる。それゆえ、上記真空容器11内に存在するエッチング生成物の量も次第に減少することになる。
本実施の形態では上述のように、シリコン層42への貫通孔Hの形成を開始したときから、真空容器11の気相中に存在するエッチング生成物の量が上記検出部30にて検出され監視される。このエッチング生成物の量は、シリコン層42に形成される凹部45の底面45aの一部にシリコン酸化物層41が露出されたことを契機として減少し始める。従って、エッチング生成物の量の減少が検出された時点が、凹部45の底面45aの一部にシリコン酸化物層41が露出し始めたタイミングであると言える。
ここで、先の図4(e)に示されるように、ドライエッチング処理により形成された凹部55の底面にシリコン酸化物層51の一部が露出された後も、貫通孔Hの形成が完了するまでドライエッチング処理を実施し続けると、シリコン酸化物層51にエッチャント54が衝突して該シリコン酸化物層51が正に帯電するようになる。こうしてシリコン酸化物層51に帯電した正の電荷は、基板Sに向かって垂直に飛行するエッチャント54、特に正イオンの軌道を、シリコン酸化物層51の表面近傍において、貫通孔Hの側壁をなすシリコン層52に向かうように変更する。この軌道が変更されたエッチャント54により、貫通孔Hの開口Haが拡大される、つまり、ノッチ60が発生することになる。
これに対し、本実施の形態では、タイミングt2にてシリコン酸化物層41が露出して以降、エッチング生成物の量に所定の減少傾向が見られると検出部30が判断すると、凹部45の底面45aにシリコン酸化物層41の一部が露出されたと判断される(タイミングt3)。こうした判断がなされたタイミングで、エッチングガス供給部21からのエッチングガスの供給が停止されるとともに、フッ化炭素化合物ガス供給部22からの八フッ化シクロブタンの供給が開始される。つまり、タイミングt3にて上記第1の工程から第2の工程への切り替えが実施される。
なお、底面45aの一部にシリコン酸化物層41が露出された後における第1の工程から第2の工程への切り替えタイミングは、貫通孔Hの設計寸法、第1の工程で得られる凹部45の形状、保護膜47の膜構造や段差被覆性、さらには第2の工程で得られる保護膜47のエッチング形状等、予め実施されるこれらの結果に基づいて適宜選択される。例えば、貫通孔Hの口径が小さく且つ、貫通孔Hの深さが浅くなる場合には、凹部45において上記(B)の構造が得られ難いため、第1の工程から第2の工程への切り替えタイミングを早くすることが好ましい。反対に貫通孔Hの口径が大きく且つ、貫通孔Hの深さが深くなる場合には、凹部45において上記(B)の構造が得られやすいため、第1の工程から第2の工程への切り替えタイミングを遅くすることが好ましい。また例えば、底面45aの中央付近で保護膜47の耐エッチング性が高くなる場合には、上記(A)の状態が得られやすいため、第1の工程から第2の工程への切り替えタイミングを遅くすることが好ましい。
次いで、タイミングt3からタイミングt4までに渡り、八フッ化シクロブタンが供給されて、凹部45の内表面に保護膜47が形成される。そして、タイミングt4では、八フッ化シクロブタンの供給が停止され、エッチングガスの供給が再度開始される。なお、例えば、タイミングt3からタイミングt4までの時間は、タイミングt4からエッチングガスの供給を停止するタイミングt5までの時間の2倍に設定される。
このように、基板Sにシリコン層42からシリコン酸化物層41まで貫通する貫通孔Hを形成する際には、上記タイミングt3で、ドライエッチング処理を行う第1の工程が、保護膜形成処理とドライエッチング処理とを交互に繰り返す第2の工程に切り替えられる。そのため、凹部45の底面45aに露出されたシリコン酸化物層41の一部が、予め保護膜47によって覆われた状態で、混合ガスによってエッチングされることになる。それゆえ、保護膜47を形成した後のドライエッチング処理では、上記底面45aに形成された保護膜47が除去されつつ、上記被エッチング領域42aに残存するシリコン層42が除去される。
こうして保護膜形成処理とドライエッチング処理とが交互に繰り返されることにより、シリコン層42の被エッチング領域42aにおけるシリコンが完全に除去されて、シリコン酸化物層41に開口Haを有する貫通孔Hが形成される(タイミングt6:図3(c))。
本実施の形態のドライエッチング方法は、以下に列挙する利点を有する。
(1)シリコン層42のエッチングに利用する六フッ化硫黄ガスと、シリコン層42を酸化する酸素ガスとを含む混合ガスから生成されたプラズマを用いた異方性エッチングにより、シリコン層42をエッチングし始めるようにした。そして、シリコン酸化物層41の少なくとも一部が凹部45の底面45aに露出されると、エッチングにより形成された凹部45の内表面を保護膜47で覆う処理と、上記エッチング処理とを交互に実施するようにした。このように、酸素ガスによって凹部45の内表面を保護しつつ、六フッ化硫黄ガスによるシリコン層42のエッチングを実施することで、指向性を有しないラジカルによる等方性のエッチングが抑制されて、異方性のエッチングが進行するようになる。加えて、凹部45の底面45aの一部にシリコン酸化物層41が露出して以降は、この露出領域が保護膜47によって覆われた状態でシリコン層42のエッチングが実施される。そして、シリコン酸化物層41の表面に向かって飛行した正イオンが凹部45の底面45aに形成された保護膜47を除去するのに利用されるため、シリコン酸化物層41の表面が正に帯電することが抑制されるようになる。従って、貫通孔Hの底部においてノッチの発生を抑制することができるようになる。
(2)シリコン層42に形成された凹部45の底面45aの一部にシリコン酸化物層41が露出されると、第1の工程で生成されるエッチング生成物の量が変化する。この変化が検出部30によって検出されることによって第1の工程が終了し、第2の工程が開始される。これにより、シリコン酸化物層41の表面が、正イオンに長期にわたり曝露されることを抑制でき、ひいてはノッチの発生を抑制できる。
(3)検出部30は第1の工程で生成されるエッチング生成物の量を検出して監視し、このエッチング生成物の量の減少を検出する。この検出によって、凹部45の底面45aの一部にシリコン酸化物層41が露出したと判定される。これにより、シリコン酸化物層41の一部が露出したことを適切に検出できる。それゆえ、第1の工程から第2の工程への切り替えが遅れることによってシリコン酸化物層41の露出部分が正に帯電することを抑制し、ひいては、上記ノッチの生成を抑制することができるようになる。
(4)混合ガスが、六フッ化硫黄ガスと、酸素ガスと、臭化水素ガスとを含む。六フッ化硫黄ガスは、シリコン層42をエッチングするフッ素ラジカルの原料として使用される。酸素ガスは、六フッ化硫黄ガスと協同して不揮発性のフッ化酸化シリコンを生成する。臭化水素ガスは、シリコン層42をエッチングする臭素イオンの原料として使用されるとともに、酸素ガスと協同して臭化酸化シリコンを生成する。そのため、フッ化酸化シリコン及び臭化酸化シリコンといったハロゲン化酸化シリコンにより、シリコン層42に形成された凹部45の側面が保護される。更には、フッ素ラジカルと臭素イオンとによって凹部45の底面45aのエッチングが促進されるため、異方性エッチングを好適に実現することができる。
(5)フッ化炭素化合物である八フッ化シクロブタン(C)を原料ガスにしてフッ化炭素化合物の重合体を保護膜47として形成するようにした。これにより、保護膜47は、上記混合ガスのプラズマに含有されるエッチャント44による化学的エッチングや物理的エッチングによって好適に除去される。従って、保護膜47は、凹部45の浸食を好適に保護しつつもエッチングの進行を阻害することがない。
なお、上記実施の形態は、以下のように適宜変更して実施することもできる。
・プラズマエッチング装置10は、基板ステージ12に印加された高周波電力によってプラズマを誘起する、いわゆる容量結合型のエッチング装置を前提とした。これに限らず、例えば、真空容器11の天部11b近傍に、基板ステージ12と対向する電極を設けるとともに、該電極に高周波電力を印加する構成としてもよい。
・また、上記プラズマエッチング装置10は、真空容器11の天部11b上に搭載される、あるいは同真空容器11の側部11dの外周面に沿って配設される高周波アンテナを備え、高周波アンテナに高周波電力を印加することによって真空容器11内にプラズマを誘起させるものでもよい。つまり、本発明は、いわゆる誘導結合型のプラズマエッチング装置を採用することも可能である。
・さらに、プラズマエッチング装置として誘導結合型の装置を採用した場合、例えば、真空容器11の側部11dの外周面に沿って配設され、真空容器の内部に磁場がゼロとなる領域を形成する磁場コイルを設けるようにしてもよい。
・エッチングガスは上記混合ガス、つまり、六フッ化硫黄ガス、酸素ガス、及び臭化水素ガスからなる混合ガスに限らず、シリコン層を酸化する酸化ガスとフッ素含有ガスとを含む混合ガスであって、シリコン層の厚さの方向に延びる凹部を形成できる構成であればよい。例えば、六フッ化硫黄ガスに限らずフッ素含有ガスである五フッ化ヨウ素ガスを用いたり、酸素ガスに限らず酸化ガスであるオゾンガスを用いたりしてもよい。また、臭化水素ガスを割愛してもよい。
・上記混合ガスが、六フッ化硫黄ガス、酸素ガス、及び臭化水素ガスに加えて、他のガス、例えば六フッ化硫黄ガス以外のフッ素含有ガスや、酸素ガス以外の酸化ガス等を含むようにしてもよい。
・保護膜47の形成に際し、その原料となるガスは上記八フッ化シクロブタンガスに限らず、例えば八フッ化プロパンガス等であってもよい。要は、エッチングガスによって除去可能な有機膜を形成可能なフッ素炭化化合物ガスであればよい。
・上記第1の工程及び第2の工程におけるドライエッチング処理に係る条件や、第2の工程における保護膜47の形成処理に係る条件は上記に限らず、例えば、基板Sが有するシリコン層42の厚さや、これに形成する貫通孔Hの大きさ、あるいはエッチング処理に係る条件等に合わせて適宜変更可能である。
・第2の工程において、保護膜47の形成処理とドライエッチング処理とを交互に繰り返す回数を25回とした。これに限らず、繰り返し回数は、例えば、基板Sが有するシリコン層42の厚さや、これに形成する貫通孔Hの大きさ、あるいは、エッチング処理に係る条件等に応じて適宜変更可能である。
・検出部30は、真空容器11内に存在する揮発性のエッチング生成物の量を検出して監視するとともに、このエッチング生成物の量が減少したことを契機に、上記第1の工程から第2の工程に切り替えるようにした。これに限らず、当該検出部30が、シリコン層42をエッチングするエッチャント44の量を検出するようにしてもよい。
ここで、上記第1の工程によるシリコン層42のエッチングが進行し、凹部45の底面45aにおける一部に、シリコン層42の下層であるシリコン酸化物層41が露出されると、シリコン層42をエッチングするエッチャント44の量が増大する。これは、シリコン酸化物層41が凹部45の底面45aに露出されることにより、被エッチング領域42aにおいてシリコン層42と反応しないエッチャント44が生じるためである。つまり、真空容器11のエッチングガス供給部21から基板Sに向かって飛行したものの、シリコン酸化物層41に衝突して、再び真空容器11のエッチングガス供給部21に向かって戻されるエッチャント44が存在するためである。こうして、一旦シリコン酸化物層41が露出されると、シリコン層42のエッチングが進行するに従って、凹部45の底面45aに露出されるシリコン酸化物層41の面積が拡大して、シリコン層42と反応する単位時間当たりのエッチャント44の量が減少することになる。それゆえに、上記真空容器11内に存在するエッチャント44の量が次第に増大することになる。
上記理由により、検出部30が真空容器11内のエッチャント44の量の増大を検出したことを契機に、上記第1の工程が第2の工程に切り替えられるようにすれば、シリコン層42に形成される凹部45の底面45aにシリコン酸化物層41が露出されたときに、これら工程間の切り替えがなされることになる。この方法によれば、上記(3)の利点に代えて以下の利点が得られる。
(6)検出部30は第1の工程でシリコン層42をエッチングするエッチャント44の量を監視し、このエッチャント44の量の増大を検出する。この検出によって、凹部45の底面45aの一部にシリコン酸化物層41が露出されたと判定される。これにより、該シリコン酸化物層41の一部が露出したことを適切に検出できるようになる。それゆえ、第1の工程から第2の工程への切り替えが遅れることによってシリコン酸化物層41の露出部分が正に帯電することを抑制し、ひいては、上記ノッチの生成を抑制することができるようになる。
・真空容器11内に存在するエッチング生成物の量が減少したことを契機に、上記第1の工程から第2の工程に切り替えるようにした。これに限らず、ドライエッチング処理を開始してから、上記凹部45の底面45aにおける一部にシリコン酸化物層41が露出されるまでの時間を予め実験等により測定しておき、これに応じて第1の工程の実施時間を設定し、該実施時間が経過したことを契機に、第2の工程に切り替えるようにしてもよい。
・上記第1の工程及び上記第2の工程では、それぞれ混合ガスの流量、圧力、高周波電力の出力値など、エッチング条件が単一の条件となることを前提としたが、少なくとも一方の工程内において複数の異なるエッチング条件が連続的に実施される構成であってもよい。
・誘電体からなるエッチングストッパ層は、上記シリコン酸化物層41の他、シリコン窒化物、シリコン酸窒化物層、あるいはこれらの積層体で構成されていてもよく、上記シリコン層42との密着性が確保された各種誘電体層であればよい。

Claims (6)

  1. 誘電体からなるエッチングストッパ層上にシリコン層を有する基板に、開口を有するマスク層を介してドライエッチングを施す方法であって、
    前記シリコン層を酸化する酸化ガスとフッ素含有ガスとを含む混合ガスから第1のプラズマを生成し、該第1のプラズマ中で前記基板を負のバイアス電位に維持し、前記マスク層の開口を介して前記シリコン層に前記第1のプラズマによる異方性エッチングを施して前記シリコン層に凹部を形成する第1の工程と、
    有機膜形成処理とエッチング処理とを交互に繰り返す第2の工程であって、前記有機膜形成処理が、前記第1のプラズマで除去可能な有機膜の形成に用いる第2のプラズマを生成し、該第2のプラズマ中で前記基板を負のバイアス電位に維持し、前記第2のプラズマにより前記凹部の内表面に前記有機膜を堆積することを含み、前記エッチング処理が、前記混合ガスから前記第1のプラズマを生成し、該第1のプラズマ中で前記基板を負のバイアス電位に維持し、前記マスク層の開口を介して前記有機膜に覆われた前記凹部に前記第1のプラズマによる異方性エッチングを施すことを含む、同第2の工程とを備え、
    前記第1の工程により形成される前記凹部の底面の一部に前記エッチングストッパ層が露出されたとき、前記第1の工程から前記第2の工程への切り替えが行われることを特徴とする方法。
  2. 請求項1に記載の方法において、
    前記第2の工程で、前記第2のプラズマによる前記有機膜形成処理と前記第1のプラズマによる前記エッチング処理とを交互に複数回繰り返すことを特徴とする方法。
  3. 請求項1又は2に記載の方法において、
    前記第1の工程で、前記凹部の底面の一部に前記エッチングストッパ層が露出されたことを、前記シリコン層のエッチング時に生成されるエッチング生成物の量の変化に基づいて検出することを特徴とする方法。
  4. 請求項3に記載の方法において、
    前記第1の工程で、前記エッチング生成物の量を監視し、前記エッチング生成物の量が減少したとき、前記凹部の底面の一部に前記エッチングストッパ層が露出されたと検出することを特徴とする方法。
  5. 請求項1又は2に記載の方法において、
    前記第1の工程で、前記シリコン層をエッチングするエッチャントの量を監視し、前記エッチャントの量が増加したとき、前記凹部の底面の一部に前記エッチングストッパ層が露出されたと検出することを特徴とする方法。
  6. 請求項1〜5のいずれか一項に記載の方法において、
    前記混合ガスが、前記フッ素含有ガスとしての六フッ化硫黄ガスと、前記酸化ガスとしての酸素ガスと、臭化水素ガスとを含み、
    前記第2のプラズマが、フッ化炭素化合物から生成され、
    前記有機膜が、前記フッ化炭素化合物の重合体として形成される、
    ことを特徴とする方法。
JP2011551844A 2010-01-26 2011-01-25 ドライエッチング方法 Active JP5686747B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011551844A JP5686747B2 (ja) 2010-01-26 2011-01-25 ドライエッチング方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2010014792 2010-01-26
JP2010014792 2010-01-26
JP2011551844A JP5686747B2 (ja) 2010-01-26 2011-01-25 ドライエッチング方法
PCT/JP2011/051280 WO2011093258A1 (ja) 2010-01-26 2011-01-25 ドライエッチング方法

Publications (2)

Publication Number Publication Date
JPWO2011093258A1 true JPWO2011093258A1 (ja) 2013-06-06
JP5686747B2 JP5686747B2 (ja) 2015-03-18

Family

ID=44319246

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011551844A Active JP5686747B2 (ja) 2010-01-26 2011-01-25 ドライエッチング方法

Country Status (6)

Country Link
US (1) US8633116B2 (ja)
JP (1) JP5686747B2 (ja)
KR (1) KR101279530B1 (ja)
CN (1) CN102484066B (ja)
TW (1) TWI490943B (ja)
WO (1) WO2011093258A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5669636B2 (ja) * 2011-03-15 2015-02-12 キヤノン株式会社 荷電粒子線レンズおよびそれを用いた露光装置
JP2014150149A (ja) * 2013-01-31 2014-08-21 Ulvac Japan Ltd エッチング方法及びエッチング装置
US20160351733A1 (en) * 2015-06-01 2016-12-01 International Business Machines Corporation Dry etch method for texturing silicon and device
US10079277B2 (en) * 2016-11-28 2018-09-18 United Microelectronics Corp. Method of fabricating metal-insulator-metal capacitor
JP6859088B2 (ja) * 2016-12-14 2021-04-14 エイブリック株式会社 半導体装置の製造方法
CN107946231B (zh) * 2017-11-22 2020-06-16 上海华力微电子有限公司 一种FDSOI器件SOI和bulk区域浅槽形貌优化方法
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
US11361971B2 (en) 2020-09-25 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. High aspect ratio Bosch deep etch
KR20230009025A (ko) * 2021-07-08 2023-01-17 주식회사 키파운드리 스캘롭 프로파일을 갖는 깊은 트렌치 식각 방법

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH073313B2 (ja) 1990-08-03 1995-01-18 三井造船株式会社 トンネル炉
KR100194653B1 (ko) * 1991-04-04 1999-06-15 윤종용 반도체 장치의 다결정 실리콘층 식각방법
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH10163171A (ja) * 1996-11-27 1998-06-19 Hitachi Ltd 中性化学種の検出方法および装置
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
KR100514150B1 (ko) * 1998-11-04 2005-09-13 서페이스 테크놀로지 시스템스 피엘씨 기판 에칭 방법 및 장치
JP4221859B2 (ja) * 1999-02-12 2009-02-12 株式会社デンソー 半導体装置の製造方法
US6743727B2 (en) * 2001-06-05 2004-06-01 International Business Machines Corporation Method of etching high aspect ratio openings
JP3527901B2 (ja) 2001-07-24 2004-05-17 株式会社日立製作所 プラズマエッチング方法
WO2003056617A1 (fr) * 2001-12-27 2003-07-10 Tokyo Electron Limited Procede de gravure et dispositif de gravure au plasma
US20040097077A1 (en) * 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
WO2005055303A1 (ja) 2003-12-01 2005-06-16 Matsushita Electric Industrial Co., Ltd. プラズマエッチング方法
JP4098225B2 (ja) * 2003-12-01 2008-06-11 松下電器産業株式会社 プラズマエッチング方法
CN101052536A (zh) * 2004-03-26 2007-10-10 朗姆研究公司 处理具有最小扇贝纹路的衬底的方法
US20060264054A1 (en) * 2005-04-06 2006-11-23 Gutsche Martin U Method for etching a trench in a semiconductor substrate
US7985688B2 (en) * 2005-12-16 2011-07-26 Lam Research Corporation Notch stop pulsing process for plasma processing system
JP4865352B2 (ja) * 2006-02-17 2012-02-01 三菱重工業株式会社 プラズマ処理装置及びプラズマ処理方法
GB0616125D0 (en) * 2006-08-14 2006-09-20 Radiation Watch Ltd Etch process
JP5074009B2 (ja) * 2006-11-22 2012-11-14 Sppテクノロジーズ株式会社 高アスペクト比の開口を有するシリコン構造体用エッチングマスクの製造方法及びその装置並びにその製造プログラム

Also Published As

Publication number Publication date
KR20120030143A (ko) 2012-03-27
TW201140690A (en) 2011-11-16
TWI490943B (zh) 2015-07-01
JP5686747B2 (ja) 2015-03-18
CN102484066A (zh) 2012-05-30
CN102484066B (zh) 2014-11-19
KR101279530B1 (ko) 2013-06-28
US20120129278A1 (en) 2012-05-24
WO2011093258A1 (ja) 2011-08-04
US8633116B2 (en) 2014-01-21

Similar Documents

Publication Publication Date Title
JP5686747B2 (ja) ドライエッチング方法
KR101941312B1 (ko) 보쉬 에칭 프로세스 이후 평활한 측벽들을 달성하기 위한 방법
KR101445299B1 (ko) 반도체 구조물을 에칭하기 위한 펄스화된 샘플 바이어스를 가지는 펄스화된 플라즈마 시스템
TWI774742B (zh) 矽氮化物之原子層蝕刻
US20150371861A1 (en) Protective silicon oxide patterning
KR101330650B1 (ko) 에칭 방법
KR100515424B1 (ko) 다양한기판의이방성플라즈마에칭방법
TW201841256A (zh) 氫活化原子層蝕刻
KR20190049482A (ko) 스택 내에 피처들을 에칭하기 위한 방법
EP3035369A1 (en) Plasma treatment method
WO1999040607A1 (en) Methods for reducing mask erosion during plasma etching
WO2006062085A1 (ja) ドライエッチング方法及びドライエッチング装置
KR101423354B1 (ko) 초-고 종횡비 유전체 에칭에서의 트위스팅 감소
JP2008177209A (ja) プラズマエッチング方法
TW201426861A (zh) 半導體結構的刻蝕方法
CN103050434A (zh) 硅通孔的刻蚀方法
CN105702569A (zh) 刻蚀方法
JP5041696B2 (ja) ドライエッチング方法
JP2009206130A (ja) ドライエッチング方法及びドライエッチング装置
JP2015098082A (ja) 構造化された表面を製作する方法
CN108133888B (zh) 一种深硅刻蚀方法
CN109997212B (zh) 在有机层蚀刻中生成竖直轮廓的方法
JP2008186991A (ja) エッチング方法及びエッチング装置
CN107785253B (zh) 利用侧边溅射的线边缘粗糙表面改进
KR100928528B1 (ko) 텅스텐 하드마스크를 사용한 산화막 식각 방법

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131029

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140701

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140930

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20141007

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150106

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150120

R150 Certificate of patent or registration of utility model

Ref document number: 5686747

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250