JPS61179872A - マグネトロンエンハンスプラズマ補助式化学蒸着のための装置ならびに方法 - Google Patents

マグネトロンエンハンスプラズマ補助式化学蒸着のための装置ならびに方法

Info

Publication number
JPS61179872A
JPS61179872A JP60239253A JP23925385A JPS61179872A JP S61179872 A JPS61179872 A JP S61179872A JP 60239253 A JP60239253 A JP 60239253A JP 23925385 A JP23925385 A JP 23925385A JP S61179872 A JPS61179872 A JP S61179872A
Authority
JP
Japan
Prior art keywords
substrate
magnetic field
chamber
gas
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP60239253A
Other languages
English (en)
Other versions
JPH0696768B2 (ja
Inventor
ロバート フオスター
デイヴイツド ニン コー ワン
サツソン ソメク
メイダン ダン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPS61179872A publication Critical patent/JPS61179872A/ja
Publication of JPH0696768B2 publication Critical patent/JPH0696768B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3327Coating high aspect ratio workpieces

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 本発明は、−面では、マグネトロンエンハンスプラズマ
補助による化学的蒸着(CV D)反応炉とそれに関連
して誘電性半導体ならびに導体の膜の高率蒸着を行うた
めの方法に関する。
更に、同技術は、低温窒化と酸化に対しても使用するこ
とができる。更に別の面から見ると、本発明は、現場多
重環積回路処理工程を行うための装置と方法、ならびに
相似被膜と平面化を行う蒸着法に関する。
半導体集積回路は製造に通用されてきた初期の気体化学
蒸着法は、気体から加熱サブストレート上へ蒸着するた
めに熱によって活性化される化学反応を使用してきた。
このように固体を表面上に化学的に蒸着する方法(CV
D)は、表面上に吸着するガス分子の不均質な表面反応
を伴う。薄膜の成長率と品質は表面温度と利用可能なガ
ス分子に依存している。
最近ではプラズマエンハンスによる低温蒸着(および食
刻)法が開発されてアルミニウムやタングステンのよう
な金属を含む各種材料、シリコン窒化物二酸化シリコン
の如き誘電性膜、およびシリコンのような半導体を蒸着
してきた。
プラズマ補助式CVD法で使用されるプラズマは、RF
(高周波)分野で開発された低圧反応ガス放電によるも
のである。
プラズマは定義によって、その内部に等しい密度の電子
とイオンが存在するような電気的に中性のイオン化ガス
である。
プラズマ補助式CVD法で使用される相対的に低圧の状
態の下では、放電は“グロー”領域で行われ、電子エネ
ルギーは重粒子エネルギーに対してすこぶる高くするこ
とができる。
非常に高い電子温度は(サブストレートのような)附近
の表面上に蒸着させるために利用されるプラズマ内の電
離ガス分子の密度を増加させる。
反応性遊離基の供給を増大させると純粋に熱活性化方法
を使用するばあいに可能(−分あたり100−200オ
ングストローム)なよりも低温かつ高速の蒸着率(−分
あたり300〜400オングストローム)で密度の高い
良質の膜を蒸着さセることが可能になる。
しかしながら、プラズマエンハンスメント法を使用する
ことによって手にすることのできる蒸着率は依然相対的
に低いものである。
更に、その他に化学的蒸着法とプラズマエンハンスによ
るCVD法に関連した諸々の困難がある。
これらの困難は、以下に論する通りである。
プラズマ補助による化学蒸着法を使用するとシラン、窒
素およびアンモンア反応物質から窒化シリコンが以下の
ようにして形成される。
5i)I+ +NH3+N2−3ix Ny 1lz−
・・・fl)不都合なことに、蒸着した窒化シリコン内
の水素濃度は、25−35原子パーセントの高さとなる
可能性がある。
IC製造工程で比較的初期に形成された構造内における
水素の存在とそれに続く高温製造段階中に生じる水素の
拡散は、不均一な電気特性を生じさせる虞れがある。
殊に、層厚と間隔を小さくしていっそう高密度の集積回
路構造を作るために、水素に関連した高温キャリヤを注
入する問題はかかる高い水素含有量を受入容れ難くシて
いる。
最終的なパッシベーション膜のばあいでさえも水素の存
在は諸々の問題をつくり出す虞れがある。
更に大きなデバイス密度と小さな最小特徴寸法とVLS
 I集積回路の小さな間隔は、マスキング(蒸着もしく
は成長法による)薄膜形成、ドーピングおよび食刻とい
った基本的なIC製造段階に対して増々厳格な要求を課
するようになっている。
例えば階段状の表面に相似被膜を形成したり、準位間誘
電層を平面化することは、たといプラズマエンハンスに
よるCVD膜を使用するばあいにもますます困難になり
つつある。
第1rJ!Jは、ICステップで、その内部で導電層1
1の如き第一番目の膜が、部分的に完成した集積回路(
図示せず)の現存ステップ上に形成され終って二酸化シ
リコンの如き層間誘電層12の蒸着を受けているばあい
の典型的な断面を示したものである。
以上の操作は第二準位の導電層(図示せず)を形成する
準備として行われるものである。
蒸着する活性ガス分子の平均自由行程がステップ寸法と
比較して長いばあいには、(また急速な表面移動が見ら
れないばあいには)ステップの底部13.側部14、お
よび頂部15における蒸着率はそれに伴う到達角に比例
する。
底面到達角は溝の深さと幅の関数であるから、溝の底部
13に蒸着した層の厚さは側部I4における層厚よりも
小さくなる傾向があり、後者自身は、今度は頂部15に
おける層厚よりも小さくなる傾向がある。
蒸着工程において使用される圧力を増大すると活性ガス
分子の衝突率を増加させ平均自由行程を減少させること
になろう。
このため、到達角の有効寸法が大きくなり、溝の側壁1
4と底部13における蒸着率が大きくなることになろう
然しながら、第2A図についてみるように、このことは
同時にステップ隅部16における到達角とそれに伴う蒸
着率を大きくすることになるだろう。幅広い溝により隔
離されたステップのばあいには、その結果得られる内側
に傾斜した薄膜の形状とそれに関連した突起17は理想
的な被覆度に満たないものしか与えない。
にもかかわらず、膜の形は従来の平面化技法を用いて平
面形にすることができ、(続く第二準位の導電層の形成
を容易にする。)逆に、ステップが、例えば高密度の2
56キロビー/ トV L S I構造において狭い溝
によって隔離されている第2B図についてみると、隅1
6における大きな蒸着率がボイド18を包囲している。
該ボイドは続く平面化作業によって露出され、第二準位
の導体が該ボイドを貫通し該ボイド沿って走り、導体と
デバイスが該ボイドに沿ってショートすることを可能に
する。
かくしてプラズマ補助によるCVD技術の現在の状況は
、以下のように要約することができよう。
プラズマ補助によるCVD反応炉はほぼ300〜400
オングストロームの最大蒸着率を与える。
しかしながら、将来のプラズマ蒸着技術の必要を満たす
上で、例えば、プラズマCVD窒化物膜における高い水
素不純物水準を除去もしくは減少させる問題とか、小寸
法のVLS Iデバイスの形状に相似的なステップ被覆
度と有効な平面化を達成するさいの困難さといった諸々
の問題が存在する。
将来のプラズマ蒸着技術の成功のための条件は幾つかあ
る。低水素のシリコン窒化物を形成する能力と、ステッ
プ被覆度と平面化するうえでのステップ形状の条件につ
いてはすでに触れた。
その他に、低水素含有の酸窒化物膜と共に準位間にプラ
ズマ酸化物膜を形成する能力が望まれる。
酸窒化物膜は、酸化物と窒化物と異なった誘電特性を持
ち、揮発性および不揮発性IC技術の双方においてゲー
ト誘電体として使用されている。
更に、珪化物、アルミニウム、耐熱金属の如き材料を相
互接合しメタライズする能力を備えることが望まれる。
ますます、殊に多単位マスク導体と誘電構造が実施され
ると、ウェハを室から取除かずに単に反応ガス反応と作
業条件を変えることによって多数の行程を行うことがで
きるような現場工程を備えることが望まれることになる
以上の後者の論点は以下の二つの例によって実証される
まず、パッシベーション層としてシリコン窒化物を使用
するばあいを考えてみよう。すでに述べたように、高温
キャリヤの問題を除去するためには低水素含有の窒化物
が望ましいが低水素窒化物膜は高度に応力を加えられる
虞れがある。
低水素窒化物のパッシベーション層を使用することがで
きる一つの方法は、まず珪酸燐ガラス(PSG)を使用
して応力を緩和して、その後、低水素含有の窒化物を蒸
着させることである。
明らかに、処理能力は増大し、もし以上の二つの蒸着行
程を同じ反応炉内で行うことができるならば欠陥密度は
減少することになろう。
第二の例は、アルミニウムを使用することに関するもの
である。それに関連する問題点が幾つかあるにもか\わ
らずスパッタ蒸着によるアルミニウムが、相互接合材と
して好ましい金属といえる。
例えば、純粋のアルミニウムはエレクトマイグレーショ
ンを蒙り、そのために割れ、ボイド等が発生する危険が
ある。
またアルミニウムは絶縁層にパンチスルーするつかや柱
を形成するおそれがある。アルミニウムを銅によってド
ーピングしてエレクトロマイグレーションを減らすこと
ができるが、銅自体はエツチングすることが非常に困難
である。
もっと良い解決策は、アルミニウム/タングステン/ア
ルミニウムによる多層構造を形成することである。
更に、処理能力は、化学蒸着法を使用して以上の三層を
同じ反応炉内で蒸着させることによって大きくすること
ができよう。恐らく、何よりも重要なのはCVDアルミ
ニウムがスパッタ蒸着によるアルミ膜よりもずっとすぐ
れたステップ被覆度を有するということであろう。
更に、高密度の複雑なプロセス感度を備えた現在および
将来の集積回路構造を実施するうえでウェハをカセット
から降ろし処理室内へ入れて処理後に該ウェハをカセッ
トへもどすという両方の目的のために自動的にカセット
間にウェハを取扱うことのできるプラズマ蒸着技術を有
することが求められているし、また将来も求められるで
あろう。
最後に、搭載ロック機構を使用することによって処理能
力と粒子の制御は補助されることになろう。
搭載ロック機構はポンプ作業時間と処理時間を減らすだ
けでなく、非常に脆いVLS Iの構造を汚染物質にさ
らす危険を小さくする。
発明の目的 先に論じたところに従うと、本発明の目的は、誘電性膜
および金R膜および半導体膜の高率プラズマ蒸着のため
の装置と方法を提供することである。
本発明のもう一つの目的は、同じ処理室内における多数
行程からなる処理順序の一部としてすでに述べた高率の
蒸着法を提供することである。
本発明の更にもう一つの目的は、ステップ構造の如き種
々の集積回路構造に相似形の薄膜被覆を形成するための
装置と方法を提供することである。
本発明のもう一つの目的は、相似被覆と平面化を形成す
る能力の如き薄膜特性を選択的に最適にする目的で表面
にプラズマ蒸着と食刻を同時に行うための装置と方法を
提供することである。
上記ならびにその他の目的は、磁界を附与してプラズマ
化学蒸着を補助しエンハンスすることによって達成され
る。
磁界を作業面に対して平行に附与する方法は、マグネト
ロン固体スパッタリング技術と気体化学反応による食刻
において効率的であるということが知られているが、以
上の方法は以前には気体化学による蒸着には応用されて
いなかった。
気体化学反応プロセスにおいて必要とされる低圧は、従
来、低濃度のプロセス反応ガス種と、従ってそれに応じ
た低い蒸着率しかもたらさない。
しかしながら、我々は、今回磁界をプラズマCVD技術
と結合して適当に使用すると、非常に高い蒸着率の高密
度で品質の高い膜が、非常に低圧で低いサブストレート
温度でしかも優れた均質度でもって得られるということ
を発見した。
同技術は、高い蒸着率で低水素含有シリコン窒化物膜を
形成することを含めて、広範囲の金属、絶縁体および導
体膜組成物の蒸着に適用でき、相似膜の形成に魚類に通
している。
ある特定の面から見ると、本発明はサブストレートを真
空処理室内の陰極上に配置し、該陰極に隣接した反応ガ
スプラズマを確立し更に陰極に対してほぼ平行に室内に
均一な磁界を附与し電子の運動を封鎖しサブストレート
面に隣接する電子密度を増大させることによって反応ガ
スプラズマから吸着させることによってサブストレート
上に選択した膜を形成する方法に具体化されている。
別の面では、本発明は、真空処理室内にサブストレート
を支持する電極を備え、室内を排気し、該室内へ反応ガ
スを導入し、高周波電力を室に附与し、蒸着気体からプ
ラズマを発生させ、更に変化する磁界を陰極に対してほ
ぼ平行に室に附与し、サブストレート面が反応ガスプラ
ズマと選択的化学反応を行うようにすることからなるプ
ラズマ蒸着法に関する。
更に別の面からみると、本発明は、磁界補助式真空蒸着
反応炉で、反応ガスを室内に供給するために装着した気
体入口を備えた真空室と、サブストレートを支えるため
に設けた少なくとも一つの面を備えた該真空室内の電極
と、出口を介して該真空室内を排気するための真空手段
と、反応ガスから蒸着プラズマをつくり出し、サブスト
レート面上に蒸着させるための電極に操作上接続された
電源と、処理室内に指向性磁界を与えるような形をして
、サブストレートに隣接して蒸着ガスプラズマ反応ガス
分子を濃縮し蒸着ガスプラズマからウェハ面上に衝突す
るイオンの運動エネルギーを制御するための磁界発生手
段とから成るものに関する。
本発明の以上の、またその他の局面を以下、図面と相俟
って説明する。
第3図は、本発明に従って構成したマグネトロン反応系
統30である。同系統30は、円筒形のステンレススチ
ール製の真空室31を備え、該室l内に帯状の陰極組成
体32が取付けられる。
該陰極32は真空室31内に絶縁式に取付けられ、取付
柱33−33を隔離することによって該室31から絶縁
されている。
望ましい態様のばあい、陰極32は、中心軸部分34と
内側端部の反射板部分36−36から構成されており、
それらはアルミニウムその他の導電性の非磁性材料から
構成される。
外側端部分37−37はnaycor■の如き絶縁材料
により構成される。プラズマ作業で行うためにRF電源
と負荷整合網を備えるRF電源系統38により給電され
、それは、絶縁給電線39により陰極32に接続される
反応ガスはガス供給系統から入口シャフト42を介して
一つもしくはそれ以上のガスマニホルド1141により
真空室31に加えられる。
本系統は配管42を経て吸入マニホルド環41にガスを
供給する一連のガス貯蔵タンクもしくは容器43−43
を備えている。
同様に第4図に示した陰極34と吸入マニホルド環4I
の断面図についてみると、例えばクリップの如き手段4
4によって陰極側部に半導体ウェハが位置決めされる。
(あるいは陰極内の凹所(図示せず)内に取付けもしく
は保持してもよい。)望ましい態様のばあい、それぞれ
のウェハもしくはサブストレート5に対してガス環41
が設けられる。その代りとして、該ガス環を陰極上に取
付けることもできる。ガスfl141の直径は、それと
関連するサブストレート5の径よりも僅かに大きく、ガ
ス環の開口46は、リング内側方向へ形成されているた
め、マニホルドは反応ガスを僅かな角度でサブストレー
ト上へ向けることになる。
このため、ガス環を経由して該ガス環とウェハと整合し
た室壁内の排気口47へと均一なガスの流れがサブスト
レート面を横切りかつサブストレートから去るように供
給されることになる。
排気口47は真空バルブとルーツブロアを経てメカニカ
ルポンプ(図示せず)に接続される。
以上の配置のために反応ガスによるサブストレートの均
一な被覆と処理が容易になる。以上の配置によってまた
、相対的に冷たい内側室壁土に、サブストレート上に汚
染物質として再蒸着される虞れがある沈着物質を形成す
る反応室の固有の傾向もまた減殺されることになる。
ノズルや開口の付いた平坦状マニホルドの如きその他の
入口手段を使用することもできるが、環形のものが均一
なガス分布と室壁に物質が沈着する傾向を抑止するとい
う点からも望ましい。
系統の制御は圧力制御系統と直流モータを介して作動し
ブロアの速度を制御するキャパシタンス圧力センサを介
して行われる。
第3図には図解しやすくするために一個のサブストレー
ト5、吸入マニホルド41と排気口47だけが示されて
いるけれども、実際には第4図に示すようにそのうちの
何れも2(固(もしくはそれ以上)使用することが望ま
しい。
それらの使用数は具体的に陰極32を取付けるばあいに
利用できるスペースもしくは面の数による。
典型的なばあいとしては陰極は第3図と第4図に示すよ
うに二辺形か多角形である。
しかしながら、以下に述べるように、室内の磁界は均一
であり、その結果、その他の形の電極も使用することが
できる。
本系統30は、室温で、即ち、蒸着反応以外の手段で、
サブストレート5を加熱しないで膜を蒸着させる働きを
行う。
しかしながら、第4図の断面図に示す通り、ある作業態
様においては、陰極体34はその内部に例えば電気抵抗
フィラメントの如き加熱手段52を備え、サブストレー
ト5を均一に加熱し膜質を向上させる。
最大限の加熱条件である250℃はプラズマCVDにお
いて使用される温度よりも低い。
RFエネルギーを使用してサブストレート支持材とサブ
ストレートを加熱することもできよう。
例えば、窒素ガスの如き冷却流体もまた陰極体34の内
側に供給してサブストレート温度の制御を容易にするこ
とができる。
典型的なばあいとして銅製コイル(図示せず)により形
成した電磁石54−54が真空室31周辺の頂部と底部
附近に円周状に位置決めされる。
該電磁石は、コイル電流を逆転することによって反転し
うるN極とS極を構成する。殊に、室寸法とコイルはへ
ルムホルッ形状をしていて、直立材56−56を取付け
ることによって正確に維持されるコイル間隔はコイル径
のほぼ2分の1となる。
この形状のばあい、電磁石は真空室の径断面のほぼ全体
にわたって均一な磁界を形成することになる。
矢印B−Bにより示した平行な磁界線は円筒軸に対して
ほぼ平行となる。
陰極34とサブストレート5をシリンダ軸に対して平行
に位置決めするばあい(陰極は磁界線に対して透過性を
もつ)、磁界線はサブストレート面に対して平行に形成
される。
第4図と第5図について述べると、反応炉系統30の蒸
着作業中、選択されたガスが配管42を介してタンク4
3−43から、また、そこから入ロ環マニホルド41を
経て排気ポンプ系統により排気される反応室30へ導入
される。
電源38からRF電力を印加すると、低圧の反応ガス放
電もしくは電子、イオンおよび電離したガス種のプラズ
マがサブストレート5附近につくり出される。
第5図に略示するように、正電位のプラズマから電極部
分34の表面方向へ向かいプラズマシールドもしくは暗
中間を横切って電界Eが形成される。この電界はさやを
横切り電極面から去る方向に電子を加速し、正イオンを
さやを横切り電極34方向に加速する。
同時に、サブストレート5に平行にかつ電界に垂直に均
一な磁界が真空室に加えられる。
電子が陰極34から陽極31へ容易に移動することを磁
界線が妨げるように、電子は磁界線によって封鎖される
。同様にして、磁界と電界はぎ×百ドリフト速度を電子
に附与するためにそれらは陰極面に沿い点間をドリフト
しジャンプする傾向をもつことになる。
電子は陰極とサブストレートに沿い正味ドリフト速度を
有する帯板内に集中する。
ぎ×百ドリフト速度は、端部反射器36−36と相俟っ
て電子をプラズマ内部にとじ込める傾向を有する。
電子を閉じ込める磁界の影響の理論と解説はすでに知ら
れている通りである。しかしながら、この原理を化学蒸
着法に応用することはこれまでまだ行われではおらず、
またそれが非常に低い系統圧(最高はぼ200ミリトル
)(それが磁気補助式プラズマ系統に固有の制約となっ
ている)のもとて非常に高い蒸着率で行うことができる
という点についても今日、何ら示唆されていない。
電子の密度数、従ってサブストレート5で反応させるた
めに利用できる反応ガス分子の密度を増大させるうえで
磁界と反応炉30が驚く程有効であるという点について
は第6A図ないし第6C図に明示されでいる。
これらの数字は、異なる値の磁界のもとで窒素プラズマ
中に存在する活性窒素ガス分子の分光反応の強さを示す
ものである。
何れのばあいにも窒素プラズマは200ミリトルの圧力
と500ワットのRF電力とを用いて形成された。
磁界の強さは、第6A図、第6B図、および第6C図に
ついてそれぞれOG(ガウス)、125Gおよび250
Gであった。
125Gと250Gの磁界値のばあいに窒素の分光強度
を均等にするために必要とされた6、66゜3.02お
よび1.6の計数逓減率は、それぞれ、利用できる活性
窒素ガス分子が第6A図に示した基礎値を超えて磁界の
増大に比例して増加したということを示唆している。
活性窒素ガス分子の使用する可能性が大きくなるとシリ
コン窒化物とシリコン酸窒化物を蒸着させるためにアン
モニアを使用せずとも窒素ガスを使用することができる
プラズマ窒化物/酸窒化物蒸着用に窒素を使用すること
が望ましいのはそれが比較的低水素含有の膜を提供する
ことができるからである。しかしながら、窒素は相対的
に不活性であるため、従来プラズマCVD蒸着法におい
ては承認できない程の低さの蒸着率しか与えなかった。
そのため、窒化物/酸窒化物蒸着用には、そのために高
水素含有の膜となるにもか\わらず、アンモニアNHi
が使用されている。
我々の知る限り、マグネトロンエンハンスプラズマによ
る蒸着法は、優れた膜質と相俟って低水素含有膜と高蒸
着率を与えてくれる唯一の気体化学反応法である。
本発明のもう一つの利点は、低圧下での高い蒸着率と、
工程を最適にするうえでの可変磁界の利用可能性に関し
ている。
これらは、例えば、第7図に示したシリコン窒化物蒸着
曲線?、1.72に示されている通りである。
曲線71.72のデータ点は、それぞれ10%5il(
+ / 90%N2と50%SiH4/ 50%N2の
流量比を用いて描かれた。
使用した低い10ミリトル圧にもか\わらず、非常に高
い蒸着率が得られ、1分あたりθ〜5.000オングス
トロームの蒸着率は、それに関連する磁界範囲0〜50
0ガウスの漸近関数となった。
第8図についてのべると、曲線81は、反応ガス流全体
の関数としてシリコン窒化物の蒸着率をプロットしたも
のである。
この数字は、低圧のもとて反応ガス流の関数として高い
蒸着率が得られるということを示すものである。
第8図のデータは50%SiH4/ 50%N2ガス流
と10ミリトルの低圧を使用して500ワソ1−.25
0G、300℃のもとで得られたものである。
1分あたりほぼ4 、000オングストロームの最大蒸
着率は、はぼ1503CCMの総ガス流きばあいに達成
され、1分あたり0〜4.000オングストロームの蒸
着率の範囲は反応ガス総流量範g50〜1503CCM
と関連している。
マグネトロンエンハンスプラズマ補助底本C■D技術の
もう一つの有利な面は、圧力と関わりなく低い直流バイ
アスでしかも蒸着膜内に欠陥密度が低い(先にのべた)
高い蒸着率を得ることができるという点である。
以上の点を説明するには、まず低い直流バイアスの結果
イオン衝撃エネルギーが相対的に低くなることは、サブ
ストレートと膜の欠陥密度が低くなる結果をもたらすこ
とになるという周知の事実を考えられたい。
更に、磁界の関数としての直流バイアスに関する第9図
の曲線91は、(磁界を加えることにより生ずる)系統
30のバイアス電圧が磁界が増大するにつれて8m15
0ガウスのばあい相対的に一定の値にまで低下するとい
うことを示している。
かくして、高い蒸着率(第7図参照)を得るために磁界
を増すとそれに伴って直流バイアス電圧レベルが低下し
低欠陥の密度を得ることができるという利益も得ること
ができる。
更に、50,100,250および500ガウスのもと
ての圧力の関数として直流バイアスを描いた第10図と
第11図の曲線101,102゜103.104は直流
バイアスが調査した室圧範囲にわたって相対的に一定と
なるということを示している。
そのため、本反応炉系統30と蒸着技術により得られる
相対的に高い蒸着率は低い直流バイアスのもとでしかも
低欠陥密度と共に得られ、圧力から相対的に独立なもの
となる。
このことは高圧が望ましくないような状況において低圧
を使用することを可能にするものである。
蒸   新 本発明により得られる高い蒸着率は、優れた膜質と優れ
た相似性を伴うものである。
高品質の膜を得るために設備設計に永久磁石を使用する
ことができるが、特定の膜−垂の他の条件に合致した蒸
着条件を作る能力を得るうえでは電磁石54.55によ
り得ることのできる可変磁界が重要である。
以上の蒸着方法を実証するための実験計画が作成された
上述の一般的方法と後掲第1表に示したプロセス変数を
用いて単結晶シリコン股上にシリコン窒化物(Six 
Ny) 、シリコン酸窒化物(Sixty Nz)、お
よび二酸化シリコン(Si02)が蒸着された。
二つの異なる寸法の反応炉30を使用したが結果は同じ
であった。その両方ともへルムホルツ磁石形を使用した
最初の反応室は径が12インチ、高さ12インチ、磁石
寸法は径内がほぼ12インチで6インチの間隔であった
第二番目の反応室30は、内径が18インチ、高さ15
インチで、磁石は内径がほぼ18インチ、間隔がほぼ9
インチであった。
先に述べた通り、アンモニアN H3を使用せずにSi
H4/ N 2を用いてシリコン窒化物膜が形成された
それぞれSiH4/ N 2 / N 20ととSiH
4/ N 20を使用してシリコン酸窒化物と二酸化シ
リコンが形成された。
酸窒化物蒸着には等流量のN 21 N 20が使用さ
れたが、当業者は酸窒化物組成を制御するために流量比
N 2 / N 20を変化させることができるという
ことが理解できるはずである。
膜は下記の通りの特徴を備えることになった。
すなわち、楕円偏光測定器を用いて屈折率(Nf)を測
定することによって膜の化学量の表示が得られた。
膜厚は四点探触測定法を用いて判定された。
該膜厚値はその後、蒸着するごとに蒸着率を得るために
用いられた。膜応力の値は蒸着後ウェハの正味曲率半径
を測定することによって判定された。
同様にして膜は25℃の緩衝弗化水素(B HF)食刻
溶液(13: 2NH4: HF)中でウェット食刻さ
れて膜密度と品質に関する間接的な評価を得た。
シリコン窒化物/二酸化シリコンのばあいのそれぞれ一
分あたり約50/900オンダストロームに及ぶ相対的
に低いBHF食刻率は、一般に高品質で高密度の膜を示
すものと考えられる。
表2について述べると、蒸着されたシリコン窒化物、シ
リコン酸窒化物、および二酸化シリコン膜のばあい、測
定された屈折率と膜組成値は十分に承認可能な範囲内に
ある。
原子核反応とIR分析もまた、シリコン窒化物膜につい
て5〜13%という低い水素含有値を示した。
更に、1分あたり20〜70オングストロームのI3 
HF食刻率は、高密度、高品質のシリコン窒化物膜を表
わす1分あたり50オングストロームと首尾一貫してい
る。
1 分あたり20〜70オングストロームの食刻率は、
蒸着されたシリコン窒化物膜が非常に高品質で高密度で
ある証拠を解決できる。
更に、シリコン窒化物膜の付着度は良好であった。
シリコン窒化物膜の圧縮応力は1平方センチメートルに
つきほぼ5X10’〜I X 10 ”ダインであった
この値は、シリコン窒化物膜の高密度、高付着力、低水
素含有量を特徴づけるものであると考えられている。
最後に、蒸着実験は、電力を上げて補助加熱の不足を補
償することによって室温において良質のシリコン窒化物
膜を蒸着させることができるということを示している。
30mトル以下の圧力と、400ワット以上の電力水準
のばあい、約100℃以下で高品質の膜が得られた。
同様に、約100℃以上で膜の高い品質はプロセス変数
範囲にわたって温度に対して不感応であった。
シリコン酸窒化物のばあい、1分あたり400オングス
トロームの食刻率は、高密度膜に関する酸素含有量に応
じた1分あたり多分1 、000〜2.500オングス
トロームの値と比較しても更に相対的に低く、膜が高品
質で高密度であるということを再び示唆するものとなっ
ている。
蒸着率は1分あたりt、、ooo〜5.000オングス
トロームであった。
水素含有量は低く、約3〜8%で付着度は優秀であった
膜は割れやしわを示すことがなかった。
最後に、蒸着された二酸化シリコン膜は、1分あたり約
1 、040〜1,140オングストロームの食刻率を
示し、良質の高密度膜であることを示している。良質の
加熱シリコン酸化膜(ゆっくりと形成され、長時間約8
00〜900°Cの比較的高温にIC構造をさらすこと
が必要である)のBHF食刻率は1分あたり約900オ
ングストロームであるということは注目するに値する。
本発明によるマグネトロンエンハンスプラズマ酸化物膜
の良質の熱熱化は低温と極度に短い蒸着回数で得ること
ができる。(それは、1分あたりぼぼ1 、000〜5
.000オングストロームという非常に高い蒸着率によ
るものである。) その結果、現在のIC構造は、加熱シリコン酸化物膜を
形成するばあいのように、長時間高温にさらされること
はない。
更に、シリコン酸化物膜のばあい、股は圧縮された。圧
縮応力値は1平方センチメートルにつき(4,5〜7.
5)10’の範囲内にあって、全体的に膜割れやしわは
みられなかった。
処理圧力がほぼ5ミリトルを下廻るばあいに膜割れが幾
分みられ、約50ミリトルのばあいに気相反応の証拠が
みられたということに生息されたい。
最初の問題点は磁界を調節することによって避けること
ができるが後者は室形状、電力および流量の関数となる
窒化物、酸窒化物、および酸化物膜のばあいの一分間あ
たり約1 、000〜5.000オングストロームの蒸
着率範囲は磁界強度とガス流量の関数となり、磁界値と
(もしくは)ガス流量が高ければそれだけ高い蒸着率が
得られるという点に注意さたい。
更に、今日まで行われた研究は5,000オングストロ
ームの最大値は上限値ではないということを示している
実験データは、所与の圧力のもとて磁界を強化したりガ
ス流量を増加させると更に高い良質薄膜の蒸着率が得ら
れるということを示唆している。
相似ステップ被覆 上記の通り、小寸法の高密度の形状上に相似の平面状の
i膜を形成することができることは今日および将来のI
C蒸着技術にとってすこぶる重要である。マグネトロン
エンハンスプラズマによるシリコン窒化物と二酸化シリ
コンの薄膜の相似性と平面度の質を調査する実験計画が
作成された。
第12図についてのべると、線幅が0.5〜2ミクロン
、ステップの高さが1ミクロンとして形成され1.5〜
2ミクロン幅の溝により隔離されたステップ状のシリコ
ン導体膜121上に1ミクロンの厚さの酸化膜と窒化物
膜122が形成された。
反応炉30は上に述べた方法に従って使用された。
シリコン窒化物とシリコン酸化膜を蒸着させるために表
1の蒸着変数が用いられた。更に、N F 3もしくは
CF 4の食刻ガスを蒸着ガスと同時に室内に加えるこ
とによってシリコン酸化膜が形成された。
食刻と蒸着のプロセスの双方とも底壁123と側壁12
4上で緩慢に進行する。
そのため、相似膜の形成を増進するために、すなわち、
異なる面上における蒸着率の相異を相殺することによっ
て、したがって底部123、側壁124および頂面12
5上の膜厚を等しくすることによってステップ被膜外形
を制御するために蒸着と同時に食刻法が用いられた。
それは蒸着率をi17御するためにも使用された。
ステップ被覆率はSEM(走査電子顕wi繞写真図)を
用いて特徴づけられた。
第12図の、得られた蒸着シリコン窒化物膜およびシリ
コン酸化11J122の略図に示す通り、ステップ被覆
度はill型の薄膜と(2)型の薄膜の両方とも全く相
似的であった。
初期の2ミクロン成長過程では、底部123、側壁12
4および頂面125における膜厚は点線126により示
した通り等しかった。
従来のプラズマ蒸着膜に関係があるような底面の突起と
ボイドはみられなかった。
要するに、ステップ間の間隔が密接しているにもか\わ
らず、相似形のシリコン窒化膜と二酸化シリコン膜が得
られたわけである。
その後、膜蒸着が継続されるにつれて、殆んど平坦状の
プレート状の上面127が形成された。
観察された均一な膜厚は高い表面移動度の結果であると
考えられる。所与の条件のばあいのピーク間電圧と直流
バイアスは、磁界を用いると零の磁界のばあいよりも低
いのが典型的であり、その結果、サブストレート5に衝
突するイオンの平均エネルギーも(サブストレートと薄
膜の欠陥密度と共に)同様に低かった。
にもかかわらず、サブストレート面に到達する活性化学
ガス分子の非常に高い分子密度は、薄膜を均一に成長さ
せる高い表面移動度をもたらすものと考えられる。
相似ステップ被覆もまた促進される、すなわち、   
   。
ステッ゛ブの頂部、底部おらび底部上に均一な厚さの薄
膜を形成する傾向は、圧力が少なくとも約5ミリトルの
値まで低下するにつれて増加する。
圧力が変化することによって現場で薄膜を物理的にスパ
ッタリングすることにより蒸着される膜の外形を制御す
ることができる。
サブストレート面に到達するガス分子の平均自由行程は
圧力とともに変化し、低圧のもとでより非を論量的とな
り、物理的現場スパッタリングによるステップ被覆のエ
ツジと頂部上の膜厚を減少させる。
先に論じた種々の変数を使用して膜成長度を制御する他
に、磁界を変化させることによって相似形被覆と各種膜
特性、膜応力を制御することかできる。
窒化物膜中の応力に対して磁界の変化が及ぼす影響は、
すでに調査済みである。
低周波磁界(約50〜450キロヘルツ)は、典型的な
ばあい付着度を増進させると共に、高周波磁界(約13
〜27メガヘルツ)のばあいよりも高い膜応力を与えた
低い応力の良好な付着度をもった窒化物膜は、低周波磁
界を用いて蒸着を開始し、その後高周波磁界に切換える
ことによって得られた。低周波数による最初の蒸着は下
層材質に対して優れた付着力を与えるが、応力は膜厚に
もとづいた加重平均となる。
応力利得は初発の高周波を使用し次いで低周波磁界に切
換えることによって得ることを期待できるが、そのばあ
いには恐らく付着力が若干低下することになろう。
高周波磁界と低周波磁界を混成して加えることによって
もまた窒化物膜の付着度と応力は最適にされた。
この場合にも、良好な付着度が得られ、応力は電力にも
とづく加重平均となった。
上述のステップ被覆処理によって示唆した如く、マグネ
トロンエンハンスプラズマによる膜と関連する高い蒸着
率と、蒸着と食刻を同時に行い気体化学反応を変化させ
ることによって蒸着から反応イオン食刻に変化させるこ
とができるために、厚い誘電性の窒化物もしくは酸化物
の膜を成長させるという単純な方法により、また更に同
一平面化することが望まれるばあいには、食刻ガスの組
成に変え膜をなめらかなプレート状の頂面に食刻しもど
すことによって同一平面化は容易に実行することができ
る。
当業者は、反応室30に課せられる種々の要求の代わり
となるものを可変磁界、高周波電力、圧力、流量および
温度によって与えられる工程の融通度を活用するために
実行することができよう。
反応室30が平行なプレート電極形や単一もしくは二重
のサブストレートとガス吸入マニホルドの構成に限定さ
れるものではないことは確かである。
磁界が反応室の径断面にわたってぼぼ均一であるために
、サブストレートを磁界に対して平行に配位するもので
あればどのような陰極構成も使用できる。
以上の構成は多数のファセットをもった多角形の陰極構
成一般を含むことになろう。
その他に、ガス分布特性と冷却特性の双方を備えた調節
式陽極を使用することができる。
先に述べたように、現在および将来のIC蒸着技術の必
要条件は、ステップ被覆(形)を制御して欠陥密度、粒
子密度、ピンホール密度の低い高品質膜を、小さなフロ
アスペースしかとらず多数ステップを現場処理すること
のできる能力を備えた自動化されたカセット間搭載ロッ
ク系統内で高収量にて蒸着することのできるという条件
を含むものである。
上述のマグネトロン増速プラズマ室と工程は、以上の目
的を達成するうえで必要な基本的条件である低圧、単一
ウエバ搭載、サブストレートに制御回部な低イオンで衝
突させること、固有の高品質性、および工程の選択可能
性といった条件を満たすものである。
【図面の簡単な説明】
第1図は、ステップ状の面上に例えば誘電材質層を蒸着
させる到達角を表わす集積回路の概略図断面図、 第2A図と第2B図は、溝幅が平面化に及ぼす影響を描
いた第1図に類似の概略断面図、第3図は、本発明の原
理に従って構成されたマグネトロン反応系統の斜視図で
部分的に略示し破断したもの、 第4図は、第3図の反応炉の断面図、 第5図は、電極面に隣接する電子を閉じ込める磁界線を
略示した第4図の断面図の部分拡大図、第6A図、第6
B図および第6C図は、異なる磁界値のもとてプラズマ
内に存在する活性窒素分子の分光反応の強さを示した図
、 第7図および第8図は、第3図の反応炉の選定作業条件
のばあいに磁界と総反応ガス流量の関数としてのシリコ
ン窒化物蒸着率を示すグラフ図、第9図は第3図の反応
炉系統の選定作業条件のばあいに磁界の関数として直流
バイアスを描いたグラフ、 第1O図と第11図は第3図の反応炉系統の選定作業条
件のばあいに圧力の関数として直流バイアス電圧を描い
たグラフ、 第12図は第1図と同じやり方で集積回路の表面形状の
断面図で、第3図の反応炉系統を使用して蒸着された酸
化物と窒化物の膜の相似度、平面度を描いたもの。 30・・・マグネトロン反応系統、31・・・真空室、
32・・・陰極、33−33・・・取付柱、34・・・
中心軸、36−36・・・反射板、38・・・RF電源
系統、41・・・吸入マニホルド環、 43−43・・・ガス貯蔵タンク、 5・・・サブストレート、47・・・排気口。

Claims (1)

  1. 【特許請求の範囲】 1、反応ガスプラズマからサブストレート上に選択した
    薄膜を蒸着させるための方法において、サブストレート
    を真空処理室内部の陰極上に配置し該室内に蒸着反応ガ
    スプラズマを形成する一方、該サブストレートにほぼ平
    行に磁界を加えてサブストレート上に薄膜を形成するこ
    とから成ることを特徴とする上記方法。 2、反応ガスプラズマからサブストレート上に選択した
    薄膜を蒸着させるための方法において、サブストレート
    を真空処理室内部の陰極上に配置し該室内に蒸着反応ガ
    スプラズマを形成する一方、該サブストレートにほぼ平
    行に磁界を加えてサブストレート上に薄膜を形成し、該
    磁界は変化自在であって薄膜蒸着率と衝撃エネルギーを
    制御することを特徴とする上記方法。 3、プラズマ蒸着のための方法が、真空処理室内にサブ
    ストレート支持電極を設け、該室内を排気し、反応蒸着
    ガスを該室へ導入し、更に室に高周波電力を附与し電極
    面に隣接した反応ガスからプラズマを発生させ、外部源
    から室に対して磁界を加え電極に隣接して電子を捕獲す
    る磁界を設け、反応ガスプラズマによってサブストレー
    ト面の選択的化学反応をつくりだすことを特徴とする上
    記方法。 4、薄膜の物理的現場スパッタリングによって蒸着膜の
    外形を制御するために圧力が変化させられることを特徴
    とする請求範囲第1項に記載の方法。 5、薄膜の特性を制御するために磁界が変化させられる
    ことを特徴とする請求範囲第1項に記載の方法。 6、薄膜の応力を制御するために磁界が変化させられる
    ことを特徴とする請求範囲第1項に記載の方法。 7、蒸着膜内の応力を制御するために蒸着中に磁界が低
    周波から高周波へと変化させられることを特徴とする請
    求範囲第1項に記載の方法。 8、蒸着膜内の応力を制御するために高周波と低周波の
    混成磁界が用いられることを特徴とする請求範囲第1項
    に記載の方法。 9、食刻反応ガスが反応ガスに加えられて蒸着中の薄膜
    を同時に食刻することを特徴とする請求の範囲第1項に
    記載の方法。 10、食刻反応ガスが反応ガスに加えられて蒸着中の薄
    膜を同時に食刻し薄膜の成長度を制御することを特徴と
    する請求範囲第1項に記載の方法。 11、食刻反応ガスが反応ガスに加えられて蒸着中の薄
    膜を同時に食刻し薄膜の成長度とステップ被覆外形を制
    御することを特徴とする請求の範囲第1項に記載の方法
    。 12、食刻ガスが反応ガスに加えられて蒸着中の薄膜を
    同時に食刻しそれによって薄膜の成長度を制御し、その
    後蒸着ガス流の反応室に入る食刻ガス流に対する割合が
    減少して蒸着された薄膜を食刻することを特徴とする請
    求の範囲第1項に記載の方法。 13、反応ガスが誘電性の金属と半導体の組成から選択
    された薄膜を蒸着するために選んだ成分から組成される
    ことを特徴とする請求の範囲第1項に記載の方法。 14、反応ガスがシリコン窒化物、シリコン酸窒化物、
    および二酸化シリコンから選ばれた誘電性薄膜を蒸着す
    るために選ばれた組成から成ることを特徴とする請求の
    範囲第1項に記載の方法。 15、薄膜がシリコン窒化物、シリコン酸窒化物および
    シリコン酸化物から選択され、1分あたり少なくとも約
    5,000オングストロームの蒸着率を与えるために蒸
    着変数が調節されることを特徴とする請求範囲第1項に
    記載の方法。 16、反応ガスの流量と磁界の強さが1分あたり少なく
    とも約5,000オングストロームに至る蒸着率でシリ
    コン窒化物を蒸着するために選択されることを特徴とす
    る請求の範囲第1項に記載の方法。 17、低水素含有量のシリコン窒化物膜を蒸着させるた
    めの反応ガスがシランと窒素であり、1分あたり少なく
    とも約5,000オングストロームに至るシリコン窒化
    物蒸着率を与えるために反応ガス流量と磁界の強さが選
    択されることを特徴とする請求の範囲第1項に記載の方
    法。 18、1分あたり少なくとも約5,000オングストロ
    ームに至る蒸着率でシリコン酸窒化物を蒸着させるため
    に磁界の強さが選択されることを特徴とする請求の範囲
    第1項に記載の方法。 19、1分あたり少なくとも約5,000オングストロ
    ームに及ぶ蒸着率で二酸化シリコンを蒸着するために磁
    界の強さが選ばれることを特徴とする請求範囲第1項に
    記載の方法。 20、磁界エンハンスによる反応ガスプラズマにより支
    持サブストレート構造上に形成され、約13%よりも少
    ない薄膜の水素含有量と、1分あたり約100オングス
    トロームよりも少ないウェット緩衝弗化水素の食刻率と
    、約1×10^1^0ダイン/cm^2の膜応力とを備
    えることを特徴とするシリコン窒化物膜。 21、磁界エンハンスによる反応ガスプラズマにより支
    持サブストレート構造上に形成され、約8%より少ない
    薄膜の水素含有量と、1分あたり約400オングストロ
    ームよりも少ないウェット緩衝弗化水素の食刻率と、約
    1×10^1^0ダイン/cm^2の膜応力とを備える
    ことを特徴とするシリコン酸窒化物膜。 22、磁界エンハンスによる反応ガスプラズマにより支
    持サブストレート構造上に形成され、1分あたり約1,
    100オングストロームより小さなウェット緩衝弗化水
    素の食刻率を約5×10gダイン/cm^2の膜応力と
    を備えることを特徴とする二酸化シリコン膜。 23、サブストレート上に導体、絶縁体もしくは金属膜
    を選択的に蒸着するための真空反応炉系統において、反
    応ガスを真空室内へ導入するために装着したガス入口を
    備えた真空室と、該室内に取付けられその上にサブスト
    レートを取付けるために取付けた少なくとも一つの面を
    備えた電極と、排出孔を経由して該室へと連結された真
    空手段と、電極に連結されサブストレート面上に蒸着さ
    せるために反応ガス内にプラズマを発生させるための高
    周波電源と、該電極にほぼ平行しにかつそれに隣接して
    磁界をつくり出すための磁界手段とを備えることを特徴
    とする上記系統。 24、磁界の強さを変化させるためにその内部に磁界手
    段が取付けられることを特徴とする請求範囲第23項に
    記載の反応炉系統。 25、磁界手段が室外側に一対のコイル電磁石を備える
    ことを特徴とする請求範囲第23項もしくは第24項に
    記載の反応炉系統。 26、真空室が円筒形であって、磁界手段が該室とほぼ
    同心円状の一対の間隔を置いたコイル電磁石と、室軸に
    対して平行な均一磁界を与えるために選んだ間隔距離を
    備えていることを特徴とする請求範囲第23項もしくは
    第24項に記載の反応炉系統。 27、ガス入口が吸入ガス流をサブストレート方向へ向
    ける形をした環状マニホルドを備えていることを特徴と
    する請求範囲第23項に記載の反応炉系統。 28、真空室排気口がサブストレートを環状マニホルド
    と整合し、サブストレートを横切りかつ環を経て排気口
    へとガス流をつくり出すことを特徴とする請求範囲第2
    7項に記載の反応炉系統。 29、電極が多角形の断面をしていてサブストレートを
    取付けるために設けた少なくとも二つの面を備えること
    を特徴とする請求範囲第23項に記載の反応炉系統。 30、ガス入口と真空室排気口が電極のそれぞれのサブ
    ストレート取付け面に設けられることを特徴とする請求
    範囲第27項に記載の反応炉系統。 31、サブストレート上に導体、絶縁体もしくは金属膜
    を選択的に蒸着させるための真空反応炉系統において、 反応ガスを室内へ導入するために装着され吸入ガス流を
    サブストレート方向へ向ける形をした環状マニホルドを
    備えたガス入口を備えた真空室と、該室内に取付けられ
    その上にサブストレートを取付けるために設けた少なく
    とも一つの面を備えた電極と、サブストレートと環状マ
    ニホルドと整合した排出口を経て該室に連結され、サブ
    ストレートを横切って環を経て排出口へ向かうガス流を
    つくり出す真空手段と、電極に連結されサブストレート
    面上に蒸着させるために反応ガス中にプラズマをつくり
    出すための高周波電源と、電極にほぼ平行にかつそれに
    隣接して磁界をつくりだすための磁界手段とを備え、該
    磁界手段は、真空室とほぼ同心円状になってサブストレ
    ートと平行な強さの変化する均一な磁界を与えるために
    選んだ間隔を備えた一対の間隔をおいて配置した電磁石
    を備えることを特徴とする上記系統。 32、サブストレート上に導体、絶縁体もしくは金属膜
    を選択的に蒸着させるための真空反応炉系統において、 反応ガスを室内へ導入するために装着し吸入ガス流をサ
    ブストレート方向へ向ける形をした環状マニホルドを備
    えたガス入口を備えた真空室と、室内に取付けられその
    上にサブストレートを取付けるために設けた少なくとも
    二つの面を備えた電極と、 サブストレートと環状マニホルドと整合した排気口を経
    て該室に連結されサブストレートを横切り環を経て排気
    口へ向かうガス流をつくりだすための真空手段と、電極
    に連結されてサブストレート面上に蒸着させるために反
    応ガス中にプラズマを発生させるための高周波電源と、
    電極に対してほぼ平行にかつそれに隣接して磁界をつく
    りだすための磁界手段とからなり、該磁界手段は、サブ
    ストレートに対して平行な均一磁界を与えるために位置
    決めされた永久磁石手段を備えることを特徴とする上記
    系統。 33、反応ガスを室内へ導入しサブストレートをそれの
    上に薄膜を蒸着させると同時もしくはそれと別個に食刻
    することを特徴とする請求範囲第23項、第31項もし
    くは第32項に記載の真空反応炉系統。
JP60239253A 1984-10-25 1985-10-25 平坦な基体上に膜を付着させるためのプラズマ反応炉 Expired - Lifetime JPH0696768B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/664,657 US4668365A (en) 1984-10-25 1984-10-25 Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US664657 1984-10-25

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP6147307A Division JPH07166360A (ja) 1984-10-25 1994-06-29 小寸法の高密度のステップ形状を有する基体上に反応ガスプラズマから膜を付着させる方法

Publications (2)

Publication Number Publication Date
JPS61179872A true JPS61179872A (ja) 1986-08-12
JPH0696768B2 JPH0696768B2 (ja) 1994-11-30

Family

ID=24666897

Family Applications (2)

Application Number Title Priority Date Filing Date
JP60239253A Expired - Lifetime JPH0696768B2 (ja) 1984-10-25 1985-10-25 平坦な基体上に膜を付着させるためのプラズマ反応炉
JP6147307A Pending JPH07166360A (ja) 1984-10-25 1994-06-29 小寸法の高密度のステップ形状を有する基体上に反応ガスプラズマから膜を付着させる方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP6147307A Pending JPH07166360A (ja) 1984-10-25 1994-06-29 小寸法の高密度のステップ形状を有する基体上に反応ガスプラズマから膜を付着させる方法

Country Status (4)

Country Link
US (1) US4668365A (ja)
EP (2) EP0612861A1 (ja)
JP (2) JPH0696768B2 (ja)
DE (1) DE3587964T2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6487772A (en) * 1987-07-15 1989-03-31 Boc Group Inc Adhesion of plasma supporting type silicon oxide
WO2012029709A1 (ja) * 2010-08-31 2012-03-08 株式会社島津製作所 非晶質窒化珪素膜およびその製造方法

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6784033B1 (en) 1984-02-15 2004-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for the manufacture of an insulated gate field effect semiconductor device
JPH0752718B2 (ja) * 1984-11-26 1995-06-05 株式会社半導体エネルギー研究所 薄膜形成方法
US6786997B1 (en) 1984-11-26 2004-09-07 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus
US4797527A (en) * 1985-02-06 1989-01-10 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Electrode for electric discharge machining and method for producing the same
US6113701A (en) 1985-02-14 2000-09-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method, and system
US6230650B1 (en) 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US5512102A (en) * 1985-10-14 1996-04-30 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6673722B1 (en) 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US4949671A (en) * 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
US5300951A (en) * 1985-11-28 1994-04-05 Kabushiki Kaisha Toshiba Member coated with ceramic material and method of manufacturing the same
US5366554A (en) * 1986-01-14 1994-11-22 Canon Kabushiki Kaisha Device for forming a deposited film
US4974543A (en) * 1986-02-28 1990-12-04 Xerox Corporation Apparatus for amorphous silicon film
US4873605A (en) * 1986-03-03 1989-10-10 Innovex, Inc. Magnetic treatment of ferromagnetic materials
US4786361A (en) * 1986-03-05 1988-11-22 Kabushiki Kaisha Toshiba Dry etching process
US5308431A (en) * 1986-04-18 1994-05-03 General Signal Corporation System providing multiple processing of substrates
US5013385A (en) * 1986-04-18 1991-05-07 General Signal Corporation Quad processor
EP0246453A3 (en) * 1986-04-18 1989-09-06 General Signal Corporation Novel multiple-processing and contamination-free plasma etching system
US5102495A (en) * 1986-04-18 1992-04-07 General Signal Corporation Method providing multiple-processing of substrates
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
US5044314A (en) * 1986-10-15 1991-09-03 Advantage Production Technology, Inc. Semiconductor wafer processing apparatus
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US5215619A (en) * 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
EP0565212A2 (en) * 1986-12-19 1993-10-13 Applied Materials, Inc. Iodine etch process for silicon and silicides
US5277939A (en) * 1987-02-10 1994-01-11 Semiconductor Energy Laboratory Co., Ltd. ECR CVD method for forming BN films
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
JPS63244619A (ja) * 1987-03-30 1988-10-12 Sumitomo Metal Ind Ltd プラズマ装置
FR2614317B1 (fr) * 1987-04-22 1989-07-13 Air Liquide Procede de protection de substrat polymerique par depot par plasma de composes du type oxynitrure de silicium et dispositif pour sa mise en oeuvre.
US4912065A (en) * 1987-05-28 1990-03-27 Matsushita Electric Industrial Co., Ltd. Plasma doping method
DE3719616A1 (de) * 1987-06-12 1988-12-29 Leybold Ag Verfahren und vorrichtung zur beschichtung eines substrats
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
KR910007384B1 (ko) * 1987-09-16 1991-09-25 가부시끼가이샤 한도다이 에네르기 겐뀨쇼 초전도 산화물 형성방법 및 장치
WO1989003587A1 (en) * 1987-10-14 1989-04-20 The Furukawa Electric Co., Ltd. Method and apparatus for thin film formation by plasma cvd
JP2512783B2 (ja) * 1988-04-20 1996-07-03 株式会社日立製作所 プラズマエッチング方法及び装置
FR2631346B1 (fr) * 1988-05-11 1994-05-20 Air Liquide Revetement protecteur multicouche pour substrat, procede de protection de substrat par depot par plasma d'un tel revetement, revetements obtenus et leurs applications
JPH0223613A (ja) * 1988-07-12 1990-01-25 Tokyo Ohka Kogyo Co Ltd プラズマ反応装置
WO1990004045A1 (en) * 1988-10-14 1990-04-19 Advantage Production Technology Inc. Semiconductor wafer processing method and apparatus
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
DE3902628A1 (de) * 1989-01-30 1990-08-02 Hauni Elektronik Gmbh Duennschichtmaterial fuer sensoren oder aktuatoren und verfahren zu dessen herstellung
US5421891A (en) * 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH0784662B2 (ja) * 1989-12-12 1995-09-13 アプライドマテリアルズジャパン株式会社 化学的気相成長方法とその装置
US4971655A (en) * 1989-12-26 1990-11-20 Micron Technology, Inc. Protection of a refractory metal silicide during high-temperature processing using a dual-layer cap of silicon dioxide and silicon nitride
DE4010672A1 (de) * 1990-04-03 1991-10-10 Leybold Ag Verfahren und vorrichtung zur behandlung von werkstuecken durch reaktives ionenaetzen
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
CA2039109A1 (en) * 1990-04-23 1991-10-24 David B. Chang Selective emissivity coatings for interior temperature reduction of an enclosure
FR2661688B1 (fr) * 1990-05-02 1992-07-17 Air Liquide Revetement multicouche pour substrat polycarbonate et procede d'elaboration d'un tel revetement.
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5274270A (en) * 1990-12-17 1993-12-28 Nchip, Inc. Multichip module having SiO2 insulating layer
JP3139031B2 (ja) * 1991-02-21 2001-02-26 日本板硝子株式会社 熱線遮蔽ガラス
JP3670277B2 (ja) 1991-05-17 2005-07-13 ラム リサーチ コーポレーション 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法
DE4118973C2 (de) * 1991-06-08 1999-02-04 Fraunhofer Ges Forschung Vorrichtung zur plasmaunterstützten Bearbeitung von Substraten und Verwendung dieser Vorrichtung
US5212118A (en) * 1991-08-09 1993-05-18 Saxena Arjun N Method for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates
US5204138A (en) * 1991-12-24 1993-04-20 International Business Machines Corporation Plasma enhanced CVD process for fluorinated silicon nitride films
JP2791525B2 (ja) * 1992-04-16 1998-08-27 三菱電機株式会社 反射防止膜の選定方法およびその方法により選定された反射防止膜
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
EP0602595B1 (en) * 1992-12-15 1997-07-23 Applied Materials, Inc. Vaporizing reactant liquids for CVD
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
JPH06326026A (ja) 1993-04-13 1994-11-25 Applied Materials Inc 半導体装置の薄膜形成方法
CH687987A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Verfahren zur Erhoehung der Beschichtungsrate in einem Plasmaentladungsraum und Plasmakammer.
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
US5851602A (en) * 1993-12-09 1998-12-22 Applied Materials, Inc. Deposition of high quality conformal silicon oxide thin films for the manufacture of thin film transistors
DE69433836D1 (de) 1993-12-28 2004-07-15 Applied Materials Inc Verfahren zur plasma-unterstützten chemischen Dampfabscheidung von Silizium-Oxynitridschichten
JP3080843B2 (ja) * 1994-08-24 2000-08-28 松下電器産業株式会社 薄膜形成方法及び装置
EP0723944A1 (en) * 1995-01-26 1996-07-31 Optical Coating Laboratory, Inc. Wear resistant windows
JPH08203884A (ja) * 1995-01-31 1996-08-09 Mitsubishi Electric Corp オキシナイトライド膜およびその形成方法ならびにそのオキシナイトライド膜を用いた素子分離酸化膜の形成方法
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
JP3979687B2 (ja) * 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
US6144544A (en) * 1996-10-01 2000-11-07 Milov; Vladimir N. Apparatus and method for material treatment using a magnetic field
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
JP3343200B2 (ja) * 1997-05-20 2002-11-11 東京エレクトロン株式会社 プラズマ処理装置
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
EP1034566A1 (en) * 1997-11-26 2000-09-13 Applied Materials, Inc. Damage-free sculptured coating deposition
US7253109B2 (en) * 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
US6015476A (en) * 1998-02-05 2000-01-18 Applied Materials, Inc. Plasma reactor magnet with independently controllable parallel axial current-carrying elements
US7378146B1 (en) * 1998-08-05 2008-05-27 International Business Machines Corporation Transparent hard coats for optical elements
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6579421B1 (en) 1999-01-07 2003-06-17 Applied Materials, Inc. Transverse magnetic field for ionized sputter deposition
TW455912B (en) * 1999-01-22 2001-09-21 Sony Corp Method and apparatus for film deposition
US6251776B1 (en) * 1999-04-02 2001-06-26 Advanced Micro Devices, Inc. Plasma treatment to reduce stress corrosion induced voiding of patterned metal layers
JP4393677B2 (ja) 1999-09-14 2010-01-06 株式会社堀場エステック 液体材料気化方法および装置並びに制御バルブ
US6372668B2 (en) * 2000-01-18 2002-04-16 Advanced Micro Devices, Inc. Method of forming silicon oxynitride films
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP4009087B2 (ja) * 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置における磁気発生装置、半導体製造装置および磁場強度制御方法
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
AU2002341591A1 (en) * 2001-09-14 2003-04-01 Tokyo Electron Limited Plasma processing apparatus with coil magnet system
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6937127B2 (en) * 2002-09-09 2005-08-30 Oster Magnetics, Inc. Apparatus for manipulating magnetic fields
DE10247051A1 (de) * 2002-10-09 2004-04-22 Polymer Latex Gmbh & Co Kg Latex und Verfahren zu seiner Herstellung
US7458335B1 (en) 2002-10-10 2008-12-02 Applied Materials, Inc. Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils
KR101177127B1 (ko) * 2002-11-29 2012-08-24 오씨 외를리콘 발처스 악티엔게젤샤프트 기판의 제조 방법 및 이를 위한 장치
US7422654B2 (en) * 2003-02-14 2008-09-09 Applied Materials, Inc. Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US7100954B2 (en) * 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US8470141B1 (en) * 2005-04-29 2013-06-25 Angstrom Sciences, Inc. High power cathode
US7967911B2 (en) * 2006-04-11 2011-06-28 Applied Materials, Inc. Apparatus and methods for chemical vapor deposition
KR101244590B1 (ko) * 2006-05-31 2013-03-25 도쿄엘렉트론가부시키가이샤 플라즈마 cvd 방법, 질화 규소막의 형성 방법 및 반도체 장치의 제조 방법
JP2008071982A (ja) * 2006-09-15 2008-03-27 Hitachi Industrial Equipment Systems Co Ltd 変圧器
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
US7496423B2 (en) * 2007-05-11 2009-02-24 Applied Materials, Inc. Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots
US8366997B2 (en) 2009-02-10 2013-02-05 Shoto Technologies Llc Modular laboratory automation system
WO2013170052A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
EP2674513B1 (en) 2009-05-13 2018-11-14 SiO2 Medical Products, Inc. Vessel coating and inspection
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
JP5594773B2 (ja) * 2010-09-30 2014-09-24 国立大学法人九州大学 選択成膜方法、成膜装置、及び構造体
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US8697198B2 (en) * 2011-03-31 2014-04-15 Veeco Ald Inc. Magnetic field assisted deposition
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
CN103930595A (zh) 2011-11-11 2014-07-16 Sio2医药产品公司 用于药物包装的钝化、pH保护性或润滑性涂层、涂布方法以及设备
WO2014071061A1 (en) 2012-11-01 2014-05-08 Sio2 Medical Products, Inc. Coating inspection method
EP2920567B1 (en) 2012-11-16 2020-08-19 SiO2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
EP2738790A1 (en) * 2012-11-28 2014-06-04 Abengoa Solar New Technologies, S.A. Procedure for preparing one single barrier and/or dielectric layer or multilayer on a substrate and device for the implementation thereof
US9764093B2 (en) * 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
WO2014085346A1 (en) * 2012-11-30 2014-06-05 Sio2 Medical Products, Inc. Hollow body with inside coating
WO2014134577A1 (en) 2013-03-01 2014-09-04 Sio2 Medical Products, Inc. Plasma or cvd pre-treatment for lubricated pharmaceutical package, coating process and apparatus
WO2014164928A1 (en) 2013-03-11 2014-10-09 Sio2 Medical Products, Inc. Coated packaging
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
WO2014144926A1 (en) 2013-03-15 2014-09-18 Sio2 Medical Products, Inc. Coating method
US11066745B2 (en) 2014-03-28 2021-07-20 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
US10151025B2 (en) * 2014-07-31 2018-12-11 Seagate Technology Llc Helmholtz coil assisted PECVD carbon source
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
CA3204930A1 (en) 2015-08-18 2017-02-23 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10006123B2 (en) * 2016-05-10 2018-06-26 The Boeing Company Species controlled chemical vapor deposition
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI686106B (zh) * 2019-01-25 2020-02-21 國立清華大學 場發射手持式常壓電漿產生裝置
CN113496869A (zh) * 2020-04-03 2021-10-12 重庆超硅半导体有限公司 一种外延基底用硅晶片之背面膜层及制造方法
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5756036A (en) * 1980-09-20 1982-04-03 Mitsubishi Electric Corp Plasma chemical vapor phase reactor
JPS57161057A (en) * 1981-03-30 1982-10-04 Mitsubishi Electric Corp Chemical vapor phase growth device using plasma
JPS60200956A (ja) * 1984-03-23 1985-10-11 Anelva Corp 放電反応装置
JPS619577U (ja) * 1984-06-23 1986-01-21 ダイキン工業株式会社 スクロ−ル形流体機械

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3558348A (en) * 1968-04-18 1971-01-26 Bell Telephone Labor Inc Dielectric films for semiconductor devices
US4155825A (en) * 1977-05-02 1979-05-22 Fournier Paul R Integrated sputtering apparatus and method
GB2009249B (en) * 1977-11-24 1982-06-30 Lysaght Australia Ltd Coating mass controll using magnetic field
US4265729A (en) * 1978-09-27 1981-05-05 Vac-Tec Systems, Inc. Magnetically enhanced sputtering device
DE2941559C2 (de) * 1979-10-13 1983-03-03 Messerschmitt-Bölkow-Blohm GmbH, 8000 München Verfahren zum Abscheiden von Silizium auf einem Substrat
US4361472A (en) * 1980-09-15 1982-11-30 Vac-Tec Systems, Inc. Sputtering method and apparatus utilizing improved ion source
US4487162A (en) * 1980-11-25 1984-12-11 Cann Gordon L Magnetoplasmadynamic apparatus for the separation and deposition of materials
DE3280026D1 (en) * 1981-05-29 1989-12-21 Kanegafuchi Chemical Ind Process for preparing amorphous silicon semiconductor
US4512283A (en) * 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
IN160089B (ja) * 1982-07-14 1987-06-27 Standard Oil Co Ohio
JPS5944831A (ja) * 1982-09-07 1984-03-13 Toshiba Corp 薄膜堆積方法
US4500409A (en) * 1983-07-19 1985-02-19 Varian Associates, Inc. Magnetron sputter coating source for both magnetic and non magnetic target materials
US4500408A (en) * 1983-07-19 1985-02-19 Varian Associates, Inc. Apparatus for and method of controlling sputter coating

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5756036A (en) * 1980-09-20 1982-04-03 Mitsubishi Electric Corp Plasma chemical vapor phase reactor
JPS57161057A (en) * 1981-03-30 1982-10-04 Mitsubishi Electric Corp Chemical vapor phase growth device using plasma
JPS60200956A (ja) * 1984-03-23 1985-10-11 Anelva Corp 放電反応装置
JPS619577U (ja) * 1984-06-23 1986-01-21 ダイキン工業株式会社 スクロ−ル形流体機械

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6487772A (en) * 1987-07-15 1989-03-31 Boc Group Inc Adhesion of plasma supporting type silicon oxide
WO2012029709A1 (ja) * 2010-08-31 2012-03-08 株式会社島津製作所 非晶質窒化珪素膜およびその製造方法

Also Published As

Publication number Publication date
JPH07166360A (ja) 1995-06-27
EP0612861A1 (en) 1994-08-31
JPH0696768B2 (ja) 1994-11-30
DE3587964T2 (de) 1995-05-11
DE3587964D1 (de) 1995-02-09
EP0179665A2 (en) 1986-04-30
EP0179665B1 (en) 1994-12-28
EP0179665A3 (en) 1989-02-08
US4668365A (en) 1987-05-26

Similar Documents

Publication Publication Date Title
JPS61179872A (ja) マグネトロンエンハンスプラズマ補助式化学蒸着のための装置ならびに方法
US4668338A (en) Magnetron-enhanced plasma etching process
Shwartz Handbook of semiconductor interconnection technology
US5770098A (en) Etching process
EP0478174B1 (en) Silicon dioxide deposition method
KR20010013723A (ko) 고밀도 저압 플라즈마 반응기에서 금속 및 금속 규화물질화방법
US5591301A (en) Plasma etching method
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
US6451620B2 (en) Method for etching organic film, method for fabricating semiconductor device and pattern formation method
EP1035568B1 (en) Method of plasma processing
EP0933802A1 (en) Process for the production of semiconductor device
JP3838397B2 (ja) 半導体製造方法
JPH09312297A (ja) 薄膜のプラズマアニール
US6642143B2 (en) Method of producing semiconductor device
US6811831B1 (en) Method for depositing silicon nitride
US6492279B1 (en) Plasma etching methods
JP3931394B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP4128365B2 (ja) エッチング方法及びエッチング装置
JP3500178B2 (ja) ドライエッチング方法
JPH0614522B2 (ja) 表面処理方法及び表面処理装置
JP3220528B2 (ja) 真空処理装置
JP2006237640A (ja) 半導体製造方法
JPH11220024A (ja) 半導体集積回路の製造方法及びその製造装置
JPH07226378A (ja) 成膜方法およびこれに用いるプラズマ装置
JPH11176806A (ja) プラズマ処理粒子制御のためのプラズマ・パージの方法