JPH0685413B2 - 半導体基板への絶縁領域の形成方法 - Google Patents

半導体基板への絶縁領域の形成方法

Info

Publication number
JPH0685413B2
JPH0685413B2 JP60505028A JP50502885A JPH0685413B2 JP H0685413 B2 JPH0685413 B2 JP H0685413B2 JP 60505028 A JP60505028 A JP 60505028A JP 50502885 A JP50502885 A JP 50502885A JP H0685413 B2 JPH0685413 B2 JP H0685413B2
Authority
JP
Japan
Prior art keywords
layer
groove
poly
silicon
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP60505028A
Other languages
English (en)
Other versions
JPS62500762A (ja
Inventor
ヒル ロジヤース,ステイーブン
ステイーブン ムント,ランドル
アン カヤ,デニーズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NCR International Inc
Original Assignee
NCR International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NCR International Inc filed Critical NCR International Inc
Publication of JPS62500762A publication Critical patent/JPS62500762A/ja
Publication of JPH0685413B2 publication Critical patent/JPH0685413B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/043Dual dielectric
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/05Etch and refill
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/133Reflow oxides and glasses

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は、半導体基板の表面に溝を形成し、前記溝の内
面を含む前記表面の上に絶縁物層を形成し、前記溝の内
外における前記絶縁物層の上にリフロー可能な誘電体材
料層を形成し、税リフロー可能な誘電体材料を加熱する
各工程を含む、半導体基板中に誘電体絶縁領域を形成す
る方法に関する。特に、半導体基板に対して、側壁がほ
ぼ垂直で内部に二酸化シリコン誘電体材料を含む溝から
なる誘電体絶縁領域を設ける方法に関する。
〔従来の技術〕
半導体基板に溝をエッチングして誘電体材料を満たすこ
とによって誘電体絶縁領域を形成する方法は公知であ
る。例えば、ラング(Rung)ほかの論文(IEEE Interna
tional Electron Devices Meeting(IEDM),1982,P.237
−240)はシリコン基板の溝の側壁を酸化し、該溝をポ
リシリコンまたはデポジットされた酸化物で満たし、ポ
リ/酸化物をエッチングし、この構造体を酸化物でカバ
ーする溝絶縁方法を開示している。
溝絶縁方式は溝の幅対深さ比が小さく、比較的処理が簡
単であり、垂直壁絶縁領域の画成が優良であり、表面が
ほぼ平坦であるというこの方式固有の利点を有してい
る。
しかし、他のVLSIの形状と同様、VLSIおよびこれからの
モノリシック集積回路技術に要求されるような高密度集
積を達成するためには、絶縁溝の幅をミクロン以下の大
きさにおさえなければならない。また、この絶縁溝は、
溝幅が狭いだけでなく、VLSIの絶縁のために相当の深さ
が必要である。この狭く深い溝構造を誘電体で満たそう
とすると、米国特許第4,104,086号に示すようにポイン
ト(空泡領域)が増加し、溝を誘電体で完全に満たすの
は難しい。そのため上記米国特許は溝の側壁を高精度の
テーパ形状として、そのテーパ角を溝の大きさに応じて
変えるようにしてボイドの形成を防止するようにしてい
る。この出願の第1図はその米国特許のデータを例示し
たもので、溝の側壁が垂直な場合にはデポジットされた
二酸化シリコンの側壁が負傾斜状態に形成され、その結
果ボイドが発生するということを示している。上記米国
特許では、高度にドープされた表面近接領域を有するシ
リコン基板の基板表面と絶縁酸化物のボイドの深さとの
関係を、テーパを有する側壁を使用して制御するように
している。
簡単にそれを説明すると、それは(1)反応性イオン・
エッチング(RIE)の使用により溝をテーパ状に形成
し、(2)溝の外郭に薄い熱酸化物層を成長させ、
(3)CVD酸化物をデポジットし、(4)RIEを使用して
CVD酸化物をエッチングし、(5)選択的に900℃〜950
℃のスチームでアニールして二酸化シリコンの“質”を
高める各工程を含む方法である。
垂直側壁は、集積密度を上げたりエッチング等の解像度
すなわち描画精度を上げるためには望ましいのである
が、この米国特許に開示されているデータ(第1図)
は、このような垂直な壁の場合には酸化シリコンをデポ
ジットしたときに酸化シリコン中にボイドを生じさせ易
いことを示している。また、このボイドは溝の幅が増
え、テーパ角が増加すれば酸化物の深い位置に埋め込ま
れる。逆に、溝幅が狭く垂直の場合にはボイドは表面近
くに形成され、平坦化のためのエッチング(エッチ・バ
ック)により、該ボイドが露出する。このボイドの露出
が電気的短絡等の原因となる。
前記のようなプロセスは、溝絶縁構造体を形成する方法
を開示している米国特許第4,404,735号により知られて
いる。それによると、まず最初に、プラズマ・エッチン
グ、リアクティブ・スパッタ・エッチングまたはイオン
・ビーム・エッチングのようなドライ・エッチングを使
用して、溝を形成する。次に、二酸化シリコンを厚さ50
0〜1000Åに薄くデポジットすることにより、溝をカバ
ーする。これにより、シリコン絶縁層を基板から分離
し、その後のレーザ・リフロー処理による基板の加熱及
び絶縁層から基板へのドーピングを防ぐ。次に、シリコ
ンまたはドープド・ガラス(ホスホシリケート・ガラス
PSG)を使用して、CVD層が溝の深さよりやや浅く、か
つ、溝の幅の半分より少ない厚さに形成される。PSG/シ
リコンはレーザ加熱により後からリフローされる。
〔発明が解決しようとする課題〕
本質的には、この公知の方法はレーザ・リフロー処理を
して薄いシリコンまたはPSG層により狭い溝を満たすこ
とである。換言すると、溝を満たす層がその溝内に初期
の浅い厚さに形成され、レーザ加熱を使用して、溝の外
から溝の中に材料を流し込むことにより溝への充填を行
なう。ブロッキング二酸化シリコン層の500〜1000Åと
いう厚さについては、薄い方の厚さは熱シールドおよび
ドーパント阻止作用を達成するという観点から問題があ
り、厚い方は、前記した深さおよび幅の寸法の均一性の
観点から問題がある。さらに、素子製造工程での基板の
高温の処理の際における上記先行技術の二酸化シリコン
層のドーパント阻止作用の有効性には限界がある。本発
明は、上記従来技術の問題点を解決することを目的とす
る。さらに、本発明は平坦化の容易な溝絶縁領域の形成
方法を提供することを目的とする。
〔課題を解決するための手段〕
本発明は、前記絶縁材料層がエッチ・ストップ層と酸化
およびドーパント阻止層とを含み、前記リフロー性誘電
体材料層が、n形またはp形不純物を約3乃至9重量%
ドープした二酸化シリコン層であり、前記二酸化シリコ
ン層がリフローするのに十分な時間、前記基板を加熱し
てボイドをつぶすと共にほぼ平坦な上面を形成し、前記
リフローした二酸化シリコン層をエッチングし、エッチ
ング阻止体として前記エッチ・ストップ層を利用するこ
とにより前記溝の外側のデポジットされた二酸化シリコ
ンと溝の外側の前記ドーパント阻止層とを除去し、その
結果生じた構造体を加熱して前記二酸化シリコン層の表
面隣接領域から不純物ドーパントを除去し、前記溝の外
側の活性領域から前記エッチ・ストップ層を除去する各
工程を含む誘電体絶縁領域の形成方法により上記目的を
達成する。また本発明は、前記二酸化シリコン誘電体材
料との下に、ポリシリコン層および窒化シリコン層とを
含む多層構造を形成することにより上記目的を達成す
る。
〔実施例〕
第2図において、半導体基板10は典型的にはシリコンで
ある。例えば、NMOS集積回路における基板は1〜20Ω−
cmの固有抵抗を有するp−シリコンである。CMOS製造に
おける典型的な初期構造は、n+基板上にn−エピタキ
シャル層を有する構造である。基板10のエッチングに
は、紫外線で露光することによって形成される典型的な
ホトレジスト・マスクを使用することができる他、同様
の多くの方法およびそれらを組み合わせたマスクを使用
することができる。さらに、X線またはイオン・ビーム
露光に適したマスク材料を使用することもできる。ホト
レジスト層を基板10に形成し、それを露光現像して溝幅
に対応する開口12を有するエッチ・マスク11を形成す
る。図面の寸法は、原寸にはとらわれず、表示上の観点
から適宜選択されている。
その後、好ましくは異方性エッチング処理により、典型
的には1〜6ミクロンの深さまで溝をエッチングして、
垂直側壁14−14を有する溝13を作成する。
好ましいエッチング方法の1つは、窒素トリフルオリド
(NF3)のようなフッ素エッチヤント・ガスを利用した
リアクティブ・イオン・エッチングである。リアクティ
ブ・イオン・エッチングにおいては、物理的な破壊要素
すなわちイオン衝撃による破壊要素15の方が化学反応に
よる破壊要素を上回っており、そのためアクティブ装置
領域に横侵食することなく、有効な絶縁に必要かつ十分
な幅の誘電体領域を形成するための望ましい垂直側壁を
作ることができる。
第3図において、溝エッチングの後、マスク11はプラズ
マ・エッチングのような方法で除去される。次に、950
〜1,150℃のスチーム中での熱酸化または化学蒸着(CV
D)によって、約300〜1,000Å厚の応力除去酸化物層16
を形成する。酸化物層16は、その下のシリコン基板10と
その上の層である多結晶シリコン・エッチ・ストップ層
17との間の応力除去を行う。この多結晶シリコン・エッ
チ・ストップ層17は、600〜800℃下で水素およびアルゴ
ン中のシランを使用するLPCVD技術(低圧化学蒸着)に
よって、例えば、約1,000〜3,000Åの厚さに形成され
る。
ポリ層17は平坦化(プレーナライズ)処理中、下部構
造、特に基板のエッチングを防止する。酸化物層16の主
な目的は、基板10の応力除去であり、これにより基板10
が応力により歪を生じて電気配線及び素子形成等の障害
とならないように基板10を保護する。
その後、第3図に示すように、約800℃の反応温度にお
いて、シランおよびアンモニアを使用して好ましくは低
圧化学蒸着によって厚さ1,000〜2,500Åの窒化シリコン
・バリヤ層18が、ポリシリコン層17上に形成される。窒
化シリコン層18はその後の処理中、その下部構造体、特
に基板10のドーピングおよび酸化に対するバリヤとな
る。この窒化シリコン層18によって、基板またはポリシ
リコンまたは下部構造体に影響を及ぼすことなく、ドー
プされた絶縁酸化物の形成、リフロー処理及び絶縁酸化
物内のドーパントのその後のガス放出を可能にする。
次に、第4図に示すように、例えば低圧化学蒸着を使用
して、燐またはボロンのようなn形またはp形不純物を
3〜9重量%の含んだ約2.5ミクロン厚さのドープされ
た二酸化シリコン・ガラス層19を形成する。これによっ
て、二酸化シリコン・ガラス層19に必要なリフロー特性
をあたえることができる。典型的には、反応物質として
シランおよび酸素、n形ドーパントとしてのホスパイン
(phospine)、反応温度として約500〜800℃が使用され
る。
第4図に示すように、典型的な場合として、深い溝の中
に二酸化シリコン層その他の誘電体層が形成される場合
には、生成された二酸化シリコン層19には、ボイド21−
21を含んでいる。
ボイドは、デポジットされる二酸化シリコンの溝の底22
及び側壁14−14への到達角が、溝の上端23−23への到達
角に比べて小さいということから発生する。これは上端
に比べて底および側壁に対するデポジション成長速度は
相対的に低いということである。デポジション速度の差
異は第1図のデータで証明されるような負傾斜の側壁を
生じさせ、その結果、端部領域23−23において酸化物の
成長が速く、底領域を満たす前に溝の開口を塞いでしま
う。その結果生じたボイド21−21はその後の酸化物成長
によってまたはポリマー層のような平坦化(プレーナラ
イズ)材料の供給によって満たされない。
ボイドはドープド・ガラスを平坦化するためにその後行
なわれるエッチ・バックで露出して、不純物または化合
物処理の際の受け入れ開口となったり、その後の金属化
処理の際にボイドの中にデポジットされた金属が流れ込
み、他の素子等と電気的短絡を生じるおそれがある。
この方法において、ドープド・ガラスはガラスの厚さお
よび溝の大きさにより約30分から4時間の間、窒素雰囲
気(非酸化性)またはスチーム(酸化性)内で約950〜
1,150℃の温度で溶解され、リフローされる。第5図を
見ると、この時間は処理温度及び二酸化シリコン層19の
厚さにより変化する。すなわち二酸化シリコン層19が厚
い場合には長い処理時間を必要とし、処理温度が高いと
きには短い時間でよい。
この処理によって、約5ミクロン以下の溝幅であれば、
ボイド21−21をつぶし、ほぼ平坦な形状となるまでガラ
スの上表面26をリフローすることができる。ガラス・デ
ポジションおよびリフロー処理工程中、窒化シリコン層
18はその下部のポリシリコン層17の酸化およびドーピン
グに対するバリヤとなる。
溝幅が5ミクロン以上の場合、平坦化を促進するため
に、リフロー後にPMMAのようなポリマー層27を、適当な
厚さまでデポジットする。絶縁溝幅が5ミクロン以上の
広さである場合には、リフロー処理により充填物が溝内
に溶融することにより、溝の上部がアクティブ領域より
窪んでしまう。そこで平坦化のためにポリマー層をデポ
ジットして表面を平坦にした後エッチングすることによ
りその後の処理に適した平坦面を形成する。このように
することにより、溝幅1〜50ミクロンまでの広い範囲の
溝に本発明の処理方法を適用可能であることが、この発
明の処理の利点の1つである。この後の下部ガラスの平
坦化処理は、PMMAおよびガラスをほぼ等しい速度で除去
するエッチングを使用することによって行われる。
次に、第6図に示すように、溝構造29−29上の生成ガラ
ス・フィールド絶縁領域19−19の表面28がポリシリコン
・エッチ・ストップ/バッファ層17の表面と同じ高さま
たはそれ以下の高さになるまで、二酸化シリオン絶縁層
19がエッチ・バックされる。エッチングは緩衝フッ化水
素のようなセレクティブ・ウェット・ケミカル・エッチ
ャントを使用するか、CHF3エッチャント・ガスでエッチ
ングする反応イオンのようなドライ・エッチングを使用
することにより行うことができる。
第6図に示すように、RIE酸化物エッチングにより、ま
た溝の外側の窒化シリコン層18も除去する。
もし任意のポリマー層を使用する場合、それは二酸化シ
リオンの除去と同じリアクティブ・イオン・エッチング
処理を使用して除去することができる。ポリシリコン層
17をエッチ・ストップとして使用するため、ポリマー2
7、酸化物19および窒化物18のエッチングに使用するエ
ッチャントは、ポリを侵食しないものであることが望ま
しい。これによって、アクティブ領域31のような領域へ
のオーバエッチングを防止することができる。
次の工程は約2〜8時間窒素雰囲気下において約1,000
〜1,200℃の温度で、溝酸化物19−19か燐またはボロン
・ドーパントをガス放出することである。この工程はボ
ロン・ドーパントのガラス表面枯渇のため、および、例
えばCMOS集積回路の優良なドライブ・インのために使用
することができる。ガス放出加熱サイクル中、窒化シリ
コン層は再びバリヤとして働き、基板を含むその下部溝
構造へのドーピングまたは酸化を防止する。バリヤを通
じて、シリコン基板10に対しわずかな量でもドーパント
が拡散されると、渦流漏洩電流路を形成する。
次に、第7図に示すように、ポリシリコン層17は31−31
のようなアクティブ領域から除去される。ポリシリコン
はリアクティブ・イオン・エッチングまたはウエット・
ケミカル・エッチングを使用して除去することができ
る。その後に標準的集積回路形成のための処理が適用さ
れる。
第7図に示す溝構造29−29は、微小形状の高密度集積回
路に必要な狭く相当深い垂直壁を有するボイドのない構
造である。さらに、窒化シリコン・ブロッキング層18、
ポリシリコン・エッチ・ストップ/バッファ層17および
応力除去層16はそのまま残され、誘電絶縁に寄与する。
その上この本発明により製造されるこの構造は、高精度
の外国製の装置を使用することも、高度に精巧な溝形状
形成処理工程も使用することなく作ることができる。
第3A図の本発明の第2の実施例においては、窒化シリコ
ン・ブロッキング層48の上にポリシリコン・エッチ・ス
トップ層47が形成される。
この窒化物上ポリ構造は、窒化物がポリの上に形成され
たとき(第3図、第4図)に生じるかもしれないガラス
絶縁層19と窒化物との間の相互作用の可能性を除去する
という利点がある。しかし、酸化性雰囲気でのリフロー
処理では、ポリシリコン酸化によりポリ・エッチ・スト
ップ層47が消費される可能性がある。そのため、酸化性
雰囲気でのリフロー処理は第3A図の窒化物上ポリ構造の
場合には使用されない。この第3A図のポリ−窒化物−酸
化物複合体の製造方法は、窒化物48がポリ・エッチ・ス
トップ層47の下にあるために、ガラス19のエッチ・バッ
ク中にこれを除去することができないことを除き、第3
図の窒化物−ポリ−酸化物の構造について説明したもの
と同様である。但し、エッチングの順番は、ガラス19,
ポリ47および窒化物48の順である。
第3A図のポリ47、窒化物48、酸化物16は第3図のポリ1
7、窒化物18、酸化物16を行ったと同じ作用、すなわ
ち、エッチ・ストップ、酸化およびドーパントのブロッ
キング、応力除去等の機能を有する。
さらに、本発明の他の実施例を第3B図にしめす。ここで
はポリ−窒化物−ポリ−酸化物の順番で各層が形成され
る。ここで外側のポリ層47は窒化物18のためのバリヤと
して作用し、例えば酸化物リフロー中、ガラス絶縁層19
とのいかなる窒化物反応をも排除する。また、酸化性雰
囲気下におけるリフロー処理中、ポリ47の酸化が溝構造
を満たすことを助ける。
窒化物18は内側のポリ層17の酸化を阻止し、外側のポリ
層47に対するリフロー処理工程中ポリ17の消費を防止す
る。かくして窒化物層18は内側のポリ層17を維持し、リ
フロー処理中、酸化性雰囲気の使用を可能にする。その
結果、窒化物18およびポリ17は、第3図の窒化物18およ
びポリ17と同じように、それぞれ酸化とドーパント・ブ
ロッキングおよびエッチ・ストップ作用とを実行する。
ポリ−窒化物−ポリ−酸化物複合構造体の製造方法は、
第3図の窒化物−ポリ構造に第2のポリCVD工程を追加
したものである。もしポリ47がリフロー処理工程中に消
費されない場合には、ガラス19、ポリ47、窒化物18およ
びポリ17は、順次除去される。もし、リフロー処理中
に、ポリ47が全面的に消費されたならば、第3図の構造
に使用した除去シークエンスを使用することができる。
第8図は、本発明の溝絶縁構造29に基くMOSFET構造の1
例である。図に示す場合、相補構造のNMOSFETはn形エ
ピタキシャル層34のp井戸33中に形成される。FETはソ
ースおよびドレイン拡散36、37とLDD注入38とを含み、
その全てはゲート側壁スペーサ41、レベル間誘電体42、
アルミニウム相互接続線43と同様ポリシリコン39と自己
整合される。以上説明したことは、本発明の溝絶縁構造
および方法を適用しうる種々のNMOS、PMOS、CMOS,バイ
ポーラおよびその他の応用についての一例にすぎない。
〔発明の効果〕
本発明によると、ドープされたシリカ・ガラスの高温に
おけるリフロー特性を利用することにより、微小形状高
密度モノリシック集積回路に必要とされる寸法の異なる
絶縁溝の形成中にボイドが発生するのを防止することが
できる。
窒化シリコン・バリヤ層は、リフロー処理及びドーパン
ト除去のための高温処理の際に、その下部にある構造
体、特に半導体基板へのドーピングおよび酸化を防止す
る。また、ポリシリコン層17は酸化物の平坦化のための
エッチングの際のエッチ・ストップ層として働き、その
下のシリコン酸化物層16は4層複合溝構造の応力を減少
する。本発明方法は種々の幅の溝構造の垂直側壁の使用
を可能にする。
このように垂直側壁を用いることにより、テーパ溝の場
合に比べて、溝のために使用するウエハ領域の消費を少
くすることができる。その上、この方法によると、高解
像度(高精度描画)のホトリソブラフ処理に適した平坦
なウエハ表面を提供することができる。さらに、本発明
は手の込んだ工程または寸法の制御を必要とせず、従来
の構造装置等を利用して従来の製造方式を有効かつ巧妙
に組み合わせることにより、上記のような構造を形成で
きるという利点を有する。
このように、本発明の方法によると、ボイドない高質の
プレーナ溝絶縁構造を提供することができる。
図面の簡単な説明 第1図は、溝の側壁が垂直である場合に、溝内部に充填
される二酸化シリコンのような誘電体が負に傾斜した状
態(オーバーハング状態)に形成され、これによりボイ
ドが発生し易いということを表す先行技術のデータを例
示した図である。
第2図乃至第7図は、本発明の方法によりボイドのない
二酸化シリコン溝絶縁構造を形成するための各工程の好
ましい実施例を示す断面図である。
第3A図および第3B図は、それぞれ、本発明による第2の
実施例及び第3の実施例にかかる工程を説明するための
断面図である。
第8図は、本発明の方法により形成した溝絶縁構造体の
上に作られる典型的なIC構造体を表わす。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 カヤ,デニーズ アン アメリカ合衆国 80863 コロラド ウツ ドランド パーク,ステイト ロード 2241エイ (56)参考文献 特開 昭57−113250(JP,A) 特開 昭57−133646(JP,A)

Claims (1)

    【特許請求の範囲】
  1. 【請求項1】半導体基板(10)の表面にほぼ垂直な側壁
    を有する溝(13)を形成し、 前記溝(13)の内表面を含む前記基板表面上に酸化物層
    (16)、ポリシリコン層(17)、及び窒化シリコン層
    (18)を順次形成し、 前記窒化シリコン層(18)の上に、n形またはp形不純
    物を約3−9重量%ドープした二酸化シリコン層から成
    るリフロー可能な誘電体材料層(19)を形成し、 前記窒化シリコン層(18)をドーパント・ブロッキング
    層として用いて、前記基板(10)全体を約950から1150
    ℃の温度に加熱し、前記誘電体材料層(19)を溶融して
    内部のボイドをつぶし、 前記ポリシリコン層(17)をエッチ・ストップ層として
    用いて、ウェットまたはドライ・エッチングにより前記
    誘電体材料層(19)及び溝(13)の外側領域の窒化シリ
    コン層(18)を除去して平坦化し、 前記窒化シリコン層(18)をドーパント・ブロッキング
    層として用いて、前記基板全体を加熱して前記二酸化シ
    リコン層(19)の表面隣接領域から不純物ドーパントを
    除去し、 前記溝外の領域から前記ポリシリコン層(17)を除去す
    る 各工程を含むことを特徴とする半導体基板(10)への誘
    電体絶縁領域(29)の形成方法。
JP60505028A 1984-11-01 1985-10-28 半導体基板への絶縁領域の形成方法 Expired - Fee Related JPH0685413B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US667181 1984-11-01
US06/667,181 US4571819A (en) 1984-11-01 1984-11-01 Method for forming trench isolation structures
PCT/US1985/002119 WO1986002777A1 (en) 1984-11-01 1985-10-28 Process for forming isolation regions in a semiconductor substrate

Publications (2)

Publication Number Publication Date
JPS62500762A JPS62500762A (ja) 1987-03-26
JPH0685413B2 true JPH0685413B2 (ja) 1994-10-26

Family

ID=24677153

Family Applications (1)

Application Number Title Priority Date Filing Date
JP60505028A Expired - Fee Related JPH0685413B2 (ja) 1984-11-01 1985-10-28 半導体基板への絶縁領域の形成方法

Country Status (5)

Country Link
US (1) US4571819A (ja)
EP (1) EP0200771B1 (ja)
JP (1) JPH0685413B2 (ja)
DE (1) DE3571893D1 (ja)
WO (1) WO1986002777A1 (ja)

Families Citing this family (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4604162A (en) * 1983-06-13 1986-08-05 Ncr Corporation Formation and planarization of silicon-on-insulator structures
US4653177A (en) * 1985-07-25 1987-03-31 At&T Bell Laboratories Method of making and selectively doping isolation trenches utilized in CMOS devices
US5462767A (en) * 1985-09-21 1995-10-31 Semiconductor Energy Laboratory Co., Ltd. CVD of conformal coatings over a depression using alkylmetal precursors
US4671970A (en) * 1986-02-05 1987-06-09 Ncr Corporation Trench filling and planarization process
US4666556A (en) * 1986-05-12 1987-05-19 International Business Machines Corporation Trench sidewall isolation by polysilicon oxidation
US4842675A (en) * 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
US4892614A (en) * 1986-07-07 1990-01-09 Texas Instruments Incorporated Integrated circuit isolation process
US4755477A (en) * 1987-03-24 1988-07-05 Industrial Technology Research Institute Overhang isolation technology
US4735679A (en) * 1987-03-30 1988-04-05 International Business Machines Corporation Method of improving silicon-on-insulator uniformity
GB2207281B (en) * 1987-07-24 1992-02-05 Plessey Co Plc A method of providing refilled trenches
US4871689A (en) * 1987-11-17 1989-10-03 Motorola Inc. Multilayer trench isolation process and structure
US4855804A (en) * 1987-11-17 1989-08-08 Motorola, Inc. Multilayer trench isolation process and structure
US4960727A (en) * 1987-11-17 1990-10-02 Motorola, Inc. Method for forming a dielectric filled trench
US4876217A (en) * 1988-03-24 1989-10-24 Motorola Inc. Method of forming semiconductor structure isolation regions
US5104482A (en) * 1989-02-21 1992-04-14 Lam Research Corporation Simultaneous glass deposition and viscoelastic flow process
JPH04505035A (ja) * 1989-02-21 1992-09-03 ラム・リサーチ・コーポレーション 新規なガラスの蒸着時の粘弾性流動法
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5164218A (en) * 1989-05-12 1992-11-17 Nippon Soken, Inc. Semiconductor device and a method for producing the same
CA2016449C (en) * 1989-07-28 1996-06-25 Steven J. Hillenius Planar isolation technique for integrated circuits
JP2822656B2 (ja) * 1990-10-17 1998-11-11 株式会社デンソー 半導体装置およびその製造方法
JP3308556B2 (ja) * 1991-05-08 2002-07-29 日本電気株式会社 半導体装置の製造方法
JPH0574927A (ja) * 1991-09-13 1993-03-26 Nec Corp 半導体装置の製造方法
US5480832A (en) * 1991-10-14 1996-01-02 Nippondenso Co., Ltd. Method for fabrication of semiconductor device
US5244827A (en) * 1991-10-31 1993-09-14 Sgs-Thomson Microelectronics, Inc. Method for planarized isolation for cmos devices
JP2874486B2 (ja) * 1991-11-29 1999-03-24 ソニー株式会社 ポリッシュ工程を備えたトレンチアイソレーションの形成方法及び半導体装置の製造方法
EP0545263B1 (en) * 1991-11-29 2002-06-19 Sony Corporation Method of forming trench isolation having polishing step and method of manufacturing semiconductor device
US5254873A (en) * 1991-12-09 1993-10-19 Motorola, Inc. Trench structure having a germanium silicate region
US5190889A (en) * 1991-12-09 1993-03-02 Motorola, Inc. Method of forming trench isolation structure with germanium silicate filling
JP3057882B2 (ja) * 1992-03-09 2000-07-04 日本電気株式会社 半導体装置の製造方法
US5229316A (en) * 1992-04-16 1993-07-20 Micron Technology, Inc. Semiconductor processing method for forming substrate isolation trenches
US5433794A (en) * 1992-12-10 1995-07-18 Micron Technology, Inc. Spacers used to form isolation trenches with improved corners
US5278103A (en) * 1993-02-26 1994-01-11 Lsi Logic Corporation Method for the controlled formation of voids in doped glass dielectric films
JPH06342846A (ja) * 1993-04-07 1994-12-13 Mitsubishi Electric Corp トレンチ分離構造を有する半導体装置およびその製造方法
US5516720A (en) * 1994-02-14 1996-05-14 United Microelectronics Corporation Stress relaxation in dielectric before metallization
FR2717306B1 (fr) * 1994-03-11 1996-07-19 Maryse Paoli Procédé d'isolement de zones actives d'un substrat semi-conducteur par tranchées peu profondes, notamment étroites, et dispositif correspondant.
US5492858A (en) * 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
EP0687001B1 (en) * 1994-06-08 2002-01-16 Samsung Electronics Co., Ltd. Device isolation method for a semiconductor device
US5459096A (en) * 1994-07-05 1995-10-17 Motorola Inc. Process for fabricating a semiconductor device using dual planarization layers
US5960300A (en) * 1994-12-20 1999-09-28 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device
US5616513A (en) * 1995-06-01 1997-04-01 International Business Machines Corporation Shallow trench isolation with self aligned PSG layer
TW389999B (en) * 1995-11-21 2000-05-11 Toshiba Corp Substrate having shallow trench isolation and method of manufacturing the same
US6919260B1 (en) 1995-11-21 2005-07-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate having shallow trench isolation
KR0179807B1 (ko) * 1995-12-30 1999-03-20 문정환 반도체 기억소자 제조방법
US5888876A (en) * 1996-04-09 1999-03-30 Kabushiki Kaisha Toshiba Deep trench filling method using silicon film deposition and silicon migration
KR0183886B1 (ko) * 1996-06-17 1999-04-15 김광호 반도체장치의 트렌치 소자분리 방법
US5757059A (en) * 1996-07-30 1998-05-26 International Business Machines Corporation Insulated gate field effect transistor
JP3904676B2 (ja) * 1997-04-11 2007-04-11 株式会社ルネサステクノロジ トレンチ型素子分離構造の製造方法およびトレンチ型素子分離構造
US6069058A (en) * 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
JPH10321716A (ja) * 1997-05-16 1998-12-04 Texas Instr Japan Ltd 半導体装置及びその製造方法
US6306722B1 (en) * 1999-05-03 2001-10-23 United Microelectronics Corp. Method for fabricating shallow trench isolation structure
US6118167A (en) * 1997-11-13 2000-09-12 National Semiconductor Corporation Polysilicon coated nitride-lined shallow trench
EP2221852B1 (en) 1998-01-15 2012-05-09 Cornell Research Foundation, Inc. Trench isolation for micromechanical devices
US6699794B1 (en) * 1998-03-09 2004-03-02 Siemens Aktiengesellschaft Self aligned buried plate
KR100280106B1 (ko) 1998-04-16 2001-03-02 윤종용 트렌치 격리 형성 방법
US6362064B2 (en) * 1998-04-21 2002-03-26 National Semiconductor Corporation Elimination of walkout in high voltage trench isolated devices
US6146970A (en) * 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6074903A (en) * 1998-06-16 2000-06-13 Siemens Aktiengesellschaft Method for forming electrical isolation for semiconductor devices
JP2000031264A (ja) * 1998-07-08 2000-01-28 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100287181B1 (ko) * 1998-09-21 2001-04-16 윤종용 트렌치소자분리영역을갖는반도체소자및그제조방법
KR100292616B1 (ko) * 1998-10-09 2001-07-12 윤종용 트렌치격리의제조방법
JP3661444B2 (ja) * 1998-10-28 2005-06-15 株式会社ルネサステクノロジ 半導体装置、半導体ウエハ、半導体モジュールおよび半導体装置の製造方法
US6200880B1 (en) * 1998-11-16 2001-03-13 United Microelectronics Corp. Method for forming shallow trench isolation
US6238998B1 (en) * 1998-11-20 2001-05-29 International Business Machines Corporation Shallow trench isolation on a silicon substrate using nitrogen implant into the side wall
US6037238A (en) * 1999-01-04 2000-03-14 Vanguard International Semiconductor Corporation Process to reduce defect formation occurring during shallow trench isolation formation
KR100322531B1 (ko) * 1999-01-11 2002-03-18 윤종용 파임방지막을 이용하는 반도체소자의 트랜치 소자분리방법 및이를 이용한 반도체소자
TW400605B (en) * 1999-01-16 2000-08-01 United Microelectronics Corp The manufacturing method of the Shallow Trench Isolation (STI)
KR100312943B1 (ko) * 1999-03-18 2001-11-03 김영환 반도체장치 및 그의 제조방법
KR100319620B1 (ko) * 1999-05-10 2002-01-05 김영환 반도체 소자의 격리구조 및 그 제조방법
US6365489B1 (en) 1999-06-15 2002-04-02 Micron Technology, Inc. Creation of subresolution features via flow characteristics
KR100338767B1 (ko) * 1999-10-12 2002-05-30 윤종용 트렌치 소자분리 구조와 이를 갖는 반도체 소자 및 트렌치 소자분리 방법
JP2001118919A (ja) * 1999-10-15 2001-04-27 Seiko Epson Corp 半導体装置およびその製造方法
KR100308793B1 (ko) * 1999-10-18 2001-11-02 윤종용 반도체 소자 제조방법
US20020071169A1 (en) 2000-02-01 2002-06-13 Bowers John Edward Micro-electro-mechanical-system (MEMS) mirror device
US6753638B2 (en) * 2000-02-03 2004-06-22 Calient Networks, Inc. Electrostatic actuator for micromechanical systems
US6583025B2 (en) * 2000-07-10 2003-06-24 Samsung Electronics Co., Ltd. Method of forming a trench isolation structure comprising annealing the oxidation barrier layer thereof in a furnace
US6482075B1 (en) * 2000-09-27 2002-11-19 Lsi Logic Corporation Process for planarizing an isolation structure in a substrate
US6825967B1 (en) 2000-09-29 2004-11-30 Calient Networks, Inc. Shaped electrodes for micro-electro-mechanical-system (MEMS) devices to improve actuator performance and methods for fabricating the same
JP2003007872A (ja) * 2001-06-27 2003-01-10 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6544863B1 (en) 2001-08-21 2003-04-08 Calient Networks, Inc. Method of fabricating semiconductor wafers having multiple height subsurface layers
JP4139105B2 (ja) * 2001-12-20 2008-08-27 株式会社ルネサステクノロジ 半導体装置の製造方法
DE10200678B4 (de) * 2002-01-10 2006-05-11 Infineon Technologies Ag Verfahren zum Bearbeiten eines Substrats zum Ausbilden einer Struktur
US7728339B1 (en) 2002-05-03 2010-06-01 Calient Networks, Inc. Boundary isolation for microelectromechanical devices
KR100461330B1 (ko) * 2002-07-19 2004-12-14 주식회사 하이닉스반도체 반도체 소자의 sti 형성공정
US6828211B2 (en) * 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
JP4135564B2 (ja) * 2002-11-12 2008-08-20 株式会社デンソー 半導体基板およびその製造方法
KR100513799B1 (ko) * 2003-06-30 2005-09-13 주식회사 하이닉스반도체 트렌치형 소자분리막을 구비한 반도체 소자의 제조 방법
WO2005088694A1 (ja) * 2004-03-16 2005-09-22 Ishikawajima-Harima Heavy Industries Co., Ltd. 半導体装置の製造方法
KR100614655B1 (ko) * 2005-01-13 2006-08-22 삼성전자주식회사 반도체 장치의 소자분리막을 형성하는 방법
US7141486B1 (en) 2005-06-15 2006-11-28 Agere Systems Inc. Shallow trench isolation structures comprising a graded doped sacrificial silicon dioxide material and a method for forming shallow trench isolation structures
US7279377B2 (en) * 2005-08-10 2007-10-09 Micron Technology, Inc. Method and structure for shallow trench isolation during integrated circuit device manufacture
KR100677998B1 (ko) * 2005-09-30 2007-02-02 동부일렉트로닉스 주식회사 반도체 소자의 셸로우 트렌치 소자분리막 제조 방법
US8563090B2 (en) * 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
KR101608902B1 (ko) * 2009-11-12 2016-04-05 삼성전자주식회사 소자 분리 구조물을 갖는 반도체 소자
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
JP5916676B2 (ja) * 2013-09-20 2016-05-11 株式会社東芝 インクジェットヘッド、インクジェット記録装置及びインクジェットヘッドの製造方法
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9564357B2 (en) * 2014-01-24 2017-02-07 Taiwan Semiconductor Manufacturing Company Limited Method of forming semiconductor device using etch stop layer
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
TWI638401B (zh) * 2017-07-06 2018-10-11 旺宏電子股份有限公司 半導體元件及其製造方法
US10580789B2 (en) 2017-07-10 2020-03-03 Macronix International Co., Ltd. Semiconductor device having etching control layer in substrate and method of fabricating the same
CN109256389B (zh) * 2017-07-13 2021-06-11 旺宏电子股份有限公司 半导体元件及其制造方法
US11067750B2 (en) 2019-01-28 2021-07-20 Cisco Technology, Inc. Silicon photonics platform with integrated oxide trench edge coupler structure
CN110517985B (zh) * 2019-09-03 2021-08-17 中国电子科技集团公司第五十八研究所 一种提高高压器件抗辐照性能的方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57113250A (en) * 1980-12-29 1982-07-14 Fujitsu Ltd Semiconductor device
JPS57133646A (en) * 1981-02-12 1982-08-18 Fujitsu Ltd Semiconductor integrated circuit device and manufacture thereof

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4104086A (en) * 1977-08-15 1978-08-01 International Business Machines Corporation Method for forming isolated regions of silicon utilizing reactive ion etching
JPS56160050A (en) * 1980-05-14 1981-12-09 Fujitsu Ltd Semiconductor device and manufacture thereof
US4307180A (en) * 1980-08-22 1981-12-22 International Business Machines Corp. Process of forming recessed dielectric regions in a monocrystalline silicon substrate
US4356211A (en) * 1980-12-19 1982-10-26 International Business Machines Corporation Forming air-dielectric isolation regions in a monocrystalline silicon substrate by differential oxidation of polysilicon
JPS57113248A (en) * 1980-12-29 1982-07-14 Fujitsu Ltd Manufacture of semiconductor device
JPS57204133A (en) * 1981-06-10 1982-12-14 Hitachi Ltd Manufacture of semiconductor integrated circuit
JPS5848936A (ja) * 1981-09-10 1983-03-23 Fujitsu Ltd 半導体装置の製造方法
US4385975A (en) * 1981-12-30 1983-05-31 International Business Machines Corp. Method of forming wide, deep dielectric filled isolation trenches in the surface of a silicon semiconductor substrate
NL8200782A (nl) * 1982-02-26 1983-09-16 Philips Nv Werkwijze ter vervaardiging van een halfgeleiderinrichting en halfgeleiderinrichting vervaardigd door toepassing van deze werkwijze.
US4473598A (en) * 1982-06-30 1984-09-25 International Business Machines Corporation Method of filling trenches with silicon and structures
EP0104765B1 (en) * 1982-08-24 1989-06-21 Nippon Telegraph And Telephone Corporation Substrate structure of semiconductor device and method of manufacturing the same
JPS5950540A (ja) * 1982-09-17 1984-03-23 Oki Electric Ind Co Ltd 半導体装置の製造方法
US4519128A (en) * 1983-10-05 1985-05-28 International Business Machines Corporation Method of making a trench isolated device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57113250A (en) * 1980-12-29 1982-07-14 Fujitsu Ltd Semiconductor device
JPS57133646A (en) * 1981-02-12 1982-08-18 Fujitsu Ltd Semiconductor integrated circuit device and manufacture thereof

Also Published As

Publication number Publication date
JPS62500762A (ja) 1987-03-26
WO1986002777A1 (en) 1986-05-09
DE3571893D1 (en) 1989-08-31
US4571819A (en) 1986-02-25
EP0200771B1 (en) 1989-07-26
EP0200771A1 (en) 1986-11-12

Similar Documents

Publication Publication Date Title
JPH0685413B2 (ja) 半導体基板への絶縁領域の形成方法
US4656497A (en) Trench isolation structures
US5902127A (en) Methods for forming isolation trenches including doped silicon oxide
US6383882B1 (en) Method for fabricating MOS transistor using selective silicide process
US6303418B1 (en) Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
US7402473B2 (en) Semiconductor device and process for producing the same
US6387765B2 (en) Method for forming an extended metal gate using a damascene process
US6077733A (en) Method of manufacturing self-aligned T-shaped gate through dual damascene
US4952524A (en) Semiconductor device manufacture including trench formation
US5413953A (en) Method for planarizing an insulator on a semiconductor substrate using ion implantation
US20030119276A1 (en) Semiconductor device and process for producing the same
US6350665B1 (en) Semiconductor structure and method of making contacts and source and/or drain junctions in a semiconductor device
JPH0628283B2 (ja) 半導体基板に拡散領域を形成する方法
US6475865B1 (en) Method of fabricating semiconductor device
JPH04213870A (ja) 半導体装置のドーピング方法
US5856227A (en) Method of fabricating a narrow polycide gate structure on an ultra-thin gate insulator layer
JP2003523626A (ja) 半導体装置の製造方法
US6316330B1 (en) Method of fabricating a shallow trench isolation semiconductor device
JPH06177239A (ja) トレンチ素子分離構造の製造方法
US6204127B1 (en) Method of manufacturing bit lines in memory
JPH05315442A (ja) 半導体装置の製造方法
US6169026B1 (en) Method for planarization of semiconductor device including pumping out dopants from planarization layer separately from flowing said layer
KR20010019185A (ko) 트렌치 격리 제조 방법
JP2001093861A (ja) 半導体装置及び半導体装置の製造方法
JP2000200830A (ja) トレンチ素子分離領域を有する半導体装置の製造方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

S631 Written request for registration of reclamation of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313631

S633 Written request for registration of reclamation of name

Free format text: JAPANESE INTERMEDIATE CODE: R313633

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees