JPH06501124A - 拡張可能riscマイクロプロセッサ・アーキテクチャ - Google Patents
拡張可能riscマイクロプロセッサ・アーキテクチャInfo
- Publication number
- JPH06501124A JPH06501124A JP5502153A JP50215393A JPH06501124A JP H06501124 A JPH06501124 A JP H06501124A JP 5502153 A JP5502153 A JP 5502153A JP 50215393 A JP50215393 A JP 50215393A JP H06501124 A JPH06501124 A JP H06501124A
- Authority
- JP
- Japan
- Prior art keywords
- instruction
- unit
- register
- data
- address
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000000872 buffer Substances 0.000 claims abstract description 123
- 238000012545 processing Methods 0.000 claims abstract description 35
- 230000006870 function Effects 0.000 claims description 51
- 230000004044 response Effects 0.000 claims description 20
- 238000013461 design Methods 0.000 claims description 5
- 230000004048 modification Effects 0.000 claims description 3
- 238000012986 modification Methods 0.000 claims description 3
- 230000000977 initiatory effect Effects 0.000 claims description 2
- 238000009940 knitting Methods 0.000 claims 4
- 230000008878 coupling Effects 0.000 claims 1
- 238000010168 coupling process Methods 0.000 claims 1
- 238000005859 coupling reaction Methods 0.000 claims 1
- 238000000034 method Methods 0.000 description 80
- 238000012546 transfer Methods 0.000 description 41
- 239000013598 vector Substances 0.000 description 37
- 238000010586 diagram Methods 0.000 description 23
- 230000001360 synchronised effect Effects 0.000 description 21
- 230000008859 change Effects 0.000 description 17
- 230000008569 process Effects 0.000 description 13
- 238000006243 chemical reaction Methods 0.000 description 12
- 238000004364 calculation method Methods 0.000 description 11
- 230000008901 benefit Effects 0.000 description 8
- 230000001960 triggered effect Effects 0.000 description 8
- GVBNSPFBYXGREE-UHFFFAOYSA-N Visnadine Natural products C1=CC(=O)OC2=C1C=CC1=C2C(OC(C)=O)C(OC(=O)C(C)CC)C(C)(C)O1 GVBNSPFBYXGREE-UHFFFAOYSA-N 0.000 description 7
- 230000033001 locomotion Effects 0.000 description 7
- 238000013519 translation Methods 0.000 description 6
- 238000001514 detection method Methods 0.000 description 5
- 238000006073 displacement reaction Methods 0.000 description 5
- 238000003860 storage Methods 0.000 description 5
- 230000002457 bidirectional effect Effects 0.000 description 4
- 239000012267 brine Substances 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- HPALAKNZSZLMCH-UHFFFAOYSA-M sodium;chloride;hydrate Chemical compound O.[Na+].[Cl-] HPALAKNZSZLMCH-UHFFFAOYSA-M 0.000 description 3
- 241000257465 Echinoidea Species 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 230000003111 delayed effect Effects 0.000 description 2
- 230000001419 dependent effect Effects 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 235000013399 edible fruits Nutrition 0.000 description 2
- 239000000284 extract Substances 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 230000001965 increasing effect Effects 0.000 description 2
- 239000011159 matrix material Substances 0.000 description 2
- 230000000644 propagated effect Effects 0.000 description 2
- 239000002689 soil Substances 0.000 description 2
- 239000000243 solution Substances 0.000 description 2
- 235000021419 vinegar Nutrition 0.000 description 2
- 239000000052 vinegar Substances 0.000 description 2
- RZVAJINKPMORJF-UHFFFAOYSA-N Acetaminophen Chemical compound CC(=O)NC1=CC=C(O)C=C1 RZVAJINKPMORJF-UHFFFAOYSA-N 0.000 description 1
- 101100269886 Arabidopsis thaliana ANN2 gene Proteins 0.000 description 1
- 244000201986 Cassia tora Species 0.000 description 1
- 102100035396 Cingulin-like protein 1 Human genes 0.000 description 1
- 244000241257 Cucumis melo Species 0.000 description 1
- 235000015510 Cucumis melo subsp melo Nutrition 0.000 description 1
- 101000737619 Homo sapiens Cingulin-like protein 1 Proteins 0.000 description 1
- 102100030482 Hypoxia-inducible factor 3-alpha Human genes 0.000 description 1
- 101710083143 Hypoxia-inducible factor 3-alpha Proteins 0.000 description 1
- 241000406668 Loxodonta cyclotis Species 0.000 description 1
- 241000282376 Panthera tigris Species 0.000 description 1
- 241000269435 Rana <genus> Species 0.000 description 1
- 241000220317 Rosa Species 0.000 description 1
- 241001138418 Sequoia sempervirens Species 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- FJJCIZWZNKZHII-UHFFFAOYSA-N [4,6-bis(cyanoamino)-1,3,5-triazin-2-yl]cyanamide Chemical compound N#CNC1=NC(NC#N)=NC(NC#N)=N1 FJJCIZWZNKZHII-UHFFFAOYSA-N 0.000 description 1
- 206010000210 abortion Diseases 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- SQQXRXKYTKFFSM-UHFFFAOYSA-N chembl1992147 Chemical compound OC1=C(OC)C(OC)=CC=C1C1=C(C)C(C(O)=O)=NC(C=2N=C3C4=NC(C)(C)N=C4C(OC)=C(O)C3=CC=2)=C1N SQQXRXKYTKFFSM-UHFFFAOYSA-N 0.000 description 1
- 238000012790 confirmation Methods 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 230000001186 cumulative effect Effects 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- ZINJLDJMHCUBIP-UHFFFAOYSA-N ethametsulfuron-methyl Chemical compound CCOC1=NC(NC)=NC(NC(=O)NS(=O)(=O)C=2C(=CC=CC=2)C(=O)OC)=N1 ZINJLDJMHCUBIP-UHFFFAOYSA-N 0.000 description 1
- 238000005111 flow chemistry technique Methods 0.000 description 1
- 238000011010 flushing procedure Methods 0.000 description 1
- LNEPOXFFQSENCJ-UHFFFAOYSA-N haloperidol Chemical compound C1CC(O)(C=2C=CC(Cl)=CC=2)CCN1CCCC(=O)C1=CC=C(F)C=C1 LNEPOXFFQSENCJ-UHFFFAOYSA-N 0.000 description 1
- 238000007689 inspection Methods 0.000 description 1
- 206010023497 kuru Diseases 0.000 description 1
- 238000013507 mapping Methods 0.000 description 1
- 239000003550 marker Substances 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 230000008520 organization Effects 0.000 description 1
- NCAIGTHBQTXTLR-UHFFFAOYSA-N phentermine hydrochloride Chemical compound [Cl-].CC(C)([NH3+])CC1=CC=CC=C1 NCAIGTHBQTXTLR-UHFFFAOYSA-N 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 230000004083 survival effect Effects 0.000 description 1
- 238000012549 training Methods 0.000 description 1
- 238000003079 width control Methods 0.000 description 1
Classifications
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30145—Instruction analysis, e.g. decoding, instruction word fields
- G06F9/3016—Decoding the operand specifier, e.g. specifier format
- G06F9/30167—Decoding the operand specifier, e.g. specifier format of immediate specifier, e.g. constants
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline, look ahead
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30003—Arrangements for executing specific machine instructions
- G06F9/3005—Arrangements for executing specific machine instructions to perform operations for flow control
- G06F9/30054—Unconditional branch instructions
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30098—Register arrangements
- G06F9/30101—Special purpose registers
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30098—Register arrangements
- G06F9/30105—Register structure
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30098—Register arrangements
- G06F9/30105—Register structure
- G06F9/30112—Register structure comprising data of variable length
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30098—Register arrangements
- G06F9/30105—Register structure
- G06F9/30116—Shadow registers, e.g. coupled registers, not forming part of the register space
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30098—Register arrangements
- G06F9/3012—Organisation of register space, e.g. banked or distributed register file
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30098—Register arrangements
- G06F9/3012—Organisation of register space, e.g. banked or distributed register file
- G06F9/30123—Organisation of register space, e.g. banked or distributed register file according to context, e.g. thread buffers
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30098—Register arrangements
- G06F9/3012—Organisation of register space, e.g. banked or distributed register file
- G06F9/3013—Organisation of register space, e.g. banked or distributed register file according to data content, e.g. floating-point registers, address registers
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30098—Register arrangements
- G06F9/3012—Organisation of register space, e.g. banked or distributed register file
- G06F9/30134—Register stacks; shift registers
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30098—Register arrangements
- G06F9/30141—Implementation provisions of register files, e.g. ports
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/32—Address formation of the next instruction, e.g. by incrementing the instruction counter
- G06F9/322—Address formation of the next instruction, e.g. by incrementing the instruction counter for non-sequential address
- G06F9/327—Address formation of the next instruction, e.g. by incrementing the instruction counter for non-sequential address for interrupts
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline, look ahead
- G06F9/3802—Instruction prefetching
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline, look ahead
- G06F9/3802—Instruction prefetching
- G06F9/3804—Instruction prefetching for branches, e.g. hedging, branch folding
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline, look ahead
- G06F9/3802—Instruction prefetching
- G06F9/3814—Implementation provisions of instruction buffers, e.g. prefetch buffer; banks
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline, look ahead
- G06F9/3824—Operand accessing
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline, look ahead
- G06F9/3824—Operand accessing
- G06F9/3826—Bypassing or forwarding of data results, e.g. locally between pipeline stages or within a pipeline stage
- G06F9/3828—Bypassing or forwarding of data results, e.g. locally between pipeline stages or within a pipeline stage with global bypass, e.g. between pipelines, between clusters
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline, look ahead
- G06F9/3836—Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline, look ahead
- G06F9/3836—Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
- G06F9/3838—Dependency mechanisms, e.g. register scoreboarding
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline, look ahead
- G06F9/3836—Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
- G06F9/3838—Dependency mechanisms, e.g. register scoreboarding
- G06F9/384—Register renaming
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline, look ahead
- G06F9/3836—Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
- G06F9/3853—Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution of compound instructions
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline, look ahead
- G06F9/3854—Instruction completion, e.g. retiring, committing or graduating
- G06F9/3858—Result writeback, i.e. updating the architectural state or memory
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline, look ahead
- G06F9/3861—Recovery, e.g. branch miss-prediction, exception handling
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline, look ahead
- G06F9/3861—Recovery, e.g. branch miss-prediction, exception handling
- G06F9/3865—Recovery, e.g. branch miss-prediction, exception handling using deferred exception handling, e.g. exception flags
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline, look ahead
- G06F9/3885—Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units
Abstract
Description
Claims (1)
- 【特許請求の範囲】 1.プログラム・ストリームを実行するためのマイクロプロセッサであって、 a)命令を命令ストアからフェッチする手段であって、あらかじめ定めた複数の 命令を命令バッファに置いておくフェッチ手段と、 b)複数の命令を同時並行に実行するための実行手段であって、前記命令バッフ ァに結合され、実行すべき命令を選択するための手段と、命令で指定された機能 オペレーションを実行するための複数の機能ユニット手段とを含み、該複数の機 能ユニット手段はそれぞれの命令を実行する際に同時並行オペレーションが可能 である実行手段と を備えたことを特徴とするマイクロプロセッサ。 2.請求の範囲第1項に記載のマイクロプロセッサにおいて、前記実行手段は、 データをストアするためのレジスタ手段と、該レジスタ手段を前記複数の機能ユ ニット手段に並列に結合して前記レジスタ手段と前記複数の機能ユニット手段と の間でデータを転送するための手段とをさらに含むことを特徴とするマイクロプ ロセッサ。 3.請求の範囲第2項に記載のマイクロプロセッサにおいて、前記複数の機能ユ ニット手段はデータに対してあらかじめ定めた機能オペレーション・セットを実 行し、および前記選択手段は前記レジスタ手段に結合されて該複数の機能ユニッ ト手段へ転送すべきあらかじめ定めたデータを選択し、および前記複数の機能ユ ニット手段に結合されて、前記機能ユニット手段のうち前記あらかじめ定めたデ ータに対してそれぞれの機能オペレーションを実行するためのあらかじめ定めた 機能ユニットを選択することを特徴とするマイクロプロセッサ。 4.請求の範囲第3項に記載のマイクロプロセッサにおいて、前記複数の機能ユ ニット手段による機能オペレーションの実行は相互に独立しており、前記複数の 機能ユニット手段の各々は前記選択手段に結合されて、前記複数の機能ユニット 手段のそれぞれの実行ステータス(状況)を示すステータス信号を前記選択手段 に送ることを特徴とするマイクロプロセッサ。 5.請求の範囲第4項に記載のマイクロプロセッサにおいて、前記結合手段は、 複数のデータを前記レジスタ手段から前記複数の機能ユニット手段へ同時並行に 転送し、および複数のデータを前記複数の機能ユニット手段から前記レジスタ手 段へ同時並行に転送するためのバス手段を含むことを特徴とするマイクロプロセ ッサ。 6,プログラム・ストアに結合されたマイクロプロセッサであって、 実行すべき命令ストリームを取り出すための命令フェッチ・ユニットであって、 実行が保留されている複数の命令をストアしておくためのバッファを含む命令フ ェッチ・ユニットと、 前記バッファに結合された命令実行ユニットであって、複数の機能ユニットを含 み、該機能ユニットの各々は、データに対して計算オペレーションを独立に実行 するための手段、前記機能ユニットの各々へデータを供給するための手段であっ て、それぞれのデータを前記複数の機能ユニットのあらかじめ定めたものへ並列 に転送することを可能にする手段、および同時並行に実行すべき保留中の命令の セットを選択するための手段を含む命令実行ユニットと を備えたことを特徴とするマイクロプロセッサ。 7.請求の範囲第6項に記載のマイクロプロセッサにおいて、前記機能ユニット の各々はそれぞれのステータス信号を出力し、前記選択手段は前記ステータス信 号の各々を受信するように前記複数の機能ユニットに結合され、前記選択手段は 前記バッファから選択された命令を実行するために前記複数の機能ユニットの各 々のステータスを決定することを特徴とするマイクロプロセッサ。 8.請求の範囲第7項に記載のマイクロプロセッサにおいて、前記選択手段は前 記バッファから選択された命令の実行を同時並行に開始するために前記複数の機 能ユニットの各々のステータスを決定することを特徴とするマイクロプロセッサ 。 9.請求の範囲第7項に記載のマイクロプロセッサにおいて、前記選択手段は前 記複数の機能ユニットによって同時並行に実行させるための命令を統一して選択 し、それにより前記選択手段は前記複数の機能ユニットによって実行される機能 の変更を容易にサポートし、該変更には、前記機能ユニットのあらかじめ定めた ひとつ的よって実行される機能を変更すること、および前記機能ユニットの追加 機能ユニットを設けることが含まれることを特徴とするマイクロプロセッサ。 10.請求の範囲第7項に記載のマイクロプロセッサにおいて、前記選択手段は 、前記バッファに結合されて、実行すべき命令が使用可能であるかどうかを決定 するための手段と、前記それぞれのステータス信号に応答して、前記複数の機能 ユニットを通した命令の処理の開始をスケジュールするための手段とを含み、該 スケジュール手段は前記決定手段によっての実行のために使用可能であると決定 され、および前記スケジュール手段が前記複数の機能ユニットのうちあらかじめ 定めた機能を実施する少なくとも1つの機能ユニットの使用可能ステータスを決 定した命令をスケジュールし、それにより前記選択手段は前記複数の機能ユニッ トによって実行される機能の変更を容易にサポートし、該変更には、前記機能ユ ニットのあらかじめ定めたひとつによって実行される機能を変更すること、およ び前記スケジュール手段の変更を通してのみ前記機能ユニットの追加機能ユニッ トを設けることが含まれることを特徴とするマイクロプロセッサ。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US72705891A | 1991-07-08 | 1991-07-08 | |
US727,058 | 1991-07-08 |
Related Child Applications (7)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP11192704A Division JP2000039996A (ja) | 1999-07-07 | 1999-07-07 | ス―パ―スカラ処理システム及びデ―タ処理方法 |
JP11192703A Division JP2000029698A (ja) | 1999-07-07 | 1999-07-07 | ス―パ―スカラ処理システム及びデ―タ処理方法 |
JP11192702A Division JP2000029697A (ja) | 1999-07-07 | 1999-07-07 | ス―パ―スカラ処理装置、デ―タ処理方法及びコンピュ―タシステム |
JP2001054373A Division JP2001229023A (ja) | 1991-07-08 | 2001-02-28 | スーパースカラ処理システム及びデータ処理方法 |
JP2001054371A Division JP2001273139A (ja) | 1991-07-08 | 2001-02-28 | スーパースカラ処理装置、データ処理方法及びコンピュータシステム |
JP2001054372A Division JP2001243067A (ja) | 1991-07-08 | 2001-02-28 | スーパースカラ処理システム及びデータ処理方法 |
JP2002267999A Division JP3627735B2 (ja) | 1991-07-08 | 2002-09-13 | スーパースカラープロセッサ及びデータ処理装置 |
Publications (2)
Publication Number | Publication Date |
---|---|
JPH06501124A true JPH06501124A (ja) | 1994-01-27 |
JP3441071B2 JP3441071B2 (ja) | 2003-08-25 |
Family
ID=24921163
Family Applications (16)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP50215393A Expired - Fee Related JP3441071B2 (ja) | 1991-07-08 | 1992-07-07 | マイクロプロセッサ及びデータ処理装置 |
JP2001054372A Withdrawn JP2001243067A (ja) | 1991-07-08 | 2001-02-28 | スーパースカラ処理システム及びデータ処理方法 |
JP2001054371A Withdrawn JP2001273139A (ja) | 1991-07-08 | 2001-02-28 | スーパースカラ処理装置、データ処理方法及びコンピュータシステム |
JP2001054373A Withdrawn JP2001229023A (ja) | 1991-07-08 | 2001-02-28 | スーパースカラ処理システム及びデータ処理方法 |
JP2002267999A Expired - Lifetime JP3627735B2 (ja) | 1991-07-08 | 2002-09-13 | スーパースカラープロセッサ及びデータ処理装置 |
JP2004265342A Expired - Lifetime JP3724497B2 (ja) | 1991-07-08 | 2004-09-13 | スーパースカラープロセッサ及びデータ処理装置 |
JP2004298617A Expired - Lifetime JP3724499B2 (ja) | 1991-07-08 | 2004-10-13 | スーパースカラープロセッサ及びデータ処理装置 |
JP2004328494A Expired - Lifetime JP3724500B2 (ja) | 1991-07-08 | 2004-11-12 | スーパースカラープロセッサ及びデータ処理装置 |
JP2004360050A Expired - Lifetime JP3724502B2 (ja) | 1991-07-08 | 2004-12-13 | スーパースカラープロセッサ及びデータ処理装置 |
JP2005005252A Expired - Lifetime JP3757982B2 (ja) | 1991-07-08 | 2005-01-12 | スーパースカラープロセッサ及びデータ処理装置 |
JP2005035339A Withdrawn JP2005129087A (ja) | 1991-07-08 | 2005-02-14 | スーパースカラープロセッサ及びデータ処理装置 |
JP2005074144A Expired - Lifetime JP3864976B2 (ja) | 1991-07-08 | 2005-03-16 | スーパースカラープロセッサ及びデータ処理装置 |
JP2005117624A Expired - Lifetime JP3724505B2 (ja) | 1991-07-08 | 2005-04-15 | スーパースカラープロセッサ及びデータ処理装置 |
JP2005142583A Expired - Lifetime JP3724507B2 (ja) | 1991-07-08 | 2005-05-16 | スーパースカラープロセッサ及びデータ処理装置 |
JP2005175209A Expired - Lifetime JP3744534B2 (ja) | 1991-07-08 | 2005-06-15 | スーパースカラープロセッサ及びデータ処理装置 |
JP2006024711A Withdrawn JP2006172496A (ja) | 1991-07-08 | 2006-02-01 | スーパースカラープロセッサ及びデータ処理装置 |
Family Applications After (15)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2001054372A Withdrawn JP2001243067A (ja) | 1991-07-08 | 2001-02-28 | スーパースカラ処理システム及びデータ処理方法 |
JP2001054371A Withdrawn JP2001273139A (ja) | 1991-07-08 | 2001-02-28 | スーパースカラ処理装置、データ処理方法及びコンピュータシステム |
JP2001054373A Withdrawn JP2001229023A (ja) | 1991-07-08 | 2001-02-28 | スーパースカラ処理システム及びデータ処理方法 |
JP2002267999A Expired - Lifetime JP3627735B2 (ja) | 1991-07-08 | 2002-09-13 | スーパースカラープロセッサ及びデータ処理装置 |
JP2004265342A Expired - Lifetime JP3724497B2 (ja) | 1991-07-08 | 2004-09-13 | スーパースカラープロセッサ及びデータ処理装置 |
JP2004298617A Expired - Lifetime JP3724499B2 (ja) | 1991-07-08 | 2004-10-13 | スーパースカラープロセッサ及びデータ処理装置 |
JP2004328494A Expired - Lifetime JP3724500B2 (ja) | 1991-07-08 | 2004-11-12 | スーパースカラープロセッサ及びデータ処理装置 |
JP2004360050A Expired - Lifetime JP3724502B2 (ja) | 1991-07-08 | 2004-12-13 | スーパースカラープロセッサ及びデータ処理装置 |
JP2005005252A Expired - Lifetime JP3757982B2 (ja) | 1991-07-08 | 2005-01-12 | スーパースカラープロセッサ及びデータ処理装置 |
JP2005035339A Withdrawn JP2005129087A (ja) | 1991-07-08 | 2005-02-14 | スーパースカラープロセッサ及びデータ処理装置 |
JP2005074144A Expired - Lifetime JP3864976B2 (ja) | 1991-07-08 | 2005-03-16 | スーパースカラープロセッサ及びデータ処理装置 |
JP2005117624A Expired - Lifetime JP3724505B2 (ja) | 1991-07-08 | 2005-04-15 | スーパースカラープロセッサ及びデータ処理装置 |
JP2005142583A Expired - Lifetime JP3724507B2 (ja) | 1991-07-08 | 2005-05-16 | スーパースカラープロセッサ及びデータ処理装置 |
JP2005175209A Expired - Lifetime JP3744534B2 (ja) | 1991-07-08 | 2005-06-15 | スーパースカラープロセッサ及びデータ処理装置 |
JP2006024711A Withdrawn JP2006172496A (ja) | 1991-07-08 | 2006-02-01 | スーパースカラープロセッサ及びデータ処理装置 |
Country Status (8)
Country | Link |
---|---|
US (7) | US5560032A (ja) |
EP (3) | EP1526446A3 (ja) |
JP (16) | JP3441071B2 (ja) |
KR (2) | KR100299691B1 (ja) |
AT (2) | ATE291755T1 (ja) |
DE (2) | DE69233493T2 (ja) |
HK (2) | HK1014784A1 (ja) |
WO (1) | WO1993001546A1 (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6782521B2 (en) | 1992-03-31 | 2004-08-24 | Seiko Epson Corporation | Integrated structure layout and layout of interconnections for an instruction execution unit of an integrated circuit chip |
Families Citing this family (187)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CA2016068C (en) * | 1989-05-24 | 2000-04-04 | Robert W. Horst | Multiple instruction issue computer architecture |
US5493687A (en) | 1991-07-08 | 1996-02-20 | Seiko Epson Corporation | RISC microprocessor architecture implementing multiple typed register sets |
DE69233493T2 (de) | 1991-07-08 | 2005-07-28 | Seiko Epson Corp. | RISC-Prozessor mit erweiterbarer Architektur |
US5539911A (en) | 1991-07-08 | 1996-07-23 | Seiko Epson Corporation | High-performance, superscalar-based computer system with out-of-order instruction execution |
US5826055A (en) * | 1991-07-08 | 1998-10-20 | Seiko Epson Corporation | System and method for retiring instructions in a superscalar microprocessor |
US5452401A (en) * | 1992-03-31 | 1995-09-19 | Seiko Epson Corporation | Selective power-down for high performance CPU/system |
US5438668A (en) * | 1992-03-31 | 1995-08-01 | Seiko Epson Corporation | System and method for extraction, alignment and decoding of CISC instructions into a nano-instruction bucket for execution by a RISC computer |
EP0636256B1 (en) | 1992-03-31 | 1997-06-04 | Seiko Epson Corporation | Superscalar risc processor instruction scheduling |
EP0638183B1 (en) * | 1992-05-01 | 1997-03-05 | Seiko Epson Corporation | A system and method for retiring instructions in a superscalar microprocessor |
JP3531166B2 (ja) | 1992-12-31 | 2004-05-24 | セイコーエプソン株式会社 | レジスタ・リネーミングのシステム及び方法 |
US5628021A (en) | 1992-12-31 | 1997-05-06 | Seiko Epson Corporation | System and method for assigning tags to control instruction processing in a superscalar processor |
DE69423376T2 (de) * | 1993-04-23 | 2000-10-12 | Advanced Micro Devices Inc | Unterbrechungsverarbeitung |
JP2596712B2 (ja) * | 1993-07-01 | 1997-04-02 | インターナショナル・ビジネス・マシーンズ・コーポレイション | 近接した分岐命令を含む命令の実行を管理するシステム及び方法 |
EP0651321B1 (en) * | 1993-10-29 | 2001-11-14 | Advanced Micro Devices, Inc. | Superscalar microprocessors |
DE69427265T2 (de) * | 1993-10-29 | 2002-05-02 | Advanced Micro Devices Inc | Superskalarbefehlsdekoder |
US5630082A (en) * | 1993-10-29 | 1997-05-13 | Advanced Micro Devices, Inc. | Apparatus and method for instruction queue scanning |
US5574928A (en) * | 1993-10-29 | 1996-11-12 | Advanced Micro Devices, Inc. | Mixed integer/floating point processor core for a superscalar microprocessor with a plurality of operand buses for transferring operand segments |
US6101597A (en) * | 1993-12-30 | 2000-08-08 | Intel Corporation | Method and apparatus for maximum throughput scheduling of dependent operations in a pipelined processor |
TW353732B (en) * | 1994-03-31 | 1999-03-01 | Ibm | Processing system and method of operation |
US5590352A (en) * | 1994-04-26 | 1996-12-31 | Advanced Micro Devices, Inc. | Dependency checking and forwarding of variable width operands |
US5559975A (en) * | 1994-06-01 | 1996-09-24 | Advanced Micro Devices, Inc. | Program counter update mechanism |
US5555432A (en) * | 1994-08-19 | 1996-09-10 | Intel Corporation | Circuit and method for scheduling instructions by predicting future availability of resources required for execution |
JPH0877021A (ja) * | 1994-09-01 | 1996-03-22 | Fujitsu Ltd | 割込処理装置および方法 |
JP3543181B2 (ja) * | 1994-11-09 | 2004-07-14 | 株式会社ルネサステクノロジ | データ処理装置 |
US5903741A (en) * | 1995-01-25 | 1999-05-11 | Advanced Micro Devices, Inc. | Method of allocating a fixed reorder buffer storage line for execution results regardless of a number of concurrently dispatched instructions |
US5901302A (en) * | 1995-01-25 | 1999-05-04 | Advanced Micro Devices, Inc. | Superscalar microprocessor having symmetrical, fixed issue positions each configured to execute a particular subset of instructions |
US6237082B1 (en) | 1995-01-25 | 2001-05-22 | Advanced Micro Devices, Inc. | Reorder buffer configured to allocate storage for instruction results corresponding to predefined maximum number of concurrently receivable instructions independent of a number of instructions received |
US5878244A (en) * | 1995-01-25 | 1999-03-02 | Advanced Micro Devices, Inc. | Reorder buffer configured to allocate storage capable of storing results corresponding to a maximum number of concurrently receivable instructions regardless of a number of instructions received |
US5822574A (en) * | 1995-04-12 | 1998-10-13 | Advanced Micro Devices, Inc. | Functional unit with a pointer for mispredicted resolution, and a superscalar microprocessor employing the same |
US5802346A (en) * | 1995-06-02 | 1998-09-01 | International Business Machines Corporation | Method and system for minimizing the delay in executing branch-on-register instructions |
US5768574A (en) * | 1995-06-07 | 1998-06-16 | Advanced Micro Devices, Inc. | Microprocessor using an instruction field to expand the condition flags and a computer system employing the microprocessor |
US5680578A (en) * | 1995-06-07 | 1997-10-21 | Advanced Micro Devices, Inc. | Microprocessor using an instruction field to specify expanded functionality and a computer system employing same |
US5778434A (en) * | 1995-06-07 | 1998-07-07 | Seiko Epson Corporation | System and method for processing multiple requests and out of order returns |
US5822778A (en) * | 1995-06-07 | 1998-10-13 | Advanced Micro Devices, Inc. | Microprocessor and method of using a segment override prefix instruction field to expand the register file |
US5926642A (en) * | 1995-10-06 | 1999-07-20 | Advanced Micro Devices, Inc. | RISC86 instruction set |
US5920713A (en) * | 1995-10-06 | 1999-07-06 | Advanced Micro Devices, Inc. | Instruction decoder including two-way emulation code branching |
US5819056A (en) * | 1995-10-06 | 1998-10-06 | Advanced Micro Devices, Inc. | Instruction buffer organization method and system |
US5809273A (en) * | 1996-01-26 | 1998-09-15 | Advanced Micro Devices, Inc. | Instruction predecode and multiple instruction decode |
US5794063A (en) * | 1996-01-26 | 1998-08-11 | Advanced Micro Devices, Inc. | Instruction decoder including emulation using indirect specifiers |
US6093213A (en) * | 1995-10-06 | 2000-07-25 | Advanced Micro Devices, Inc. | Flexible implementation of a system management mode (SMM) in a processor |
US5796974A (en) * | 1995-11-07 | 1998-08-18 | Advanced Micro Devices, Inc. | Microcode patching apparatus and method |
US5765035A (en) * | 1995-11-20 | 1998-06-09 | Advanced Micro Devices, Inc. | Recorder buffer capable of detecting dependencies between accesses to a pair of caches |
US5787241A (en) * | 1995-12-18 | 1998-07-28 | Integrated Device Technology, Inc. | Method and apparatus for locating exception correction routines |
US5764943A (en) * | 1995-12-28 | 1998-06-09 | Intel Corporation | Data path circuitry for processor having multiple instruction pipelines |
US6092184A (en) * | 1995-12-28 | 2000-07-18 | Intel Corporation | Parallel processing of pipelined instructions having register dependencies |
US5819080A (en) * | 1996-01-02 | 1998-10-06 | Advanced Micro Devices, Inc. | Microprocessor using an instruction field to specify condition flags for use with branch instructions and a computer system employing the microprocessor |
US6688888B1 (en) * | 1996-03-19 | 2004-02-10 | Chi Fai Ho | Computer-aided learning system and method |
US5748934A (en) * | 1996-05-31 | 1998-05-05 | Hewlett-Packard Company | Operand dependency tracking system and method for a processor that executes instructions out of order and that permits multiple precision data words |
US5802556A (en) * | 1996-07-16 | 1998-09-01 | International Business Machines Corporation | Method and apparatus for correcting misaligned instruction data |
US5946468A (en) * | 1996-07-26 | 1999-08-31 | Advanced Micro Devices, Inc. | Reorder buffer having an improved future file for storing speculative instruction execution results |
US5872951A (en) * | 1996-07-26 | 1999-02-16 | Advanced Micro Design, Inc. | Reorder buffer having a future file for storing speculative instruction execution results |
US5915110A (en) * | 1996-07-26 | 1999-06-22 | Advanced Micro Devices, Inc. | Branch misprediction recovery in a reorder buffer having a future file |
US5882993A (en) | 1996-08-19 | 1999-03-16 | Advanced Micro Devices, Inc. | Integrated circuit with differing gate oxide thickness and process for making same |
US5983342A (en) * | 1996-09-12 | 1999-11-09 | Advanced Micro Devices, Inc. | Superscalar microprocessor employing a future file for storing results into multiportion registers |
US6631454B1 (en) | 1996-11-13 | 2003-10-07 | Intel Corporation | Processor and data cache with data storage unit and tag hit/miss logic operated at a first and second clock frequencies |
US5828868A (en) * | 1996-11-13 | 1998-10-27 | Intel Corporation | Processor having execution core sections operating at different clock rates |
GB2361082B (en) * | 1996-11-13 | 2002-01-30 | Intel Corp | Processor |
US5838941A (en) * | 1996-12-30 | 1998-11-17 | Intel Corporation | Out-of-order superscalar microprocessor with a renaming device that maps instructions from memory to registers |
US6222840B1 (en) * | 1996-12-30 | 2001-04-24 | Compaq Computer Corporation | Method and system for performing concurrent read and write cycles in network switch |
US6016540A (en) * | 1997-01-08 | 2000-01-18 | Intel Corporation | Method and apparatus for scheduling instructions in waves |
US5996063A (en) * | 1997-03-03 | 1999-11-30 | International Business Machines Corporation | Management of both renamed and architected registers in a superscalar computer system |
US6055616A (en) * | 1997-06-25 | 2000-04-25 | Sun Microsystems, Inc. | System for efficient implementation of multi-ported logic FIFO structures in a processor |
US6075931A (en) * | 1997-06-25 | 2000-06-13 | Sun Microsystems, Inc. | Method for efficient implementation of multi-ported logic FIFO structures in a processor |
US6052777A (en) * | 1997-06-25 | 2000-04-18 | Sun Microsystems, Inc. | Method for delivering precise traps and interrupts in an out-of-order processor |
US6094719A (en) * | 1997-06-25 | 2000-07-25 | Sun Microsystems, Inc. | Reducing data dependent conflicts by converting single precision instructions into microinstructions using renamed phantom registers in a processor having double precision registers |
US6058472A (en) * | 1997-06-25 | 2000-05-02 | Sun Microsystems, Inc. | Apparatus for maintaining program correctness while allowing loads to be boosted past stores in an out-of-order machine |
US5884070A (en) * | 1997-06-25 | 1999-03-16 | Sun Microsystems, Inc. | Method for processing single precision arithmetic operations in system where two single precision registers are aliased to one double precision register |
US5948106A (en) * | 1997-06-25 | 1999-09-07 | Sun Microsystems, Inc. | System for thermal overload detection and prevention for an integrated circuit processor |
US6098165A (en) * | 1997-06-25 | 2000-08-01 | Sun Microsystems, Inc. | Fetching and handling a bundle of instructions comprising instructions and non-complex instructions |
US5987594A (en) * | 1997-06-25 | 1999-11-16 | Sun Microsystems, Inc. | Apparatus for executing coded dependent instructions having variable latencies |
US5941977A (en) * | 1997-06-25 | 1999-08-24 | Sun Microsystems, Inc. | Apparatus for handling register windows in an out-of-order processor |
US5870597A (en) * | 1997-06-25 | 1999-02-09 | Sun Microsystems, Inc. | Method for speculative calculation of physical register addresses in an out of order processor |
US6049868A (en) * | 1997-06-25 | 2000-04-11 | Sun Microsystems, Inc. | Apparatus for delivering precise traps and interrupts in an out-of-order processor |
US5898853A (en) * | 1997-06-25 | 1999-04-27 | Sun Microsystems, Inc. | Apparatus for enforcing true dependencies in an out-of-order processor |
US5850533A (en) * | 1997-06-25 | 1998-12-15 | Sun Microsystems, Inc. | Method for enforcing true dependencies in an out-of-order processor |
US5999727A (en) * | 1997-06-25 | 1999-12-07 | Sun Microsystems, Inc. | Method for restraining over-eager load boosting using a dependency color indicator stored in cache with both the load and store instructions |
US5978864A (en) * | 1997-06-25 | 1999-11-02 | Sun Microsystems, Inc. | Method for thermal overload detection and prevention for an intergrated circuit processor |
US5875316A (en) * | 1997-06-25 | 1999-02-23 | Sun Microsystems, Inc. | Method for handling complex instructions in an out-of-order processor |
US6189022B1 (en) | 1997-08-20 | 2001-02-13 | Honeywell International Inc. | Slack scheduling for improved response times of period transformed processes |
US6289437B1 (en) * | 1997-08-27 | 2001-09-11 | International Business Machines Corporation | Data processing system and method for implementing an efficient out-of-order issue mechanism |
JP3452771B2 (ja) * | 1997-10-02 | 2003-09-29 | 富士通株式会社 | 命令制御システム及びその方法 |
US6029244A (en) * | 1997-10-10 | 2000-02-22 | Advanced Micro Devices, Inc. | Microprocessor including an efficient implementation of extreme value instructions |
US6230259B1 (en) | 1997-10-31 | 2001-05-08 | Advanced Micro Devices, Inc. | Transparent extended state save |
US6157996A (en) * | 1997-11-13 | 2000-12-05 | Advanced Micro Devices, Inc. | Processor programably configurable to execute enhanced variable byte length instructions including predicated execution, three operand addressing, and increased register space |
US6442585B1 (en) | 1997-11-26 | 2002-08-27 | Compaq Computer Corporation | Method for scheduling contexts based on statistics of memory system interactions in a computer system |
US6549930B1 (en) * | 1997-11-26 | 2003-04-15 | Compaq Computer Corporation | Method for scheduling threads in a multithreaded processor |
US6289441B1 (en) | 1998-01-09 | 2001-09-11 | Sun Microsystems, Inc. | Method and apparatus for performing multiple branch predictions per cycle |
US6148372A (en) * | 1998-01-21 | 2000-11-14 | Sun Microsystems, Inc. | Apparatus and method for detection and recovery from structural stalls in a multi-level non-blocking cache system |
US6226713B1 (en) | 1998-01-21 | 2001-05-01 | Sun Microsystems, Inc. | Apparatus and method for queueing structures in a multi-level non-blocking cache subsystem |
US6065110A (en) * | 1998-02-09 | 2000-05-16 | International Business Machines Corporation | Method and apparatus for loading an instruction buffer of a processor capable of out-of-order instruction issue |
US6061785A (en) * | 1998-02-17 | 2000-05-09 | International Business Machines Corporation | Data processing system having an apparatus for out-of-order register operations and method therefor |
US6108761A (en) * | 1998-02-20 | 2000-08-22 | Unisys Corporation | Method of and apparatus for saving time performing certain transfer instructions |
US6157998A (en) * | 1998-04-03 | 2000-12-05 | Motorola Inc. | Method for performing branch prediction and resolution of two or more branch instructions within two or more branch prediction buffers |
US6393552B1 (en) | 1998-06-19 | 2002-05-21 | International Business Machines Corporation | Method and system for dividing a computer processor register into sectors |
US6336160B1 (en) | 1998-06-19 | 2002-01-01 | International Business Machines Corporation | Method and system for dividing a computer processor register into sectors and storing frequently used values therein |
US6398556B1 (en) | 1998-07-06 | 2002-06-04 | Chi Fai Ho | Inexpensive computer-aided learning methods and apparatus for learners |
US9792659B2 (en) * | 1999-04-13 | 2017-10-17 | Iplearn, Llc | Computer-aided methods and apparatus to access materials in a network environment |
US6360194B1 (en) * | 1998-09-08 | 2002-03-19 | Bull Hn Information Systems Inc. | Different word size multiprocessor emulation |
US6449713B1 (en) * | 1998-11-18 | 2002-09-10 | Compaq Information Technologies Group, L.P. | Implementation of a conditional move instruction in an out-of-order processor |
US6567840B1 (en) | 1999-05-14 | 2003-05-20 | Honeywell Inc. | Task scheduling and message passing |
US6598118B1 (en) | 1999-07-30 | 2003-07-22 | International Business Machines Corporation | Data processing system with HSA (hashed storage architecture) |
US6516404B1 (en) | 1999-07-30 | 2003-02-04 | International Business Machines Corporation | Data processing system having hashed architected processor facilities |
US6823471B1 (en) | 1999-07-30 | 2004-11-23 | International Business Machines Corporation | Method for providing high availability within a data processing system via a reconfigurable hashed storage subsystem |
US6446165B1 (en) | 1999-07-30 | 2002-09-03 | International Business Machines Corporation | Address dependent caching behavior within a data processing system having HSA (hashed storage architecture) |
US6658556B1 (en) * | 1999-07-30 | 2003-12-02 | International Business Machines Corporation | Hashing a target address for a memory access instruction in order to determine prior to execution which particular load/store unit processes the instruction |
US6470442B1 (en) | 1999-07-30 | 2002-10-22 | International Business Machines Corporation | Processor assigning data to hardware partition based on selectable hash of data address |
US6449691B1 (en) | 1999-07-30 | 2002-09-10 | International Business Machines Corporation | Asymmetrical cache properties within a hashed storage subsystem |
US6513109B1 (en) * | 1999-08-31 | 2003-01-28 | International Business Machines Corporation | Method and apparatus for implementing execution predicates in a computer processing system |
US6567975B1 (en) * | 1999-11-08 | 2003-05-20 | Sun Microsystems, Inc. | Method and apparatus for inserting data prefetch operations using data flow analysis |
GB2362730B (en) * | 1999-12-23 | 2004-02-11 | St Microelectronics Sa | Computer register watch |
US6601162B1 (en) * | 2000-01-19 | 2003-07-29 | Kabushiki Kaisha Toshiba | Processor which executes pipeline processing having a plurality of stages and which has an operand bypass predicting function |
US6877084B1 (en) | 2000-08-09 | 2005-04-05 | Advanced Micro Devices, Inc. | Central processing unit (CPU) accessing an extended register set in an extended register mode |
US6981132B2 (en) | 2000-08-09 | 2005-12-27 | Advanced Micro Devices, Inc. | Uniform register addressing using prefix byte |
US6754807B1 (en) | 2000-08-31 | 2004-06-22 | Stmicroelectronics, Inc. | System and method for managing vertical dependencies in a digital signal processor |
US6671799B1 (en) | 2000-08-31 | 2003-12-30 | Stmicroelectronics, Inc. | System and method for dynamically sizing hardware loops and executing nested loops in a digital signal processor |
US7079133B2 (en) * | 2000-11-16 | 2006-07-18 | S3 Graphics Co., Ltd. | Superscalar 3D graphics engine |
US6981130B2 (en) * | 2001-09-25 | 2005-12-27 | Texas Instruments Incorporated | Forwarding the results of operations to dependent instructions more quickly via multiplexers working in parallel |
US7013382B1 (en) * | 2001-11-02 | 2006-03-14 | Lsi Logic Corporation | Mechanism and method for reducing pipeline stalls between nested calls and digital signal processor incorporating the same |
JP4272371B2 (ja) * | 2001-11-05 | 2009-06-03 | パナソニック株式会社 | デバッグ支援装置、コンパイラ装置、デバッグ支援プログラム、コンパイラプログラム、及びコンピュータ読取可能な記録媒体。 |
US6876559B1 (en) * | 2002-02-01 | 2005-04-05 | Netlogic Microsystems, Inc. | Block-writable content addressable memory device |
US7120780B2 (en) * | 2002-03-04 | 2006-10-10 | International Business Machines Corporation | Method of renaming registers in register file and microprocessor thereof |
US7000095B2 (en) * | 2002-09-06 | 2006-02-14 | Mips Technologies, Inc. | Method and apparatus for clearing hazards using jump instructions |
US7493478B2 (en) * | 2002-12-05 | 2009-02-17 | International Business Machines Corporation | Enhanced processor virtualization mechanism via saving and restoring soft processor/system states |
US7437532B1 (en) | 2003-05-07 | 2008-10-14 | Marvell International Ltd. | Memory mapped register file |
US7267620B2 (en) * | 2003-05-21 | 2007-09-11 | Taylor Made Golf Company, Inc. | Golf club head |
GB2402760B (en) * | 2003-06-12 | 2006-01-11 | Advanced Risc Mach Ltd | Improvements in flexibility of use of a data processing apparatus |
USH2212H1 (en) * | 2003-09-26 | 2008-04-01 | The United States Of America As Represented By The Secretary Of The Navy | Method and apparatus for producing an ion-ion plasma continuous in time |
US7096345B1 (en) | 2003-09-26 | 2006-08-22 | Marvell International Ltd. | Data processing system with bypass reorder buffer having non-bypassable locations and combined load/store arithmetic logic unit and processing method thereof |
US7937557B2 (en) | 2004-03-16 | 2011-05-03 | Vns Portfolio Llc | System and method for intercommunication between computers in an array |
US7496735B2 (en) * | 2004-11-22 | 2009-02-24 | Strandera Corporation | Method and apparatus for incremental commitment to architectural state in a microprocessor |
US7406406B2 (en) * | 2004-12-07 | 2008-07-29 | Bull Hn Information Systems Inc. | Instructions to load and store containing words in a computer system emulator with host word size larger than that of emulated machine |
US7308527B2 (en) * | 2005-01-24 | 2007-12-11 | International Business Machines Corporation | System for indicating a plug position for a memory module in a memory system |
US20060179286A1 (en) * | 2005-02-09 | 2006-08-10 | International Business Machines Corporation | System and method for processing limited out-of-order execution of floating point loads |
US7490254B2 (en) * | 2005-08-02 | 2009-02-10 | Advanced Micro Devices, Inc. | Increasing workload performance of one or more cores on multiple core processors |
US7328330B2 (en) * | 2005-08-16 | 2008-02-05 | International Business Machines Corporation | Queue design supporting dependency checking and issue for SIMD instructions within a general purpose processor |
EP2541431A1 (en) * | 2005-10-07 | 2013-01-02 | Altera Corporation | Data input for systolic array processors |
US7617383B2 (en) * | 2006-02-16 | 2009-11-10 | Vns Portfolio Llc | Circular register arrays of a computer |
US7904615B2 (en) | 2006-02-16 | 2011-03-08 | Vns Portfolio Llc | Asynchronous computer communication |
US7913069B2 (en) * | 2006-02-16 | 2011-03-22 | Vns Portfolio Llc | Processor and method for executing a program loop within an instruction word |
US7966481B2 (en) | 2006-02-16 | 2011-06-21 | Vns Portfolio Llc | Computer system and method for executing port communications without interrupting the receiving computer |
US7647486B2 (en) | 2006-05-02 | 2010-01-12 | Atmel Corporation | Method and system having instructions with different execution times in different modes, including a selected execution time different from default execution times in a first mode and a random execution time in a second mode |
US7555637B2 (en) * | 2007-04-27 | 2009-06-30 | Vns Portfolio Llc | Multi-port read/write operations based on register bits set for indicating select ports and transfer directions |
US20100023730A1 (en) * | 2008-07-24 | 2010-01-28 | Vns Portfolio Llc | Circular Register Arrays of a Computer |
US8966228B2 (en) * | 2009-03-20 | 2015-02-24 | Arm Limited | Instruction fetching following changes in program flow |
US9329996B2 (en) * | 2011-04-27 | 2016-05-03 | Veris Industries, Llc | Branch circuit monitor with paging register |
US10157060B2 (en) | 2011-12-29 | 2018-12-18 | Intel Corporation | Method, device and system for control signaling in a data path module of a data stream processing engine |
US9454371B2 (en) | 2011-12-30 | 2016-09-27 | Intel Corporation | Micro-architecture for eliminating MOV operations |
US10331583B2 (en) | 2013-09-26 | 2019-06-25 | Intel Corporation | Executing distributed memory operations using processing elements connected by distributed channels |
KR101756966B1 (ko) | 2015-03-25 | 2017-07-11 | 전북대학교 산학협력단 | 계면개질제 및 이를 이용한 전자소자 |
KR102593320B1 (ko) | 2016-09-26 | 2023-10-25 | 삼성전자주식회사 | 전자 장치, 프로세서 및 그 제어 방법 |
US10402168B2 (en) | 2016-10-01 | 2019-09-03 | Intel Corporation | Low energy consumption mantissa multiplication for floating point multiply-add operations |
US10416999B2 (en) | 2016-12-30 | 2019-09-17 | Intel Corporation | Processors, methods, and systems with a configurable spatial accelerator |
US10558575B2 (en) | 2016-12-30 | 2020-02-11 | Intel Corporation | Processors, methods, and systems with a configurable spatial accelerator |
US10474375B2 (en) | 2016-12-30 | 2019-11-12 | Intel Corporation | Runtime address disambiguation in acceleration hardware |
US10572376B2 (en) | 2016-12-30 | 2020-02-25 | Intel Corporation | Memory ordering in acceleration hardware |
US10671395B2 (en) * | 2017-02-13 | 2020-06-02 | The King Abdulaziz City for Science and Technology—KACST | Application specific instruction-set processor (ASIP) for simultaneously executing a plurality of operations using a long instruction word |
US10496596B2 (en) * | 2017-02-13 | 2019-12-03 | King Abdulaziz City For Science And Technology | Application specific instruction-set processor (ASIP) architecture having separated input and output data ports |
US10445451B2 (en) | 2017-07-01 | 2019-10-15 | Intel Corporation | Processors, methods, and systems for a configurable spatial accelerator with performance, correctness, and power reduction features |
US10515049B1 (en) | 2017-07-01 | 2019-12-24 | Intel Corporation | Memory circuits and methods for distributed memory hazard detection and error recovery |
US10387319B2 (en) | 2017-07-01 | 2019-08-20 | Intel Corporation | Processors, methods, and systems for a configurable spatial accelerator with memory system performance, power reduction, and atomics support features |
US10445234B2 (en) | 2017-07-01 | 2019-10-15 | Intel Corporation | Processors, methods, and systems for a configurable spatial accelerator with transactional and replay features |
US10469397B2 (en) | 2017-07-01 | 2019-11-05 | Intel Corporation | Processors and methods with configurable network-based dataflow operator circuits |
US10515046B2 (en) | 2017-07-01 | 2019-12-24 | Intel Corporation | Processors, methods, and systems with a configurable spatial accelerator |
US10467183B2 (en) | 2017-07-01 | 2019-11-05 | Intel Corporation | Processors and methods for pipelined runtime services in a spatial array |
US10496574B2 (en) | 2017-09-28 | 2019-12-03 | Intel Corporation | Processors, methods, and systems for a memory fence in a configurable spatial accelerator |
US11086816B2 (en) | 2017-09-28 | 2021-08-10 | Intel Corporation | Processors, methods, and systems for debugging a configurable spatial accelerator |
US10380063B2 (en) | 2017-09-30 | 2019-08-13 | Intel Corporation | Processors, methods, and systems with a configurable spatial accelerator having a sequencer dataflow operator |
US10445098B2 (en) | 2017-09-30 | 2019-10-15 | Intel Corporation | Processors and methods for privileged configuration in a spatial array |
US10445250B2 (en) | 2017-12-30 | 2019-10-15 | Intel Corporation | Apparatus, methods, and systems with a configurable spatial accelerator |
US10565134B2 (en) | 2017-12-30 | 2020-02-18 | Intel Corporation | Apparatus, methods, and systems for multicast in a configurable spatial accelerator |
US10417175B2 (en) | 2017-12-30 | 2019-09-17 | Intel Corporation | Apparatus, methods, and systems for memory consistency in a configurable spatial accelerator |
US11307873B2 (en) | 2018-04-03 | 2022-04-19 | Intel Corporation | Apparatus, methods, and systems for unstructured data flow in a configurable spatial accelerator with predicate propagation and merging |
US10564980B2 (en) | 2018-04-03 | 2020-02-18 | Intel Corporation | Apparatus, methods, and systems for conditional queues in a configurable spatial accelerator |
US11200186B2 (en) | 2018-06-30 | 2021-12-14 | Intel Corporation | Apparatuses, methods, and systems for operations in a configurable spatial accelerator |
US10853073B2 (en) | 2018-06-30 | 2020-12-01 | Intel Corporation | Apparatuses, methods, and systems for conditional operations in a configurable spatial accelerator |
US10891240B2 (en) | 2018-06-30 | 2021-01-12 | Intel Corporation | Apparatus, methods, and systems for low latency communication in a configurable spatial accelerator |
US10459866B1 (en) | 2018-06-30 | 2019-10-29 | Intel Corporation | Apparatuses, methods, and systems for integrated control and data processing in a configurable spatial accelerator |
US10678724B1 (en) | 2018-12-29 | 2020-06-09 | Intel Corporation | Apparatuses, methods, and systems for in-network storage in a configurable spatial accelerator |
US10965536B2 (en) | 2019-03-30 | 2021-03-30 | Intel Corporation | Methods and apparatus to insert buffers in a dataflow graph |
US11029927B2 (en) | 2019-03-30 | 2021-06-08 | Intel Corporation | Methods and apparatus to detect and annotate backedges in a dataflow graph |
US10817291B2 (en) | 2019-03-30 | 2020-10-27 | Intel Corporation | Apparatuses, methods, and systems for swizzle operations in a configurable spatial accelerator |
US10915471B2 (en) | 2019-03-30 | 2021-02-09 | Intel Corporation | Apparatuses, methods, and systems for memory interface circuit allocation in a configurable spatial accelerator |
US11037050B2 (en) | 2019-06-29 | 2021-06-15 | Intel Corporation | Apparatuses, methods, and systems for memory interface circuit arbitration in a configurable spatial accelerator |
US11907713B2 (en) | 2019-12-28 | 2024-02-20 | Intel Corporation | Apparatuses, methods, and systems for fused operations using sign modification in a processing element of a configurable spatial accelerator |
CN113609904B (zh) * | 2021-06-30 | 2024-03-29 | 杭州电子科技大学 | 一种基于动态全局信息建模和孪生网络的单目标跟踪算法 |
US11599358B1 (en) * | 2021-08-12 | 2023-03-07 | Tenstorrent Inc. | Pre-staged instruction registers for variable length instruction set machine |
CN113778528B (zh) * | 2021-09-13 | 2023-03-24 | 北京奕斯伟计算技术股份有限公司 | 指令发送方法、装置、电子设备及存储介质 |
Family Cites Families (83)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3346851A (en) * | 1964-07-08 | 1967-10-10 | Control Data Corp | Simultaneous multiprocessing computer system |
US3771138A (en) * | 1971-08-31 | 1973-11-06 | Ibm | Apparatus and method for serializing instructions from two independent instruction streams |
US4003462A (en) * | 1976-02-06 | 1977-01-18 | Perrott L F | Log sorting system |
US4128880A (en) * | 1976-06-30 | 1978-12-05 | Cray Research, Inc. | Computer vector register processing |
AU529675B2 (en) * | 1977-12-07 | 1983-06-16 | Honeywell Information Systems Incorp. | Cache memory unit |
US4200927A (en) * | 1978-01-03 | 1980-04-29 | International Business Machines Corporation | Multi-instruction stream branch processing mechanism |
US4296470A (en) * | 1979-06-21 | 1981-10-20 | International Business Machines Corp. | Link register storage and restore system for use in an instruction pre-fetch micro-processor interrupt system |
JPS5616248A (en) * | 1979-07-17 | 1981-02-17 | Matsushita Electric Ind Co Ltd | Processing system for interruption |
US4434461A (en) | 1980-09-15 | 1984-02-28 | Motorola, Inc. | Microprocessor with duplicate registers for processing interrupts |
JPS5757345A (en) * | 1980-09-24 | 1982-04-06 | Toshiba Corp | Data controller |
JPS58151655A (ja) * | 1982-03-03 | 1983-09-08 | Fujitsu Ltd | 情報処理装置 |
US4434641A (en) * | 1982-03-11 | 1984-03-06 | Ball Corporation | Buckle resistance for metal container closures |
US4410393A (en) * | 1982-06-24 | 1983-10-18 | The United States Of America As Represented By The Secretary Of The Army | Preparation of steel surfaces for adhesive bonding by etching with H3 PO4 -polyhydric alcohol mixture |
JPS5932045A (ja) * | 1982-08-16 | 1984-02-21 | Hitachi Ltd | 情報処理装置 |
US4800486A (en) * | 1983-09-29 | 1989-01-24 | Tandem Computers Incorporated | Multiple data patch CPU architecture |
US4807115A (en) * | 1983-10-07 | 1989-02-21 | Cornell Research Foundation, Inc. | Instruction issuing mechanism for processors with multiple functional units |
JPS60225943A (ja) * | 1984-04-25 | 1985-11-11 | Hitachi Ltd | 例外割込み処理方式 |
US4766564A (en) * | 1984-08-13 | 1988-08-23 | International Business Machines Corporation | Dual putaway/bypass busses for multiple arithmetic units |
JPH0769818B2 (ja) | 1984-10-31 | 1995-07-31 | 株式会社日立製作所 | デ−タ処理装置 |
CA1242803A (en) * | 1984-12-27 | 1988-10-04 | Nobuhisa Watanabe | Microprocessor with option area facilitating interfacing with peripheral devices |
JPH0762823B2 (ja) * | 1985-05-22 | 1995-07-05 | 株式会社日立製作所 | デ−タ処理装置 |
US4722049A (en) * | 1985-10-11 | 1988-01-26 | Unisys Corporation | Apparatus for out-of-order program execution |
US4811208A (en) * | 1986-05-16 | 1989-03-07 | Intel Corporation | Stack frame cache on a microprocessor chip |
JPS63131230A (ja) * | 1986-11-21 | 1988-06-03 | Hitachi Ltd | 情報処理装置 |
JPH0810430B2 (ja) | 1986-11-28 | 1996-01-31 | 株式会社日立製作所 | 情報処理装置 |
JPS63172343A (ja) | 1987-01-12 | 1988-07-16 | Hitachi Ltd | 命令先取り方式 |
DE3702899A1 (de) * | 1987-01-31 | 1988-08-11 | Porsche Ag | Brennraum fuer eine hubkolben-brennkraftmaschine |
JPS63284648A (ja) * | 1987-05-18 | 1988-11-21 | Fujitsu Ltd | キャッシュメモリ制御方法 |
JPS63318634A (ja) | 1987-06-23 | 1988-12-27 | Nec Corp | 命令先取り方式 |
US5134561A (en) * | 1987-07-20 | 1992-07-28 | International Business Machines Corporation | Computer system with logic for writing instruction identifying data into array control lists for precise post-branch recoveries |
US4901233A (en) * | 1987-07-20 | 1990-02-13 | International Business Machines Corporation | Computer system with logic for writing instruction identifying data into array control lists for precise post-branch recoveries |
JPS6436336U (ja) | 1987-08-28 | 1989-03-06 | ||
US5003462A (en) * | 1988-05-31 | 1991-03-26 | International Business Machines Corporation | Apparatus and method for implementing precise interrupts on a pipelined processor with multiple functional units with separate address translation interrupt means |
JPH0222736A (ja) | 1988-07-12 | 1990-01-25 | Nec Corp | 中央処理装置 |
JPH0673105B2 (ja) | 1988-08-11 | 1994-09-14 | 株式会社東芝 | 命令パイプライン方式のマイクロプロセッサ |
JPH0287229A (ja) | 1988-09-24 | 1990-03-28 | Nec Corp | 実行命令の先取り制御方式 |
JP2810068B2 (ja) * | 1988-11-11 | 1998-10-15 | 株式会社日立製作所 | プロセッサシステム、コンピュータシステム及び命令処理方法 |
JPH0769824B2 (ja) | 1988-11-11 | 1995-07-31 | 株式会社日立製作所 | 複数命令同時処理方式 |
GB8828817D0 (en) * | 1988-12-09 | 1989-01-18 | Int Computers Ltd | Data processing apparatus |
US5075840A (en) * | 1989-01-13 | 1991-12-24 | International Business Machines Corporation | Tightly coupled multiprocessor instruction synchronization |
US5226166A (en) | 1989-02-10 | 1993-07-06 | Mitsubishi Denki K.K. | Parallel operation processor with second command unit |
US5293500A (en) | 1989-02-10 | 1994-03-08 | Mitsubishi Denki K.K. | Parallel processing method and apparatus |
JPH0769825B2 (ja) | 1989-02-10 | 1995-07-31 | 三菱電機株式会社 | 並列処理装置 |
US5226126A (en) * | 1989-02-24 | 1993-07-06 | Nexgen Microsystems | Processor having plurality of functional units for orderly retiring outstanding operations based upon its associated tags |
US5768575A (en) * | 1989-02-24 | 1998-06-16 | Advanced Micro Devices, Inc. | Semi-Autonomous RISC pipelines for overlapped execution of RISC-like instructions within the multiple superscalar execution units of a processor having distributed pipeline control for sepculative and out-of-order execution of complex instructions |
JP3153906B2 (ja) | 1989-02-24 | 2001-04-09 | アドヴァンスド マイクロ デヴァイセス インコーポレイテッド | コンピュータの分散型パイプライン制御装置及び方法 |
CA2016068C (en) * | 1989-05-24 | 2000-04-04 | Robert W. Horst | Multiple instruction issue computer architecture |
US5136697A (en) | 1989-06-06 | 1992-08-04 | Advanced Micro Devices, Inc. | System for reducing delay for execution subsequent to correctly predicted branch instruction using fetch information stored with each block of instructions in cache |
US5129067A (en) * | 1989-06-06 | 1992-07-07 | Advanced Micro Devices, Inc. | Multiple instruction decoder for minimizing register port requirements |
JPH0314025A (ja) * | 1989-06-13 | 1991-01-22 | Nec Corp | 命令実行制御方式 |
DE69032812T2 (de) | 1989-07-07 | 1999-04-29 | Hitachi Ltd | Vorrichtung und Verfahren zur parallelen Verarbeitung |
DE69031257T2 (de) * | 1989-09-21 | 1998-02-12 | Texas Instruments Inc | Integrierte Schaltung mit einem eingebetteten digitalen Signalprozessor |
JP2856784B2 (ja) | 1989-10-27 | 1999-02-10 | 株式会社東芝 | 電子計算機 |
JP2835103B2 (ja) * | 1989-11-01 | 1998-12-14 | 富士通株式会社 | 命令指定方法及び命令実行方式 |
JPH03147134A (ja) | 1989-11-02 | 1991-06-24 | Oki Electric Ind Co Ltd | 命令シーケンス制御装置 |
JP2814683B2 (ja) | 1989-11-08 | 1998-10-27 | 日本電気株式会社 | 命令処理装置 |
DE3940450A1 (de) | 1989-12-07 | 1991-06-13 | Voith Gmbh J M | Rakeleinrichtung |
US5487156A (en) * | 1989-12-15 | 1996-01-23 | Popescu; Valeri | Processor architecture having independently fetching issuing and updating operations of instructions which are sequentially assigned and stored in order fetched |
US5230068A (en) * | 1990-02-26 | 1993-07-20 | Nexgen Microsystems | Cache memory system for dynamically altering single cache memory line as either branch target entry or pre-fetch instruction queue based upon instruction sequence |
US5185872A (en) * | 1990-02-28 | 1993-02-09 | Intel Corporation | System for executing different cycle instructions by selectively bypassing scoreboard register and canceling the execution of conditionally issued instruction if needed resources are busy |
JP2878792B2 (ja) | 1990-06-22 | 1999-04-05 | 株式会社東芝 | 電子計算機 |
JP2877468B2 (ja) | 1990-08-09 | 1999-03-31 | 株式会社東芝 | 電子計算機 |
DE69130723T2 (de) * | 1990-10-05 | 1999-07-22 | Koninkl Philips Electronics Nv | Verarbeitungsgerät mit Speicherschaltung und eine Gruppe von Funktionseinheiten |
USH1291H (en) * | 1990-12-20 | 1994-02-01 | Hinton Glenn J | Microprocessor in which multiple instructions are executed in one clock cycle by providing separate machine bus access to a register file for different types of instructions |
JP3141429B2 (ja) | 1991-04-11 | 2001-03-05 | ソニー株式会社 | 記録再生装置の映像調整装置 |
US5488729A (en) * | 1991-05-15 | 1996-01-30 | Ross Technology, Inc. | Central processing unit architecture with symmetric instruction scheduling to achieve multiple instruction launch and execution |
DE69233493T2 (de) * | 1991-07-08 | 2005-07-28 | Seiko Epson Corp. | RISC-Prozessor mit erweiterbarer Architektur |
US5539911A (en) | 1991-07-08 | 1996-07-23 | Seiko Epson Corporation | High-performance, superscalar-based computer system with out-of-order instruction execution |
DE69224887T2 (de) | 1991-07-08 | 1998-07-23 | Seiko Epson Corp | Single-chip seitendrucker-steuerschaltung |
US5493687A (en) * | 1991-07-08 | 1996-02-20 | Seiko Epson Corporation | RISC microprocessor architecture implementing multiple typed register sets |
US5440752A (en) | 1991-07-08 | 1995-08-08 | Seiko Epson Corporation | Microprocessor architecture with a switch network for data transfer between cache, memory port, and IOU |
JPH06501805A (ja) | 1991-07-08 | 1994-02-24 | トランスメタ コーポレイション | 複数型レジスタ・セットを採用したriscマイクロプロセッサ・アーキテクチャ |
WO1993001563A1 (en) | 1991-07-08 | 1993-01-21 | Seiko Epson Corporation | Risc microprocessor architecture with isolated architectural dependencies |
JP3333196B2 (ja) | 1991-07-08 | 2002-10-07 | セイコーエプソン株式会社 | トラップ処理方法 |
JPH0820949B2 (ja) | 1991-11-26 | 1996-03-04 | 松下電器産業株式会社 | 情報処理装置 |
JPH07504773A (ja) | 1992-03-18 | 1995-05-25 | セイコーエプソン株式会社 | マルチ幅のメモリ・サブシステムをサポートするためのシステム並びに方法 |
IT1259012B (it) * | 1992-07-27 | 1996-03-11 | Alcatel Italia | Metodo e circuiti per la riduzione della potenza di picco del segnale filtrato trasmesso in un collegamento di tipo numerico |
JP3147134B2 (ja) | 1992-11-30 | 2001-03-19 | 三菱マテリアル株式会社 | チップ型サーミスタ及びその製造方法 |
JP3218524B2 (ja) | 1993-12-22 | 2001-10-15 | 村田機械株式会社 | ワークホルダーのはみ出し検出装置 |
US5574927A (en) * | 1994-03-25 | 1996-11-12 | International Meta Systems, Inc. | RISC architecture computer configured for emulation of the instruction set of a target computer |
US5778210A (en) * | 1996-01-11 | 1998-07-07 | Intel Corporation | Method and apparatus for recovering the state of a speculatively scheduled operation in a processor which cannot be executed at the speculated time |
US5832205A (en) * | 1996-08-20 | 1998-11-03 | Transmeta Corporation | Memory controller for a microprocessor for detecting a failure of speculation on the physical nature of a component being addressed |
US7897110B2 (en) | 2005-12-20 | 2011-03-01 | Asml Netherlands B.V. | System and method for detecting at least one contamination species in a lithographic apparatus |
-
1992
- 1992-07-07 DE DE69233493T patent/DE69233493T2/de not_active Expired - Lifetime
- 1992-07-07 EP EP05001561A patent/EP1526446A3/en not_active Withdrawn
- 1992-07-07 AT AT98119123T patent/ATE291755T1/de not_active IP Right Cessation
- 1992-07-07 KR KR1019930700691A patent/KR100299691B1/ko not_active IP Right Cessation
- 1992-07-07 JP JP50215393A patent/JP3441071B2/ja not_active Expired - Fee Related
- 1992-07-07 EP EP92914444A patent/EP0547247B1/en not_active Expired - Lifetime
- 1992-07-07 AT AT92914444T patent/ATE200357T1/de not_active IP Right Cessation
- 1992-07-07 WO PCT/JP1992/000871 patent/WO1993001546A1/en active IP Right Grant
- 1992-07-07 DE DE69231762T patent/DE69231762T2/de not_active Expired - Lifetime
- 1992-07-07 EP EP98119123A patent/EP0886209B1/en not_active Expired - Lifetime
-
1995
- 1995-03-01 US US08/397,016 patent/US5560032A/en not_active Expired - Lifetime
-
1996
- 1996-09-23 US US08/716,728 patent/US5832292A/en not_active Expired - Lifetime
-
1998
- 1998-09-22 US US09/158,568 patent/US6038653A/en not_active Expired - Fee Related
- 1998-12-28 HK HK98116067A patent/HK1014784A1/xx not_active IP Right Cessation
-
1999
- 1999-06-23 HK HK99102711A patent/HK1019251A1/xx not_active IP Right Cessation
- 1999-09-10 US US09/393,662 patent/US6282630B1/en not_active Expired - Fee Related
-
2001
- 2001-01-20 KR KR1020017000941A patent/KR100335750B1/ko not_active IP Right Cessation
- 2001-02-28 JP JP2001054372A patent/JP2001243067A/ja not_active Withdrawn
- 2001-02-28 JP JP2001054371A patent/JP2001273139A/ja not_active Withdrawn
- 2001-02-28 JP JP2001054373A patent/JP2001229023A/ja not_active Withdrawn
- 2001-05-08 US US09/850,416 patent/US7028161B2/en not_active Expired - Fee Related
-
2002
- 2002-09-13 JP JP2002267999A patent/JP3627735B2/ja not_active Expired - Lifetime
-
2004
- 2004-09-13 JP JP2004265342A patent/JP3724497B2/ja not_active Expired - Lifetime
- 2004-10-13 JP JP2004298617A patent/JP3724499B2/ja not_active Expired - Lifetime
- 2004-11-12 JP JP2004328494A patent/JP3724500B2/ja not_active Expired - Lifetime
- 2004-12-13 JP JP2004360050A patent/JP3724502B2/ja not_active Expired - Lifetime
-
2005
- 2005-01-12 JP JP2005005252A patent/JP3757982B2/ja not_active Expired - Lifetime
- 2005-02-14 JP JP2005035339A patent/JP2005129087A/ja not_active Withdrawn
- 2005-03-16 JP JP2005074144A patent/JP3864976B2/ja not_active Expired - Lifetime
- 2005-04-15 JP JP2005117624A patent/JP3724505B2/ja not_active Expired - Lifetime
- 2005-05-16 JP JP2005142583A patent/JP3724507B2/ja not_active Expired - Lifetime
- 2005-06-15 JP JP2005175209A patent/JP3744534B2/ja not_active Expired - Lifetime
- 2005-12-27 US US11/316,814 patent/US7555632B2/en not_active Expired - Fee Related
-
2006
- 2006-02-01 JP JP2006024711A patent/JP2006172496A/ja not_active Withdrawn
- 2006-12-19 US US11/640,967 patent/US7941635B2/en not_active Expired - Fee Related
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6782521B2 (en) | 1992-03-31 | 2004-08-24 | Seiko Epson Corporation | Integrated structure layout and layout of interconnections for an instruction execution unit of an integrated circuit chip |
US7174525B2 (en) | 1992-03-31 | 2007-02-06 | Seiko Epson Corporation | Integrated structure layout and layout of interconnections for an instruction execution unit of an integrated circuit chip |
US7555738B2 (en) | 1992-03-31 | 2009-06-30 | Seiko Epson Corporation | Integrated structure layout and layout of interconnections for an instruction execution unit of an integrated circuit chip |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JPH06501124A (ja) | 拡張可能riscマイクロプロセッサ・アーキテクチャ | |
JP3729202B2 (ja) | スーパースカラーマイクロプロセッサ | |
JP3552995B2 (ja) | データ処理装置 | |
JPH06502034A (ja) | アーキテクチャ上の依存関係を隔離したriscマイクロプロセッサ・アーキテクチャ | |
JP2000039996A (ja) | ス―パ―スカラ処理システム及びデ―タ処理方法 | |
JP2000122867A (ja) | トラップ処理方法 | |
JP2000122865A (ja) | 命令実行処理方法 | |
JP2000029697A (ja) | ス―パ―スカラ処理装置、デ―タ処理方法及びコンピュ―タシステム | |
JP2000122866A (ja) | デ―タ処理装置 | |
JP2000029698A (ja) | ス―パ―スカラ処理システム及びデ―タ処理方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20090620 Year of fee payment: 6 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20100620 Year of fee payment: 7 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110620 Year of fee payment: 8 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110620 Year of fee payment: 8 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120620 Year of fee payment: 9 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120620 Year of fee payment: 9 |
|
S111 | Request for change of ownership or part of ownership |
Free format text: JAPANESE INTERMEDIATE CODE: R313113 |
|
LAPS | Cancellation because of no payment of annual fees | ||
R350 | Written notification of registration of transfer |
Free format text: JAPANESE INTERMEDIATE CODE: R350 |