JP6991306B2 - 複数の埋込電極を有する基板支持体 - Google Patents

複数の埋込電極を有する基板支持体 Download PDF

Info

Publication number
JP6991306B2
JP6991306B2 JP2020503704A JP2020503704A JP6991306B2 JP 6991306 B2 JP6991306 B2 JP 6991306B2 JP 2020503704 A JP2020503704 A JP 2020503704A JP 2020503704 A JP2020503704 A JP 2020503704A JP 6991306 B2 JP6991306 B2 JP 6991306B2
Authority
JP
Japan
Prior art keywords
electrodes
switch
substrate
substrate support
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020503704A
Other languages
English (en)
Other versions
JP2020534668A (ja
Inventor
フィリップ アラン クラウス
タイ チェング チュア
ジェヨン チョー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020534668A publication Critical patent/JP2020534668A/ja
Priority to JP2021198264A priority Critical patent/JP7357664B2/ja
Application granted granted Critical
Publication of JP6991306B2 publication Critical patent/JP6991306B2/ja
Priority to JP2023162453A priority patent/JP2023182644A/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Description

背景
(分野)
本明細書で説明する諸実施形態は、概して、半導体製造で用いられる処理チャンバに関し、具体的には、基板にバイアスをかけるように構成された基板支持アセンブリを有する処理チャンバ及び基板にバイアスをかける方法に関する。
(関連技術の説明)
高アスペクト比のフィーチャーを確実に作り出すことは、半導体デバイスの超大規模集積(VLSI)及び超超大規模集積(ULSI)という次世代に向けた重要な技術課題の1つである。高アスペクト比のフィーチャーを形成する、ある1つの方法では、プラズマ支援エッチング処理を用いて、基板の誘電体層などの材料層に高アスペクト比の開口部を形成している。典型的なプラズマ支援エッチング処理では、処理チャンバ内でプラズマを形成し、プラズマからのイオンを、基板とその上のマスクに形成された開口部とに向けて加速させて、マスク表面の下の材料層に開口部を形成する。通常は、400kHzから2MHzの範囲の低周波RF電力を基板に結合させることにより、イオンは基板に向かって加速され、これにより、そこにバイアス電圧が発生する。しかしながら、RF電力を基板に結合すると、基板にはプラズマに対する単一の電圧が印加されない。一般的に用いられる構成では、基板とプラズマの間の電位差は、ゼロに近い値から最大となる負の値までRF電力の周波数で振動する。プラズマから基板へイオンを加速させている電位が単一でないことにより、基板表面及びその材料層に形成される開口部(フィーチャー)では広範囲のイオンエネルギーがもたらされる。加えて、RFバイアスに起因するイオン軌跡の相違により、基板表面に対するイオンの角度分布は大きくなる。アスペクト比の高いフィーチャーの開口部をエッチングする場合、イオンエネルギーの範囲が広いことは望ましくない。それは、イオンが、望ましいエッチング速度を維持するのに十分な高エネルギーを有してフィーチャーの底部にまで到達しないからである。基板表面に対するイオンの角度分布が大きいことも望ましくない。それは、大きな角度分布は、フィーチャープロファイルの変形(その垂直側壁のくびれや曲がりなど)を引き起こすからである。
したがって、当該技術分野では、プラズマ支援エッチング処理の間に、基板の材料表面において角度分布が小さく、エネルギー範囲も狭い高エネルギーイオンを供給できる機能が必要になっている。
概要
本開示は、概して、プラズマ支援又はプラズマ強化の処理チャンバに関する。より具体的には、本明細書の諸実施形態は、プラズマ支援又はプラズマ強化の半導体製造処理の間に、個々のパルス(周期的)DC電圧を基板の領域に供給するように構成された静電チャック(ESC)基板支持体、及び基板の領域にバイアスをかける方法に関する。
一実施形態では、基板支持体を備える基板支持アセンブリが提供され、基板支持体は、基板支持体内の複数の第1電極であって、複数の第1電極の各電極は、複数の第1電極のうちの他のすべての電極から電気的に絶縁され、それらの電極と同一平面上にあり、複数の第1電極の各電極は、パルスDC電力を、基板の領域に対してそれとの容量結合によって供給するように構成されている第1電極と、基板支持体内に配置され、複数の第1電極から電気的に絶縁された第2電極であって、基板を基板支持体に電気的にクランプする第2電極とを備える。
他の諸実施形態では、処理容積を画定する1つ以上の側壁及び底部と、基板支持体とを備える処理チャンバが提供される。基板支持体は、基板支持体内の複数の第1電極であって、複数の第1電極の各電極は、複数の第1電極のうちの他のすべての電極から電気的に絶縁され、それらの電極と同一平面上にあり、複数の第1電極の各電極は、パルスDCバイアスを、基板の領域に対してそれとの容量結合によって供給するように構成されている第1電極と、基板支持体内に配置され、複数の第1電極から電気的に絶縁された第2電極であって、基板を基板支持体に電気的にクランプする第2電極とを備える。
別の一実施形態では、複数の周期的DC電圧で基板にバイアスをかける方法が提供される。この方法は、処理ガスを処理チャンバに流入させる工程と、処理ガスからプラズマを形成する工程と、処理チャンバに配置された基板支持体に基板を電気的にクランプする工程と、複数の領域にわたって基板にバイアスをかける工程とを含む。複数の領域にわたって基板にバイアスをかける工程は、基板支持体に配置された複数のバイアス電極にスイッチングシステムによって供給された複数の周期的DC電圧を、基板のそれぞれの領域に、基板支持体の第1誘電体層の静電容量によって容量結合する工程を含む。本明細書の複数の周期的DC電圧は、ある範囲の周波数及び/又は複数の極性を含む。
本開示の上記の構成を詳細に理解することができるように、上記に簡単に要約した本開示のより具体的な説明を、諸実施形態を参照して行う。そして、これら実施形態のいくつかは添付図面に示されている。しかしながら、本開示は他の等しく有効な実施形態を含み得るので、添付図面は本開示の典型的な実施形態を示しているに過ぎず、従ってこの範囲を制限していると解釈するべきではないことに留意すべきである。
一実施形態による、内部に静電チャック(ESC)基板支持アセンブリが配置された処理チャンバの概略断面図である。 図1の処理チャンバで用いられる基板支持アセンブリの拡大断面図である。 図2Aに示される基板支持アセンブリの上面図である。 本明細書に記載の諸実施形態による、プラズマ支援処理の間に基板の領域にバイアスをかける方法を示すフロー図である。
詳細な説明
本開示の諸実施形態は、概して、プラズマ支援又はプラズマ強化の処理チャンバなどのプラズマ処理チャンバに関する。より具体的には、本明細書の諸実施形態は、プラズマ支援又はプラズマ強化の半導体製造処理の間に、基板支持体の上に配置された基板に容量結合パルスDC電圧を供給するように構成された静電チャック(ESC)基板支持体に関する。周期的DC電源への基板の容量結合(基板にパルスDCバイアスをかけること)により、基板と処理チャンバに形成されたプラズマとの間の電位差を増加させ、これにより、プラズマから基板の活性表面に向かってイオンを加速させる。RFバイアスとは対照的に、パルスDCバイアスは単一の電位をイオンに供給して、プラズマから基板まで加速させる。本明細書の基板支持体は複数のバイアス電極を備えており、この複数のバイアス電極は、パルスDC電源スイッチングシステムの一部にそれぞれ独立して接続され、基板の領域の調整可能なバイアスを、それとの容量結合により供給するようにそれぞれ構成される。本明細書の複数のバイアス電極は、基板全体にわたって処理結果の均一性を管理するのに有利なパターンで基板支持体にわたって空間的に配置される。
図1は、一実施形態による、内部に静電チャック(ESC)基板支持アセンブリ200が配置された処理チャンバ100の概略断面図である。この実施形態では、処理チャンバ100はプラズマ処理チャンバであり、例えば、プラズマエッチングチャンバ、プラズマ強化堆積チャンバ(例えば、プラズマ強化化学気相堆積(PECVD)チャンバ又はプラズマ強化原子層堆積(PEALD)チャンバ)、又はプラズマベースイオン注入チャンバ(例えばプラズマドーピング(PLAD)チャンバ)などである。
処理チャンバ100は、処理容積120を画定するチャンバ蓋103、1つ以上の側壁102、及びチャンバ底部104を特徴として有する。複数の開口部118が貫通して配置されたシャワーヘッド112がチャンバ蓋103に配置され、処理ガスをガス入口114から処理容積120内に均一に分配するために用いられる。シャワーヘッド112はRF電源142、又はいくつかの実施形態ではVHF電源に接続され、処理ガスからプラズマ135を容量結合によって形成する。処理容積120は、真空出口152を介して、1つ以上の専用真空ポンプなどの真空に流体接続されて、これにより、処理容積120は大気圧より低い圧力条件に維持され、そこから処理ガス及び他のガスが排出される。処理容積120内に配置された基板支持アセンブリ200は、チャンバ底部104を通って密封状態で延びる支持シャフト124上に配置されている。支持シャフト124は、支持シャフト124及びその上に配置された基板支持アセンブリ200を上下させるコントローラ140に接続されて、基板115の処理及び基板115の処理チャンバ100内外への移送を容易にする。通常は、基板支持アセンブリ200が上昇位置又は処理位置にあるとき、基板115は、シャワーヘッド112から約0.75インチから1.75インチの間(約1.25インチなど)の間隙を介して離れている。
基板115は、1つ以上の側壁102のうちの1つにある開口部126を通して処理容積120内に装填される。この移送開口部126は、基板115の処理の間、ドア又は弁(図示せず)で従来通りに密封されている。リフトピンフープ134の上に配置された複数のリフトピン136は、基板支持アセンブリ200を通して移動可能に配置されて、そこから及びそこへの基板115の移送を容易にする。リフトピンフープ134は、チャンバ底部104を通って密封状態で延びるリフトフープシャフト131に連結され、このリフトフープシャフト131は、アクチュエータ130によってリフトピンフープ134を上下させる。基板支持アセンブリ200は基板支持体227を有し、処理のために基板がこの基板支持体227上に配置される。リフトピンフープ134が上昇位置にあるとき、複数のリフトピン136が、基板支持体227の表面の上にまで延びて、そこから基板115を持ち上げ、ロボットハンドラ(図示せず)による基板115へのアクセスを可能にする。リフトピンフープ134が下降位置にあるとき、複数のリフトピン136は、基板支持体227の表面と同じ高さに又はそれより下にあり、基板115は処理のためにその上に直接載っている。
本明細書の基板支持アセンブリ200は、冷却ベース125を備える。基板支持体227は、冷却ベース125に熱的に結合されて配置されている。基板支持アセンブリ200の冷却ベース125を用いて、処理の間に、基板支持体227の温度を調節し、これにより基板支持面203上に配置された基板115の温度を調節する。本明細書では、冷却ベース125は、その中に配置された1つ以上の流体導管137を備えてもよい。この流体導管137は、冷媒源又は水源などの冷却剤源133に流体的に接続され、かつ流体連通している。通常は、冷却ベース125は、耐食性の熱伝導性材料(アルミニウム、アルミニウム合金、又はステンレス鋼などの耐食性金属など)で形成され、接着剤又は機械的手段により、基板支持体227に熱的に結合される。
処理の間に、基板115へのイオン衝撃により、基板115は場合によっては望ましくない高温にまで加熱される。それは、処理容積120の圧力が低いと、基板115と基板支持面203との間の熱伝導が不十分になるためである。したがって、本明細書の諸実施形態では、処理の間、基板115と基板支持面203との間に背面ガスを供給して、この背面ガスによって、基板115を基板支持面203に熱的に結合し、それらの間の熱伝達を増進させる。通常は、基板支持面203は、そこから延びる複数の突起228を備え、この突起228によって、基板115がその上に配置されたときに、背面ガスが基板115と基板支持面203との間の空間を流れる又は占有することが、可能になる。背面ガスは、基板支持体227を通して配置された1つ以上のガス導管147を通って基板支持面203へ流れる。本明細書では、1つ以上のガス導管147は、ヘリウムガス源などの熱伝導性不活性背面ガス源146に接続されている。
図2Aは、図1の処理チャンバ100で用いられる基板支持アセンブリ200の拡大断面図である。図2Bは、図2Aに示される基板支持アセンブリ200の上面図である。本明細書では、基板支持体227は、第1層227A及び第2層227Bを含み、各層227ABは、金属酸化物若しくは金属窒化物を含む誘電体材料、又は金属酸化物若しくは金属窒化物の混合物を含む誘電体層から形成されている。それらの金属酸化物又は金属窒化物とは、Al、AlN、Y、又はそれらの組み合わせなどである。いくつかの実施形態では、第1層227Aは誘電体材料で形成されており、この誘電体材料は、約20V/μmから約200V/μm(例えば、約100V/μmから約200V/μm、又は約20V/μmから約100V/μm)の絶縁破壊電圧を有する。一実施形態では、第1層227Aは、約160μmで約9kVの絶縁破壊電圧を有する99.5%アルミナから形成される。いくつかの実施形態では、バルク誘電体材料を第2層227B及びその中又はその上に配置された複数の電極に接合し、その後、バルク誘電体材料を所望の厚さDへ研削して、第1層227Aを形成することによって、基板支持体227を形成する。通常は、第1層227Aの厚さDは、約5μmから約300μmの間(例えば、約100μmから約300μmの間、例えば、約160μm)である。他の諸実施形態では、第1層227Aは、任意の適切なコーティング方法を用いて形成される。そのコーティング方法とは、CVD、PECVD、ALD、PEALD、蒸着、スパッタリング、プラズマアークコーティング、エアロゾルコーティング、又はそれらの組み合わせなどである。
本明細書の基板支持体に配置及び/又は埋め込まれた複数の電極は、複数のバイアス電極238A~C及び単一のESC電極222を含む。複数のバイアス電極の各電極は、複数のバイアス電極のうちの他のすべての電極及び単一のESC電極222から電気的に絶縁されている。本明細書の複数のバイアス電極238A~Cの各電極を構成して、1つ以上の独立したパルスDCバイアスを、基板115のそれぞれの領域に対してそれとの容量結合によって供給する。単一のESC電極222は、基板115と基板支持面203との間に電位を与えることで、その間にクランプ力を提供している。通常は、ESC電極は静的なDC電源に接続されている。本明細書では、このDC電源は、約-5000Vから約5000V(例えば、約100Vから約4000V、例えば、約1000Vから約3000V、例えば、約2000V)を供給する。
本明細書の諸実施形態では、基板支持体227は、直径300mmの基板を支持するように構成されてもよく、2から20個のバイアス電極(図示されている3つのバイアス電極238A~Cなど)を備えてもよい。ただし、より大きな基板及び/又は種々の形状の基板を処理するためのより大きな基板支持体は、任意の数のバイアス電極を備えてもよい。複数のバイアス電極238A~Cは、それぞれ1つ以上の電気伝導性材料部分(金属メッシュ、箔、プレート、又はそれらの組み合わせなど)で形成される。いくつかの実施形態では、複数のバイアス電極238A~Cの各々は、2つ以上の不連続な電気伝導性材料部分(複数の金属メッシュ、箔、プレート、又はそれらの組み合わせなど)から形成され、これらの電気伝導性材料部分は、基板支持体227に配置された1つ以上のコネクタ(図示せず)と電気的に接続されることで、電気的に接続された不連続な材料部分は単一の電極(中央バイアス電極238A、中間バイアス電極238B、又は外側バイアス電極238Cなど)を構成する。
複数のバイアス電極238A~Cは、基板115全体にわたって処理結果の均一性を管理するのに有利なパターンで基板支持体227にわたって空間的に配置される。図2Aに示す実施形態では、中央バイアス電極238Aの円形プレート及びバイアス電極238B~Cの不連続な環状部は、複数の同心ゾーンを画定する。他の空間的配置には、スポークパターン、グリッドパターン、ラインパターン、スパイラルパターン、互いに組み合わせたパターン、ランダムパターン、又はそれらの組み合わせが含まれる。本明細書の複数のバイアス電極238A~Cの各電極は、複数のバイアス電極のうちの他のすべての電極及び単一のESC電極222と同一平面上にある。単一のESC電極222は、基板支持体227と平面的に配置され、基板支持面203に平行である。複数のバイアス電極238A~Cの各電極は、単一のESC電極222から電気的に絶縁されており、そのために、単一のESC電極222に開口部が形成されて、基板支持体227の誘電体材料がそれらの間に配置されている。他の諸実施形態では、複数のバイアス電極208A~Cの各電極、又はその一部は、複数のバイアス電極のうちの他のすべての電極の少なくとも一部と同一平面上にあり、複数のバイアス電極208A~Cは、単一のESC電極222よりも基板支持面203の近くにある。
本明細書では、複数のバイアス電極238A~Cの各々は、複数のソリッドステートパルサー/スイッチャを備えるDC電源スイッチングシステム150の部分に独立して電気的に接続される。ここで、複数の第1スイッチS1、S3、S5及び複数の第2スイッチS2、S4、S6は、高電圧(HV)DC電力を、約10Hz以下から約100kHzの周波数を有する周期的DC電圧に変換し得る。複数の第1スイッチS1、S3、S5及び複数の第2スイッチS2、S4、S6はさらに、高電圧(HV)DC電力を、2%から98%の範囲のデューティサイクルを有する周期的DC電圧に変換し得る。スイッチS1~S6は、ある周波数で周期的に動作するか、又は任意のパターンに従って、又はパターンなしで必要に応じて動作する。複数のバイアス電極の各々は、複数の第1スイッチS1、S3、S5のうちの1つ、及び複数の第2スイッチS2、S4、S6のうちの1つに電気的に接続される。
本明細書では、複数の第1スイッチS1、S3、S5は、第1DC電圧源156Bに電気的に接続されており、この第1DC電圧源156Bは、例えば、正(+ve)電圧源であってもよく、複数の第2スイッチS2、S4、S6は、第2DC電圧源156Aに電気的に接続されており、この第2DC電圧源156Aは、例えば、負(-ve)電圧源であってもよい。他の諸実施形態では、2つの電圧源156A及び156Bは、電圧が異なる、両方とも正又は両方とも負の電源であってもよい。本明細書の第1DC電圧源156B及び第2DC電圧源156Aは、それぞれの電圧の大きさが約0Vから約10kVの間の正又は負のDCバイアスを供給する。
S1とS2、S3とS4、又はS5とS6などのスイッチの各組は独立して動作して、正又は負の極性の周期的DC電圧の個々の周波数、パターン又は動作を、基板支持体227の各バイアス電極238A~Cに供給し、それとの容量結合により、基板支持体227上に配置された基板115のそれぞれの領域に個々のパルスDCバイアスを供給する。通常は、負のDCパルスを基板領域に接続すると、基板領域とプラズマ135の間の電位差が増加し、パルスの間、基板領域はプラズマよりも負の電位になる。この負のDCバイアスの場合、プラズマ内で正に帯電している化学種が、基板領域の表面に向かって加速して、基板領域の処理が達成される。正のDCパルスを基板領域に接続すると、基板領域とプラズマ135の間の電位差が増加し、パルスの間、基板領域はプラズマよりも正の電位になる。この正のDCバイアスの場合、プラズマ内で負に帯電している化学種が、基板領域の表面に向かって加速して、基板領域の処理が達成される。正と負の両方のDCバイアス条件に対して、種々の基板領域に供給される周期的DC電圧の周波数、デューティサイクル、及び/又は持続時間を調節する機能により、基板全体の処理の均一性の調整とその改善が可能になる。他にも有用な特性として、正と負の両方のDCバイアスパルスを印加する機能により、基板領域の電荷中和が提供されて、基板領域の表面は、定期的に中性電荷状態になり得る。
図3は、本明細書に記載の諸実施形態による、プラズマ支援処理の間に基板の領域にバイアスをかける方法300を示すフロー図である。ステップ310で、方法300は、処理ガスを処理チャンバに流入させる工程を含み、ステップ320で、この方法は、処理ガスからプラズマを形成する工程を含む。
ステップ330で、方法300は、処理チャンバに配置された基板支持体に、基板支持体に配置されたチャック電極を用いて基板を電気的にクランプする工程を含む。ここで、この基板支持体は第1誘電体層及び第2誘電体層を含んでいる。
ステップ340で、方法300は、基板支持体内に配置された複数のバイアス電極に複数の周期的DC電圧を供給する工程を含む。ここで、それぞれの周期的DC電圧は、個々のパルスDCバイアスを、基板の領域に対してそれとの容量結合によって供給する。いくつかの実施形態では、複数の周期的DC電圧は、複数の極性、複数の周波数、複数のデューティサイクル、及び/又は複数の持続時間を含む。パルスDCバイアスは、ステップ330で形成されたプラズマ内のイオンを基板に向かって加速させて、基板上で堆積や除去などの材料処理を実行する。ステップ320の後、ステップ330の後、ステップ340の後、又はステップ350の後にプラズマを形成してもよいことに留意すべきである。
本明細書に記載の基板支持アセンブリ及び方法により、静電クランプ力の使用に適合する、プラズマ支援処理の間の個々の基板領域の容量結合パルスDCバイアスが可能になる。パルスDCバイアスにより、基板表面及び/又はその領域、並びにその中に形成されたフィーチャー開口部におけるイオンのエネルギー及び角度の分布の制御が向上する。制御の向上は、少なくとも高アスペクト比のフィーチャー及び/又は直角なエッチングプロファイルを必要とするフィーチャーの形成において望ましい。そのような例には、シャロートレンチアイソレーション(STI)への適用のための、又はFinFET技術で用いられるシリコンフィンのためのシリコンエッチングなどがある。さまざまな周波数、デューティサイクル、極性、及び/又は持続時間のDCパルスを基板の種々の領域に印加する機能により、基板全体の処理の均一性の調整とその改善が可能になる。
上記は本開示の実施形態を対象としているが、本開示の他のさらなる実施形態を、その基本的な範囲から逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (13)

  1. 基板支持体内の複数の第1電極であって、
    複数の第1電極の各電極は、複数の第1電極のうちの他のすべての電極から電気的に絶縁され、それらの電極と同一平面上にあり、
    複数の第1電極の各電極は、パルスDC電力を、基板の領域に対してそれとの容量結合によって供給するように構成され、
    複数の第1電極の各電極は、独立して電気的にパルスDCバイアススイッチングシステムのそれぞれのスイッチペアに結合され、
    各スイッチペアは別個の第1スイッチおよび別個の第2スイッチを含み、
    各スイッチペアは他のスイッチペアから独立して動作するように構成され、
    第1スイッチは第1DC電圧源に電気的に結合され、第2スイッチは第2DC電圧源に電気的に結合されている第1電極と、
    基板支持体内に配置され、複数の第1電極から電気的に絶縁された第2電極であって、基板を基板支持体に電気的にクランプする第2電極とを備える基板支持アセンブリ。
  2. 複数の第1電極の各電極の少なくとも一部が、第2電極と同一平面上にある、請求項1に記載の基板支持アセンブリ。
  3. 複数の第1電極の1つ以上の電極の少なくとも一部は、第2電極よりも基板支持体の基板支持面の近くにある、請求項1に記載の基板支持アセンブリ。
  4. 第2電極は単一の伝導体を含み、この単一の伝導体は複数の第1電極から、その中に形成された複数の開口部によって電気的に絶縁されている、請求項1に記載の基板支持アセンブリ。
  5. スイッチペアの各スイッチは、最大約100kHzまでの周波数で切り替わるように構成されたソリッドステートスイッチである、請求項に記載の基板支持アセンブリ。
  6. 処理容積を画定する1つ以上の側壁及び底部と、
    基板支持体であって、
    基板支持体内の複数の第1電極であって、
    複数の第1電極の各電極は、複数の第1電極のうちの他のすべての電極から電気的に絶縁され、
    複数の第1電極の各電極は、パルスDCバイアスを、基板の領域に対してそれとの容量結合によって供給するように構成され、
    複数の第1電極の各電極は、独立して電気的にパルスDCバイアススイッチングシステムのそれぞれのスイッチペアに結合され、
    各スイッチペアは別個の第1スイッチおよび別個の第2スイッチを含み、
    各スイッチペアは他のスイッチペアから独立して動作するように構成され、
    第1スイッチは第1DC電圧源に電気的に結合され、第2スイッチは第2DC電圧源に電気的に結合されている第1電極と、
    基板支持体内に配置され、複数の第1電極から電気的に絶縁された第2電極であって、基板を基板支持体に電気的にクランプする第2電極とを備える基板支持体とを備える処理チャンバ。
  7. 複数の第1電極の各電極の少なくとも一部が第2電極と同一平面上にある、請求項6に記載の処理チャンバ。
  8. 複数の第1電極のうちの1つ以上の電極の少なくとも一部が、第2電極よりも基板支持体の基板支持面の近くにある、請求項6に記載の処理チャンバ。
  9. 第2電極は単一の伝導性材料部分を含み、この単一の伝導性材料部分は、複数の第1電極から電気的に絶縁されている、請求項6に記載の処理チャンバ。
  10. 処理ガスを処理チャンバに流入させる工程と、
    処理ガスからプラズマを形成する工程と、
    処理チャンバに配置された基板支持体に、基板支持体に配置されたチャック電極を用いて基板を電気的にクランプする工程であって、基板支持体は、第1誘電体層及び第2誘電体層を含んでいる工程と、
    基板支持体内に配置された複数のバイアス電極に複数の周期的DC電圧を供給する工程であって、
    それぞれの周期的DC電圧は、個々のパルスDCバイアスを、基板の領域に対してそれとの容量結合によって供給し、
    複数のバイアス電極の各電極は、複数のバイアス電極のうちの他のすべての電極から電気的に絶縁され、それらの電極と同一平面上にあり、
    複数のバイアス電極の各電極は、独立して電気的にパルスDCバイアススイッチングシステムのそれぞれのスイッチペアに結合され、
    各スイッチペアは別個の第1スイッチおよび別個の第2スイッチを含み、
    各スイッチペアは他のスイッチペアから独立して動作するように構成され、
    第1スイッチは第1DC電圧源に電気的に結合され、第2スイッチは第2DC電圧源に電気的に結合されている工程とを含む、基板を処理する方法。
  11. 複数の周期的DC電圧は2つ以上の極性を含んでいる、請求項10に記載の方法。
  12. 複数の周期的DC電圧は、約10Hzから約100kHzの間の2つ以上の周波数を含んでいる、請求項10に記載の方法。
  13. チャック電極は単一の伝導性材料部分を含み、この単一の伝導性材料部分は複数のバイアス電極から、その中に形成された複数の開口部によって電気的に絶縁されている、請求項10に記載の方法。
JP2020503704A 2017-09-20 2018-07-19 複数の埋込電極を有する基板支持体 Active JP6991306B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2021198264A JP7357664B2 (ja) 2017-09-20 2021-12-07 複数の埋込電極を有する基板支持体
JP2023162453A JP2023182644A (ja) 2017-09-20 2023-09-26 複数の埋込電極を有する基板支持体

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/710,753 2017-09-20
US15/710,753 US10510575B2 (en) 2017-09-20 2017-09-20 Substrate support with multiple embedded electrodes
PCT/US2018/042956 WO2019060028A1 (en) 2017-09-20 2018-07-19 SUBSTRATE SUPPORT WITH MULTIPLE INTEGRATED ELECTRODES

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021198264A Division JP7357664B2 (ja) 2017-09-20 2021-12-07 複数の埋込電極を有する基板支持体

Publications (2)

Publication Number Publication Date
JP2020534668A JP2020534668A (ja) 2020-11-26
JP6991306B2 true JP6991306B2 (ja) 2022-01-12

Family

ID=65720591

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2020503704A Active JP6991306B2 (ja) 2017-09-20 2018-07-19 複数の埋込電極を有する基板支持体
JP2021198264A Active JP7357664B2 (ja) 2017-09-20 2021-12-07 複数の埋込電極を有する基板支持体
JP2023162453A Pending JP2023182644A (ja) 2017-09-20 2023-09-26 複数の埋込電極を有する基板支持体

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2021198264A Active JP7357664B2 (ja) 2017-09-20 2021-12-07 複数の埋込電極を有する基板支持体
JP2023162453A Pending JP2023182644A (ja) 2017-09-20 2023-09-26 複数の埋込電極を有する基板支持体

Country Status (6)

Country Link
US (4) US10510575B2 (ja)
JP (3) JP6991306B2 (ja)
KR (3) KR102493914B1 (ja)
CN (2) CN115799030A (ja)
TW (3) TWI801953B (ja)
WO (1) WO2019060028A1 (ja)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11004660B2 (en) * 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US10510575B2 (en) * 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
EP3748668B1 (en) * 2018-01-29 2022-08-24 ULVAC, Inc. Reactive ion etching device
US10840086B2 (en) * 2018-04-27 2020-11-17 Applied Materials, Inc. Plasma enhanced CVD with periodic high voltage bias
JP7134695B2 (ja) * 2018-04-27 2022-09-12 東京エレクトロン株式会社 プラズマ処理装置、及び電源制御方法
JP6965205B2 (ja) * 2018-04-27 2021-11-10 東京エレクトロン株式会社 エッチング装置、及びエッチング方法
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
EP3834285A4 (en) 2018-08-10 2022-07-20 Eagle Harbor Technologies, Inc. PLASMA JACKET CONTROL FOR RF PLASMA REACTORS
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11043387B2 (en) 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
EP4082036A4 (en) 2019-12-24 2023-06-07 Eagle Harbor Technologies, Inc. NANOSECOND PULSE RF ISOLATION FOR PLASMA SYSTEMS
JP7344821B2 (ja) * 2020-03-17 2023-09-14 東京エレクトロン株式会社 プラズマ処理装置
JP7450427B2 (ja) 2020-03-25 2024-03-15 東京エレクトロン株式会社 基板支持器及びプラズマ処理装置
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
EP4244882A4 (en) * 2020-11-16 2024-08-21 Applied Materials Inc METHODS AND APPARATUS FOR CONTROLLING RF POLARIZATION AREA FOR STRESS UNIFORMITY
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
KR20230175233A (ko) * 2021-04-23 2023-12-29 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 기판 처리 방법
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US12106938B2 (en) 2021-09-14 2024-10-01 Applied Materials, Inc. Distortion current mitigation in a radio frequency plasma processing chamber
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US12111341B2 (en) 2022-10-05 2024-10-08 Applied Materials, Inc. In-situ electric field detection method and apparatus

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010219491A (ja) 2009-02-20 2010-09-30 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置および記憶媒体
JP2011035266A (ja) 2009-08-04 2011-02-17 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法

Family Cites Families (170)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
KR900005538A (ko) * 1988-09-23 1990-04-14 김정배 Dc형 플라즈마 표시소자와 그 구동방법
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
JPH06275222A (ja) * 1993-03-17 1994-09-30 Nissin Electric Co Ltd 複数電極による自己バイアス制御装置
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
JP3292270B2 (ja) * 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
JP3499104B2 (ja) * 1996-03-01 2004-02-23 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6055150A (en) * 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
EP1038042A1 (en) 1997-10-15 2000-09-27 Tokyo Electron Limited Apparatus and method for utilizing a plasma density gradient to produce a flow of particles
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6273958B2 (en) * 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
WO2001052302A1 (en) 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
PT1253216E (pt) * 2001-04-27 2004-04-30 Europ Economic Community Metodo e aparelhagem para tratamento sequencial por plasma
US20030029859A1 (en) * 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
DE10341717A1 (de) * 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7393432B2 (en) 2004-09-29 2008-07-01 Lam Research Corporation RF ground switch for plasma processing system
US7601246B2 (en) * 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7648914B2 (en) * 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7396412B2 (en) 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
JP3910210B2 (ja) 2005-05-13 2007-04-25 松下電器産業株式会社 誘電体バリア放電ランプ点灯装置
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
EP1982400A4 (en) 2006-01-23 2014-08-13 Audera Internat Sales Inc POWER SUPPLY FOR LIMITED POWER SOURCES AND AUDIOVER AMPLIFIERS WITH A POWER SUPPLY
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
US20080106842A1 (en) * 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
EP2102889B1 (en) 2006-12-12 2020-10-07 Evatec AG Rf substrate bias with high power impulse magnetron sputtering (hipims)
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
EP2097920B1 (de) 2007-07-23 2017-08-09 TRUMPF Hüttinger GmbH + Co. KG Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) * 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
US8133359B2 (en) * 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
WO2009073361A1 (en) 2007-11-29 2009-06-11 Lam Research Corporation Pulsed bias plasma process to control microloading
KR101415551B1 (ko) * 2008-01-25 2014-07-04 (주)소슬 정전척, 이의 제조 방법 및 이를 포함하는 기판 처리 장치
JP5429772B2 (ja) * 2008-06-30 2014-02-26 株式会社アルバック 電源装置
TWI390582B (zh) * 2008-07-16 2013-03-21 Sumitomo Heavy Industries Plasma processing device and plasma processing method
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) * 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
JP5960384B2 (ja) * 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US8270141B2 (en) * 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
EP2362001A1 (en) * 2010-02-25 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and device for layer deposition
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
JP5638617B2 (ja) * 2010-09-15 2014-12-10 三菱電機株式会社 高周波電力供給装置、プラズマ処理装置及び薄膜製造方法
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
KR101909571B1 (ko) 2012-08-28 2018-10-19 어드밴스드 에너지 인더스트리즈 인코포레이티드 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
US20140273487A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Pulsed dc plasma etching process and apparatus
WO2014159144A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc Uv-assisted reactive ion etch for copper
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US20140263182A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
WO2014197611A1 (en) 2013-06-04 2014-12-11 Eagle Harbor Technologies, Inc. Analog integrator system and method
JP6441927B2 (ja) * 2013-08-06 2018-12-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 局部的に加熱されるマルチゾーン式の基板支持体
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
WO2015042302A1 (en) * 2013-09-20 2015-03-26 Applied Materials, Inc. Substrate carrier with integrated electrostatic chuck
US20150111394A1 (en) * 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
CN103531429B (zh) * 2013-10-31 2016-03-02 中微半导体设备(上海)有限公司 等离子体刻蚀装置及其刻蚀方法
CN116633324A (zh) 2013-11-14 2023-08-22 鹰港科技有限公司 高压纳秒脉冲发生器
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US9706630B2 (en) 2014-02-28 2017-07-11 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US9101038B2 (en) * 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
KR102222902B1 (ko) * 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
KR20160022458A (ko) * 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
JP6424120B2 (ja) * 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
JP6971229B2 (ja) * 2015-11-09 2021-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 底部処理
WO2017095890A1 (en) 2015-11-30 2017-06-08 Eagle Harbor Technologies, Inc. High voltage transformer
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US10804886B2 (en) 2016-06-21 2020-10-13 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
US10268846B2 (en) 2016-12-30 2019-04-23 Eagle Harbor Technologies, Inc. High voltage inductive adder
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
WO2018148182A1 (en) 2017-02-07 2018-08-16 Eagle Harbor Technologies, Inc. Transformer resonant converter
CN110771041B (zh) 2017-03-31 2023-10-03 鹰港科技有限公司 高压电阻性输出级电路
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10510575B2 (en) * 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10763150B2 (en) * 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10904996B2 (en) * 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10714372B2 (en) * 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US20190088518A1 (en) * 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
US10475622B2 (en) 2017-09-26 2019-11-12 Advanced Energy Industries, Inc. System and method for plasma ignition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010219491A (ja) 2009-02-20 2010-09-30 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置および記憶媒体
JP2011035266A (ja) 2009-08-04 2011-02-17 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法

Also Published As

Publication number Publication date
US10510575B2 (en) 2019-12-17
TW201933417A (zh) 2019-08-16
KR102409327B1 (ko) 2022-06-14
KR20200031184A (ko) 2020-03-23
TW202145296A (zh) 2021-12-01
WO2019060028A1 (en) 2019-03-28
CN115799030A (zh) 2023-03-14
US10937678B2 (en) 2021-03-02
US20210183681A1 (en) 2021-06-17
JP2023182644A (ja) 2023-12-26
JP2020534668A (ja) 2020-11-26
TW202335168A (zh) 2023-09-01
US20200118861A1 (en) 2020-04-16
KR102493914B1 (ko) 2023-01-30
JP2022043120A (ja) 2022-03-15
TWI739018B (zh) 2021-09-11
JP7357664B2 (ja) 2023-10-06
US20190088520A1 (en) 2019-03-21
KR20220082946A (ko) 2022-06-17
KR102360855B1 (ko) 2022-02-08
CN110998782A (zh) 2020-04-10
US20210313213A1 (en) 2021-10-07
CN110998782B (zh) 2022-11-25
TWI801953B (zh) 2023-05-11
KR20220019853A (ko) 2022-02-17

Similar Documents

Publication Publication Date Title
JP6991306B2 (ja) 複数の埋込電極を有する基板支持体
TWI801409B (zh) 用於將電壓耦接到基板的部份的系統
US10763150B2 (en) System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
JP6967656B2 (ja) 2つの埋込電極を有する基板支持体
TWI771470B (zh) 具有電浮電源供應的基板支撐件
TW202025215A (zh) 電漿處理用方法及設備
WO2017039920A1 (en) Process chamber for cyclic and selective material removal and etching
WO2022259793A1 (ja) プラズマ処理装置
TWI853531B (zh) 用於將電壓耦接到基板的部份的系統
TWI851944B (zh) 用於循環與選擇性材料移除與蝕刻的處理腔室

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200323

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210216

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210218

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210514

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210628

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211109

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211207

R150 Certificate of patent or registration of utility model

Ref document number: 6991306

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150