CN110998782A - 具有多个嵌入式电极的基板支撑件 - Google Patents

具有多个嵌入式电极的基板支撑件 Download PDF

Info

Publication number
CN110998782A
CN110998782A CN201880053380.1A CN201880053380A CN110998782A CN 110998782 A CN110998782 A CN 110998782A CN 201880053380 A CN201880053380 A CN 201880053380A CN 110998782 A CN110998782 A CN 110998782A
Authority
CN
China
Prior art keywords
substrate
electrodes
substrate support
electrode
switches
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880053380.1A
Other languages
English (en)
Other versions
CN110998782B (zh
Inventor
P·A·克劳斯
T·C·楚
赵在龙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202211408065.0A priority Critical patent/CN115799030A/zh
Publication of CN110998782A publication Critical patent/CN110998782A/zh
Application granted granted Critical
Publication of CN110998782B publication Critical patent/CN110998782B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供了一种用于在等离子体辅助处理腔室中将基板偏压的区域的方法和设备。将基板(或基板的区域)偏压增加了在基板与处理腔室中形成的等离子体之间的电位差,从而将来自等离子体的离子加速朝向基板区域的活性表面。在本文中的多个偏压电极以有利于管理跨越基板的处理结果的均匀性的图案的方式跨越基板支撑件而空间地布置。

Description

具有多个嵌入式电极的基板支撑件
背景技术
技术领域
在本文中描述的实施例总体上涉及在半导体制造中使用的处理腔室,具体地,涉及具有被配置为偏压基板的基板支撑组件的处理腔室和偏压基板的方法。
对相关技术的描述
可靠地产生高深宽比特征是半导体器件的下一代超大规模集成0电路(VLSI)和极大规模集成电路(ULSI)的关键技术挑战之一。形成高深宽比特征的一种方法使用等离子体辅助蚀刻工艺以在基板的材料层(诸如介电层)中形成高深宽比开口。在典型的等离子体辅助蚀刻工艺中,在处理腔室中形成等离子体,并且来自等离子体的离子朝向基板和在基板上的掩模中形成的开口加速,以在掩模表面下方的材料层中形成开口。通常,通过将400kHz至2MHz的范围中的低频RF功率耦合到基板来将离子朝向基板加速,从而在基板上产生偏压电压。然而,将RF功率耦合到基板不会相对于等离子体向基板施加单电压。在常用配置中,在基板和等离子体之间的电位差以RF功率的频率从接近零值振荡到最大负值。缺少单电位(所述单电位将离子从等离子体加速到基板)导致在基板表面处和在基板的材料层中形成的开口(特征)中的大范围的离子能量。另外,由RF偏压产生的不同离子轨迹相对于基板表面而产生离子的大角度分布。当蚀刻高深宽比特征的开口时,大范围的离子能量是不期望的,因为离子没有以足够高的能量到达特征的底部以维持期望的蚀刻速率。相对于基板表面的离子的大角度分布是不期望的,因为其导致特征轮廓的变形,诸如在特征轮廓的垂直侧壁中的颈缩以及弯曲。
因此,本领域中存在有能够在等离子体辅助蚀刻工艺期间在基板的材料表面处提供具有低角度分布的窄范围的高能量离子的需求。
发明内容
本公开内容总体上涉及等离子体辅助处理腔室或者等离子体增强处理腔室。更具体地,在本文中的实施例涉及被配置为在等离子体辅助半导体制造工艺或等离子体增强半导体制造工艺期间向基板的区域提供单独的脉冲(循环)DC电压的静电吸盘(ESC)基板支撑件以及偏压基板的区域的方法。
在一个实施例中,提供了一种基板支撑组件,所述基板支撑组件包括基板支撑件,所述基板支撑件包括:多个第一电极,所述多个第一电极在基板支撑件内,所述多个第一电极中的每个电极与所述多个第一电极中的每个其他电极电隔离且共面,其中所述多个第一电极中的每个电极被配置为通过与基板的区域的电容耦合而向基板的区域提供脉冲DC功率;和第二电极,所述第二电极设置在基板支撑件内并且与所述多个第一电极电隔离,用于将基板电夹持至基板支撑件。
其他实施例提供了一种处理腔室,包括:一个或多个侧壁和底部,所述一个或多个侧壁和底部界定处理容积;和基板支撑件。所述基板支撑件包括:多个第一电极,所述多个第一电极在基板支撑件内,所述多个第一电极中的每个电极与所述多个第一电极中的每个其他电极电隔离且共面,其中所述多个第一电极中的每个电极被配置为通过与基板的区域电容耦合而向基板的区域提供脉冲DC偏压;和第二电极,所述第二电极设置在基板支撑件内并与所述多个第一电极电隔离,用于将基板电夹持到基板支撑件。
在另一个实施例中,提供了一种用多个循环DC电压偏压基板的方法。方法包括以下步骤:使处理气体流到处理腔室中;从处理气体形成等离子体;将基板电夹持到设置在处理腔室中的基板支撑件;和跨越多个区域偏压基板。跨越多个区域偏压基板包括以下步骤:将通过开关系统而提供给设置在基板支撑件中的多个偏压电极的多个循环DC电压通过基板支撑件的第一介电层的电容而耦合到基板的相应区域。在本文中的多个循环DC电压包括一系列的频率和/或多个极性。
附图简单说明
因此,以可详细地理解本公开文本的上述特征的方式,可通过参考实施例而获得对上面简要概述的本公开内容的更具体的描述,其中一些实施例示出在附图中。然而,应注意到,附图仅示出了本公开内容的典型实施例,且因此不应视为限制本公开内容的范围,因为本公开内容可以允许其他同等有效的实施例。
图1是根据一个实施例的处理腔室的示意性剖视图,其中静电吸盘(ESC)基板支撑组件设置在所述处理腔室中。
图2A是在图1的处理腔室中使用的基板支撑组件的特写剖视图。
图2B是图2A中所示的基板支撑组件的俯视图。
图3是示出根据在本文中描述的实施例的在等离子体辅助处理期间偏压基板的区域的方法的流程图。
具体实施方式
本公开内容的实施例总体上涉及等离子体处理腔室,诸如等离子体辅助处理腔室或等离子体增强处理腔室。更具体地,在本文中的实施例涉及静电吸盘(ESC)基板支撑件,所述静电吸盘(ESC)基板支撑件被配置为在等离子体辅助半导体制造处理或等离子体增强半导体制造处理期间向设置在所述静电吸盘(ESC)基板支撑件上的基板提供电容耦合的脉冲DC电压。将基板电容耦合到循环DC功率源(在基板上放置脉冲DC偏压)增加了在基板与处理腔室中形成的等离子体之间的电位差,从而将离子从等离子体加速朝向基板的活性表面。与RF偏压相反,脉冲DC偏压为离子从等离子体加速到基板提供单电位。在本文中的基板支撑件包括多个偏压电极,每个偏压电极独立地耦合到脉冲DC功率供应开关系统的部分,并且每个偏压电极配置成通过与基板的区域的电容耦合来提供基板的区域的可调谐偏压。在本文中的多个偏压电极以有利于管理跨越基板的处理结果的均匀性的图案的方式跨越基板支撑件而空间地布置。
图1是根据一个实施例的处理腔室100的示意性剖视图,处理腔室100具有设置于处理腔室100中的静电吸盘(ESC)基板支撑组件200。在此实施例中,处理腔室100是等离子体处理腔室,诸如等离子体蚀刻腔室、等离子体增强沉积腔室(例如等离子体增强化学气相沉积(PECVD)腔室或等离子体增强原子层沉积(PEALD)腔室)或基于等离子体的离子注入腔室(例如等离子体掺杂(PLAD)腔室)。
处理腔室100的特征在于界定处理容积120的腔室盖103、一个或多个侧壁102和腔室底部104。喷头112(具有设置成通过喷头112的多个开口118)设置在腔室盖103中,并用以将处理气体从气体入口114均匀地分配到处理容积120中。喷头112耦合到RF功率供应142(或在一些实施例中,VHF功率供应),RF功率供应142通过与其的电容耦合而从处理气体形成等离子体135。处理容积120通过真空出口152流体耦合到真空,诸如流体耦合到一个或多个专用真空泵,真空出口152将处理容积120保持在低于大气压的条件下并从处理容积120排出处理气体和其他气体。设置在处理容积120中的基板支撑组件200设置在支撑轴124上,支撑轴124密封地延伸通过腔室底部104。支撑轴124耦合到控制器140,控制器140升高和降低支撑轴124和设置在支撑轴124上的基板支撑组件200,以促进基板115的处理和将基板115传送进出处理腔室100。通常,当基板支撑组件200处于升高位置或处理位置时,基板115与喷头112间隔开介于约0.75英寸和1.75英寸之间,诸如约1.25英寸。
基板115通过一个或多个侧壁102中的一个中的开口126装载到处理容积120中,开口126通常在基板115处理期间用门或阀(未示出)密封。设置在升降销箍134上方的多个升降销136可移动地设置通过基板支撑组件200,以促进传送基板115往返基板支撑组件200。升降销箍134耦合到升降箍轴131,升降箍轴131密封地延伸通过腔室底部104,升降箍轴131通过致动器130升高和降低升降销箍134。基板支撑组件200具有基板支撑件227,在基板支撑件227上设置基板用于处理。当升降销箍134处于升高位置时,多个升降销136在基板支撑件227的表面上方延伸,从而从基板支撑件227的表面升降基板115并能够通过机器人处理器(未示出)接近基板115。当升降销箍134处于降低位置时,多个升降销136与基板支撑件227的表面齐平或在基板支撑件227的表面下方,且基板115直接安置在基板支撑件227的表面上以进行处理。
在本文中的基板支撑组件200包括冷却基座125。基板支撑件227热耦合到冷却基座125并设置在冷却基座125上。基板支撑组件200的冷却基座125用以在处理期间调节基板支撑件227的温度,并由此调节设置在基板支撑表面203上的基板115的温度。在本文中,冷却基座125可以包括设置在冷却基座125中的一个或多个流体管道137,所述一个或多个流体管道137流体耦合到冷却剂源133(诸如制冷剂源或水源)并与冷却剂源133流体连通。通常,冷却基座125由耐腐蚀的导热材料形成,诸如耐腐蚀金属,例如铝、铝合金或不锈钢,并且用粘结剂或通过机械手段来热耦合到基板支撑件227。
在处理期间,基板115的离子轰击将加热基板115到可能不期望的高温,因为处理容积120的低压导致在基板115与基板支撑表面203之间的不良热传导。因此,在本文中的实施例中,在处理期间,在基板115和基板支撑表面203之间提供背侧气体,其中背侧气体将基板115热耦合到基板支撑表面203并增加其之间的热传递。通常,基板支撑表面203包括从基板支撑表面203延伸的多个突起228,当基板115设置在基板支撑表面203上时,所述多个突起228能够使背侧侧面气体在基板115和基板支撑表面203之间的空间流动或者占据在基板115和基板支撑表面203之间的空间。背侧气体通过一个或多个气体导管147而流到基板支撑表面203,所述一个或多个气体导管147通过基板支撑件227设置。在本文中,一个或多个气体导管147耦合到导热惰性背侧气体源146,诸如氦气源。
图2A是图1的处理腔室100中使用的基板支撑组件200的特写剖视图。图2B是图2A中所示的基板支撑组件200的俯视图。在本文中,基板支撑件227包括第一层227A和第二层227B,其中每个层227AB由包括金属氧化物或金属氮化物的介电材料、或包括金属氧化物或金属氮化物的混合物的介电材料(诸如Al2O3、AlN、Y2O3或其组合)形成。在一些实施例中,第一层227A由具有在约20V/μm与约200V/μm之间(诸如在约100V/μm与约200V/μm之间或在约20V/μm和约100V/μm之间)的击穿电压的介电材料形成。在一个实施例中,第一层227A由具有在约160μm下的击穿电压为9kV的99.5%的氧化铝形成。在一些实施例中,通过在将大块的介电材料研磨到期望的厚度D以形成第一层227A之前,将大块的介电材料接合到第二层227B和设置在第二层227B中或第二层227B上的多个电极,从而形成基板支撑件227。通常,第一层227A的厚度D在约5μm和约300μm之间,诸如在约100μm和约300μm之间,例如约160μm。在其他实施例中,使用任何合适的涂覆方法(诸如CVD、PECVD、ALD、PEALD、蒸发、溅射、等离子体弧涂覆、气溶胶涂覆或其组合)来形成第一层227A。
在本文中的设置和/或嵌入在基板支撑件中的多个电极包括多个偏压电极238A-C和单一ESC电极222。多个偏压电极中的每个电极与多个偏压电极中的每个其他电极电隔离,并与单一ESC电极222电隔离。于此的多个偏压电极238A-C的每个电极配置成通过与基板115的各个区域的电容耦合而向基板115的相应区域提供一个或多个独立的脉冲DC偏压。单一ESC电极222通过在基板115和基板支撑表面203之间提供电位而在基板115和基板支撑表面203之间提供夹持力。通常,ESC电极耦合到静态DC功率供应,在本文中,静态DC功率供应提供在约-5000V和约5000V之间,诸如在约100V和约4000V之间,诸如在约1000V和约3000V之间,例如约2000V。
在本文中的实施例中,基板支撑件227可被配置为支撑300mm直径的基板并可包括在2和20个之间的偏压电极,诸如所示的三个偏压电极238A-C,然而,用于处理更大基板和/或不同形状的基板的更大的基板支撑件可以包括任何数量的偏压电极。多个偏压电极238A-C各自由一个或多个导电材料部分(诸如金属网、箔、板或其组合)形成。在一些实施例中,多个偏压电极238A-C中的每一个由多于一个不连续导电材料部分(诸如多个金属网、箔、板或其组合)形成,所述多于一个不连续导电材料部分与设置在基板支撑件227中的一个或多个连接器电耦合(未示出),使得电耦合的不连续材料部分包括单电极,诸如中心偏压电极238A、中间偏压电极238B或外部偏压电极238C。
多个偏压电极238A-C以有利于管理跨越基板115的处理结果的均匀性的图案的方式跨越基板支撑件227而空间地布置。在图2A所示的实施例中,中心偏压电极238A的圆形板和偏压电极238B-C的不连续环形区域界定多个同心区域。可使用其他空间布置,包括辐条图案、网格图案、线图案、螺旋图案、交叉图案、随机图案或其组合。在本文中,多个偏压电极238A-C中的每个电极与多个偏压电极中的每个其他电极和单一ESC电极222共面。单一ESC电极222与基板支撑件227平面地设置并平行于基板支撑表面203。多个偏压电极238A-C中的每个电极通过形成在单一ESC电极222中的开口和通过设置在其之间的基板支撑件227的介电材料而与单一ESC电极222电隔离。在其他实施例中,多个偏压电极208A-C的每个电极或多个偏压电极208A-C的每个电极的一部分与多个偏压电极的每个其他电极的至少一部分共面,且多个偏压电极208A-C比单一ESC电极222更接近基板支撑表面203。
在本文中,多个偏压电极238A-C中的每一个独立地电耦合到包括多个固态脉冲发生器/切换器的DC功率供应切换系统150的部分,在本文中,多个第一开关S1、S3、S5和多个第二开关S2、S4、S6能够将高压(HV)DC功率转换为具有在约10Hz(或更低)和约100kHZ之间的频率的循环DC电压。多个第一开关S1、S3、S5和多个第二开关S2、S4、S6进一步能够将高压(HV)DC功率转换为具有在2%至98%的范围中的占空比的循环DC电压。开关S1-S6以一定频率循环地操作或根据任何模式或无模式依需求而操作。多个偏压电极中的每一个电耦合到多个第一开关S1、S3、S5中的一个以及多个第二开关S2、S4、S6中的一个。
在本文中,多个第一开关S1、S3、S5电耦合到第一DC电压源156B,第一DC电压源156B可为(例如)正(+ve)电压源,并且多个第二开关S2、S4、S6电耦合到第二DC电压源156A,第二DC电压源156A可为例如负(-ve)电压源。在其他实施例中,两个电压源156A和156B可都是不同电压的正源或都是不同电压的负源。在本文中的第一DC电压源和第二DC电压源156B和156A在其对应的电压幅度中提供在约0V和约10kV之间的DC偏压(正的或负的)。
每组开关(诸如S1和S2、S3和S4或S5和S6)独立地操作,从而向基板支撑件227的各个偏压电极238A-C提供正极性或负极性的循环DC电压的单独的频率、图案或操作,并通过与其的相应区域的电容耦合,来向设置在基板支撑件227上的基板115的相应区域提供单独的脉冲DC偏压。通常,将负DC脉冲耦合到基板区域将增加在基板区域和等离子体135之间的电位差,其中基板区域在脉冲期间处于比等离子体更负的电位。在这种负DC偏压的情况下,等离子体中的带正电荷的物质将朝向基板区域的表面加速,从而实现基板区域的处理。将正DC脉冲耦合到基板区域将增加在基板区域和等离子体135之间的电位差,其中基板区域在脉冲期间处于比等离子体更正的电位。在这种正DC偏压的情况下,等离子体中的带负电荷的物质将朝向基板区域的表面加速,从而实现基板区域的处理。对于提供给不同基板区域的正和负DC偏压条件而言,调整循环DC电压的频率、占空比和/或持续时间的能力允许调谐跨越基板处理均匀性以及跨越基板处理的改进。在其他有用的属性中,施加正和负DC偏压脉冲的能力提供了基板区域的电荷中和,其中可使基板区域的表面周期性地进入中性电荷状态。
图3是示出根据在本文中描述的实施例的在等离子体辅助处理期间偏压基板的区域的方法300的流程图。在310处,方法300包括使处理气体流到处理腔室中,并且在320处,方法包括从处理气体形成等离子体。
在330处,方法包括300使用设置在基板支撑件中的夹持电极将基板电夹持到设置在处理腔室中的基板支撑件,基板支撑件包括第一介电层和第二介电层。
在340处,方法300包括向设置在基板支撑件中的多个偏压电极提供多个循环DC电压,其中每个相应的循环DC电压通过与基板的区域的电容耦合向基板的区域提供单独的脉冲DC偏压。在一些实施例中,多个循环DC电压包括多于一个极性、多于一个频率、多于一个占空比和/或多于一个持续时间。脉冲DC偏压使得在330处形成的等离子体中的离子朝向基板加速,以对基板执行材料工艺(诸如沉积或移除)。应当注意等离子体也可在320之后、330之后、340之后或350之后形成。
在本文中所述的基板支撑组件和方法在与使用静电夹持力兼容的等离子体辅助处理期间实现了电容耦合脉冲DC偏压基板。脉冲DC偏压允许增加对基板表面和/或基板表面的区域处和基板表面中形成的特征开口中的离子能量和角度分布的控制。这种增加的控制至少在形成高深宽比特征和/或需要方形的蚀刻轮廓的特征时是期望的,诸如在用于浅沟槽隔离(STI)应用的硅蚀刻、或在FinFET技术中使用的硅鳍片。将不同频率、占空比、极性和/或持续时间的DC脉冲施加到基板的不同区域的能力实现了调谐跨越基板的处理均匀性和跨越基板处理的改进。
虽然前述内容针对的是本公开内容的实施例,但是可在不背离本公开内容的基本范围的情况下设计本公开内容的其他和进一步的实施例,且本公开内容的范围由以下的权利要求确定。

Claims (15)

1.一种基板支撑组件,包括:
多个第一电极,所述多个第一电极在所述基板支撑件内,所述多个第一电极中的每个电极与所述多个第一电极中的每个其他电极电隔离且共面,其中所述多个第一电极中的每个电极被配置为通过与基板的区域的电容耦合来向所述基板的所述区域提供脉冲DC功率;以及
第二电极,所述第二电极设置在所述基板支撑件内,并且与所述多个第一电极电隔离,用于将所述基板电夹持到所述基板支撑件。
2.如权利要求1所述的基板支撑组件,其中所述多个第一电极中的每个电极的至少一部分与所述第二电极共面。
3.如权利要求1所述的基板支撑组件,其中所述多个第一电极中的一个或多个电极的至少一部分比所述第二电极更靠近所述基板支撑件的基板支撑表面。
4.如权利要求1所述的基板支撑组件,其中所述第二电极包括单一导体,所述单一导体通过在所述单一导体中形成的多个开口与所述多个第一电极电隔离。
5.如权利要求1所述的基板支撑组件,进一步包括开关系统,包括:
多个第一开关,所述多个第一开关中的每个开关电耦合到所述多个第一电极中的一个电极;以及
多个第二开关,所述多个第二开关中的每个开关电耦合到所述多个第一电极中的一个电极。
6.如权利要求5所述的基板支撑组件,其中所述多个第一开关中的每个开关是固态开关,所述固态开关被配置为以高达约100kHz的频率切换。
7.一种处理腔室,包括:
一个或多个侧壁和底部,所述一个或多个侧壁和所述底部界定处理容积;以及
基板支撑件,所述基板支撑件包括:
多个第一电极,所述多个第一电极在所述基板支撑件内,所述多个第一电极中的每个电极与所述多个第一电极中的每个其他电极电隔离且共面,其中所述多个第一电极中的每个电极被配置为通过与基板的区域的电容耦合而向所述基板的所述区域提供脉冲DC偏压;以及
第二电极,所述第二电极设置在所述基板支撑件内,并与所述多个第一电极电隔离,用于将所述基板电夹持到所述基板支撑件。
8.如权利要求7所述的处理腔室,进一步包括开关系统,包括:
多个第一开关,所述多个第一开关中的每个开关被配置为提供第一循环DC电压,其中所述多个第一开关中的每个开关电耦合到所述多个第一电极中的一个电极;以及
多个第二开关,所述多个第二开关中的每个开关被配置为提供第二循环DC电压,其中所述多个第二开关中的每个开关电耦合到所述多个第一电极中的一个电极。
9.如权利要求7所述的处理腔室,其中所述多个第一电极中的每个电极的至少一部分与所述第二电极共面。
10.如权利要求7所述的处理腔室,其中所述多个第一电极中的一个或多个电极的至少一部分比所述第二电极更靠近所述基板支撑件的基板支撑表面。
11.如权利要求7所述的处理腔室,其中所述第二电极包括单一导电材料部分,所述单一导电材料部分通过在所述单一导电材料部分中形成的多个开口而与所述多个第一电极电隔离。
12.一种处理基板的方法,包括:
使处理气体流到处理腔室中;
从所述处理气体形成等离子体;
使用设置在基板支撑件中的夹持电极而将基板电夹持到设置在所述处理腔室的所述基板支撑件,所述基板支撑件包括第一介电层和第二介电层;以及
向设置在所述基板支撑件中的多个偏压电极提供多个循环DC电压,其中每个相应的循环DC电压通过与所述基板的区域的电容耦合而向所述基板的所述区域提供单独的脉冲DC偏压。
13.如权利要求12所述的方法,其中所述多个循环DC电压包括多于一个极性。
14.如权利要求12所述的方法,其中所述多个循环DC电压包括在约10Hz与约100kHz之间的多于一个频率。
15.如权利要求12所述的方法,其中所述夹持电极包括单一导电材料部分,所述单一导电材料部分通过在所述单一导电材料部分中形成的多个开口而与所述多个偏压电极电隔离。
CN201880053380.1A 2017-09-20 2018-07-19 具有多个嵌入式电极的基板支撑件 Active CN110998782B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202211408065.0A CN115799030A (zh) 2017-09-20 2018-07-19 具有多个嵌入式电极的基板支撑件

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/710,753 US10510575B2 (en) 2017-09-20 2017-09-20 Substrate support with multiple embedded electrodes
US15/710,753 2017-09-20
PCT/US2018/042956 WO2019060028A1 (en) 2017-09-20 2018-07-19 SUBSTRATE SUPPORT WITH MULTIPLE INTEGRATED ELECTRODES

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202211408065.0A Division CN115799030A (zh) 2017-09-20 2018-07-19 具有多个嵌入式电极的基板支撑件

Publications (2)

Publication Number Publication Date
CN110998782A true CN110998782A (zh) 2020-04-10
CN110998782B CN110998782B (zh) 2022-11-25

Family

ID=65720591

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202211408065.0A Pending CN115799030A (zh) 2017-09-20 2018-07-19 具有多个嵌入式电极的基板支撑件
CN201880053380.1A Active CN110998782B (zh) 2017-09-20 2018-07-19 具有多个嵌入式电极的基板支撑件

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202211408065.0A Pending CN115799030A (zh) 2017-09-20 2018-07-19 具有多个嵌入式电极的基板支撑件

Country Status (6)

Country Link
US (4) US10510575B2 (zh)
JP (3) JP6991306B2 (zh)
KR (3) KR102493914B1 (zh)
CN (2) CN115799030A (zh)
TW (3) TW202335168A (zh)
WO (1) WO2019060028A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022099687A1 (en) * 2020-11-16 2022-05-19 Applied Materials, Inc. Methods and apparatus for zone control of rf bias for stress uniformity

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892140B2 (en) * 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11004660B2 (en) * 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US10510575B2 (en) * 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
JP6852197B2 (ja) * 2018-01-29 2021-03-31 株式会社アルバック 反応性イオンエッチング装置
US10840086B2 (en) * 2018-04-27 2020-11-17 Applied Materials, Inc. Plasma enhanced CVD with periodic high voltage bias
JP6965205B2 (ja) * 2018-04-27 2021-11-10 東京エレクトロン株式会社 エッチング装置、及びエッチング方法
JP7134695B2 (ja) * 2018-04-27 2022-09-12 東京エレクトロン株式会社 プラズマ処理装置、及び電源制御方法
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
WO2020033931A1 (en) 2018-08-10 2020-02-13 Eagle Harbor Technologies, Inc. Plasma sheath control for rf plasma reactors
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11043387B2 (en) 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
US11527383B2 (en) 2019-12-24 2022-12-13 Eagle Harbor Technologies, Inc. Nanosecond pulser RF isolation for plasma systems
JP7344821B2 (ja) * 2020-03-17 2023-09-14 東京エレクトロン株式会社 プラズマ処理装置
JP7450427B2 (ja) 2020-03-25 2024-03-15 東京エレクトロン株式会社 基板支持器及びプラズマ処理装置
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
WO2022224795A1 (ja) * 2021-04-23 2022-10-27 東京エレクトロン株式会社 プラズマ処理装置及び基板処理方法
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08236602A (ja) * 1995-02-27 1996-09-13 Fujitsu Ltd 静電吸着装置
US6863020B2 (en) * 2000-01-10 2005-03-08 Tokyo Electron Limited Segmented electrode apparatus for plasma processing
CN101990353A (zh) * 2009-08-04 2011-03-23 东京毅力科创株式会社 等离子处理装置和等离子处理方法
CN102084024A (zh) * 2008-06-30 2011-06-01 株式会社爱发科 电源装置
US20110157760A1 (en) * 2009-11-20 2011-06-30 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US20140263182A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
CN105408993A (zh) * 2013-08-06 2016-03-16 应用材料公司 局部加热的多区域基板支撑件

Family Cites Families (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
KR900005538A (ko) * 1988-09-23 1990-04-14 김정배 Dc형 플라즈마 표시소자와 그 구동방법
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
JPH06275222A (ja) * 1993-03-17 1994-09-30 Nissin Electric Co Ltd 複数電極による自己バイアス制御装置
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
JP3499104B2 (ja) * 1996-03-01 2004-02-23 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6055150A (en) * 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
CN1103655C (zh) 1997-10-15 2003-03-26 东京电子株式会社 应用等离子体密度梯度来产生粒子流的装置和方法
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6273958B2 (en) * 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
ATE254192T1 (de) * 2001-04-27 2003-11-15 Europ Economic Community Verfahren und vorrichtung zur sequentiellen plasmabehandlung
US20030029859A1 (en) * 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
KR100511854B1 (ko) * 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
DE10341717A1 (de) * 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7393432B2 (en) 2004-09-29 2008-07-01 Lam Research Corporation RF ground switch for plasma processing system
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7648914B2 (en) * 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7371022B2 (en) 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
CN101053283A (zh) 2005-05-13 2007-10-10 松下电器产业株式会社 电介质阻挡放电灯点灯装置
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
CA2635629A1 (en) 2006-01-23 2007-07-26 Audera International Sales Inc. Power supply for limited power sources and audio amplifier using power supply
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
US20080106842A1 (en) * 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
EP2102889B1 (en) 2006-12-12 2020-10-07 Evatec AG Rf substrate bias with high power impulse magnetron sputtering (hipims)
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
EP2097920B1 (de) 2007-07-23 2017-08-09 TRUMPF Hüttinger GmbH + Co. KG Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) * 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
US8133359B2 (en) * 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US8609546B2 (en) 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
KR101415551B1 (ko) * 2008-01-25 2014-07-04 (주)소슬 정전척, 이의 제조 방법 및 이를 포함하는 기판 처리 장치
TWI390582B (zh) 2008-07-16 2013-03-21 Sumitomo Heavy Industries Plasma processing device and plasma processing method
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) * 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
JP5466480B2 (ja) 2009-02-20 2014-04-09 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
JP5960384B2 (ja) * 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
EP2362001A1 (en) * 2010-02-25 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and device for layer deposition
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
JP5638617B2 (ja) * 2010-09-15 2014-12-10 三菱電機株式会社 高周波電力供給装置、プラズマ処理装置及び薄膜製造方法
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
WO2014036000A1 (en) 2012-08-28 2014-03-06 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) * 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
KR20150128965A (ko) 2013-03-13 2015-11-18 어플라이드 머티어리얼스, 인코포레이티드 구리를 위한 uv-보조 반응성 이온 에칭
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US9495563B2 (en) 2013-06-04 2016-11-15 Eagle Harbor Technologies, Inc. Analog integrator system and method
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
KR20160058917A (ko) * 2013-09-20 2016-05-25 어플라이드 머티어리얼스, 인코포레이티드 통합된 정전 척을 갖는 기판 캐리어
US20150111394A1 (en) * 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
CN103531429B (zh) * 2013-10-31 2016-03-02 中微半导体设备(上海)有限公司 等离子体刻蚀装置及其刻蚀方法
US9960763B2 (en) 2013-11-14 2018-05-01 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US9101038B2 (en) * 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
WO2015131199A1 (en) 2014-02-28 2015-09-03 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
KR102222902B1 (ko) * 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
KR20160022458A (ko) * 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
JP6424120B2 (ja) * 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
CN108352298B (zh) * 2015-11-09 2023-04-18 应用材料公司 底部处理
CN115410804A (zh) 2015-11-30 2022-11-29 鹰港科技有限公司 高压变压器
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US10804886B2 (en) 2016-06-21 2020-10-13 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
EP3761762B1 (en) 2016-12-30 2022-04-13 Eagle Harbor Technologies, Inc. High voltage inductive adder
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
CN115378264A (zh) 2017-02-07 2022-11-22 鹰港科技有限公司 变压器谐振转换器
EP3832691A1 (en) 2017-03-31 2021-06-09 Eagle Harbor Technologies, Inc. Method of plasma processing a substrate and plasma processing chamber
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10714372B2 (en) * 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10510575B2 (en) * 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) * 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10763150B2 (en) * 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US20190088518A1 (en) * 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
WO2019067451A1 (en) 2017-09-26 2019-04-04 Advanced Energy Industries, Inc. SYSTEM AND METHOD FOR IGNITION OF PLASMA

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08236602A (ja) * 1995-02-27 1996-09-13 Fujitsu Ltd 静電吸着装置
US6863020B2 (en) * 2000-01-10 2005-03-08 Tokyo Electron Limited Segmented electrode apparatus for plasma processing
CN102084024A (zh) * 2008-06-30 2011-06-01 株式会社爱发科 电源装置
CN101990353A (zh) * 2009-08-04 2011-03-23 东京毅力科创株式会社 等离子处理装置和等离子处理方法
US20110157760A1 (en) * 2009-11-20 2011-06-30 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US20140263182A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
CN105408993A (zh) * 2013-08-06 2016-03-16 应用材料公司 局部加热的多区域基板支撑件

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022099687A1 (en) * 2020-11-16 2022-05-19 Applied Materials, Inc. Methods and apparatus for zone control of rf bias for stress uniformity

Also Published As

Publication number Publication date
JP7357664B2 (ja) 2023-10-06
CN110998782B (zh) 2022-11-25
TWI739018B (zh) 2021-09-11
JP2022043120A (ja) 2022-03-15
KR20200031184A (ko) 2020-03-23
WO2019060028A1 (en) 2019-03-28
JP2020534668A (ja) 2020-11-26
KR102409327B1 (ko) 2022-06-14
TW202335168A (zh) 2023-09-01
US10510575B2 (en) 2019-12-17
TW201933417A (zh) 2019-08-16
US20200118861A1 (en) 2020-04-16
JP6991306B2 (ja) 2022-01-12
US20210183681A1 (en) 2021-06-17
US20210313213A1 (en) 2021-10-07
US10937678B2 (en) 2021-03-02
TWI801953B (zh) 2023-05-11
KR20220019853A (ko) 2022-02-17
KR102360855B1 (ko) 2022-02-08
KR102493914B1 (ko) 2023-01-30
JP2023182644A (ja) 2023-12-26
CN115799030A (zh) 2023-03-14
KR20220082946A (ko) 2022-06-17
TW202145296A (zh) 2021-12-01
US20190088520A1 (en) 2019-03-21

Similar Documents

Publication Publication Date Title
CN110998782B (zh) 具有多个嵌入式电极的基板支撑件
CN110998783B (zh) 具有双嵌入式电极的基板支撑件
US10763150B2 (en) System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10714372B2 (en) System for coupling a voltage to portions of a substrate
TWI771470B (zh) 具有電浮電源供應的基板支撐件
CN107408486B (zh) 用于循环与选择性材料移除与蚀刻的处理腔室

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant