TW202335168A - 用於具有多個嵌入式電極的基板支撐件的方法 - Google Patents

用於具有多個嵌入式電極的基板支撐件的方法 Download PDF

Info

Publication number
TW202335168A
TW202335168A TW112114518A TW112114518A TW202335168A TW 202335168 A TW202335168 A TW 202335168A TW 112114518 A TW112114518 A TW 112114518A TW 112114518 A TW112114518 A TW 112114518A TW 202335168 A TW202335168 A TW 202335168A
Authority
TW
Taiwan
Prior art keywords
substrate
electrode
voltage
substrate support
electrodes
Prior art date
Application number
TW112114518A
Other languages
English (en)
Inventor
菲利浦亞倫 克勞司
泰正 蔡
趙在龍
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202335168A publication Critical patent/TW202335168A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

提供了一種用於在電漿輔助處理腔室中偏壓基板的區域的方法和設備。偏壓基板(或基板的區域)增加了在基板與處理腔室中形成的電漿之間的電位差,從而將來自電漿的離子加速到基板區域的有效表面。於此的複數個偏壓電極以有利於管理跨越基板的處理結果的均勻性的圖案的方式跨越基板支撐件而空間地佈置。

Description

用於具有多個嵌入式電極的基板支撐件的方法
於此描述的實施例一般關於在半導體製造中使用的處理腔室,具體地,關於具有被配置為偏壓基板的基板支撐組件的處理腔室和偏壓基板的方法。
可靠地產生高深寬比特徵是半導體裝置的下一代超大型積體電路(VLSI)和極大型積體電路(ULSI)的關鍵技術挑戰之一。形成高深寬比特徵的一種方法使用電漿輔助蝕刻處理以在基板的材料層(諸如介電層)中形成高深寬比開口。在典型的電漿輔助蝕刻處理中,在處理腔室中形成電漿,且來自電漿的離子朝向基板及在基板上的遮罩中形成的開口加速,以在遮罩表面下方的材料層中形成開口。通常,藉由將400 kHz至2 MHz的範圍中的低頻RF電力耦合到基板,離子朝向基板加速,從而在基板上產生偏壓電壓。然而,將RF電力耦合到基板不會相對於電漿向基板施加單一電壓。在常用配置中,在基板和電漿之間的電位差以RF電力的頻率從接近零值振盪到最大負值。缺少單一電位(將從電漿加速離子到基板)導致在基板表面處和在基板的材料層中形成的開口(特徵)中的大範圍的離子能量。另外,由RF偏壓產生的不同離子軌跡相對於基板表面而產生離子的大角度分佈。當蝕刻高深寬比特徵的開口時,大範圍的離子能量是不期望的,因為離子沒有以足夠高的能量到達特徵的底部,以維持期望的蝕刻速率。相對於基板表面的離子的大角度分佈是不期望的,因為其導致特徵輪廓的變形,諸如在特徵的垂直側壁中的頸縮和彎曲。
因此,本領域中存在有能夠在電漿輔助蝕刻處理期間在基板的材料表面處提供具有低角度分佈的窄範圍的高能量離子的需求。
本揭露書一般關於電漿輔助或電漿增強處理腔室。更具體地,於此的實施例關於被配置為在電漿輔助或電漿增強半導體製造處理期間向基板的區域提供單獨的脈衝(循環)DC電壓的靜電吸盤(ESC)基板支撐件和偏壓基板的區域的方法。
在一個實施例中,提供了一種基板支撐組件,包括基板支撐件,基板支撐件包含:複數個第一電極,在基板支撐件內,複數個第一電極的每個電極與複數個第一電極的每個其他電極電隔離且共面,其中複數個第一電極的每個電極被配置為經由與基板的區域電容耦合而向基板的區域提供脈衝DC電力;及第二電極,設置在基板支撐件內並且與複數個第一電極電隔離,用於將基板電夾持到基板支撐件上。
其他實施例提供了一種處理腔室,包含:一個或多個側壁和底部,界定處理容積;及基板支撐件。基板支撐件包含:複數個第一電極,在基板支撐件內,複數個第一電極的每個電極與複數個第一電極的每個其他電極電隔離且共面,其中複數個第一電極的每個電極被配置為經由與基板的區域電容耦合而向基板的區域提供脈衝DC偏壓;及第二電極,設置在基板支撐件內並與複數個第一電極電隔離,用於將基板電夾持到基板支撐件。
在另一個實施例中,提供了一種用複數個循環DC電壓偏壓基板的方法。方法包括以下步驟:使處理氣體流到處理腔室中;從處理氣體形成電漿;將基板電夾持到設置在處理腔室中的基板支撐件;及跨越複數個區域偏壓基板。跨越複數個區域偏壓基板包含以下步驟:將經由開關系統而提供給設置在基板支撐件中的複數個偏壓電極的複數個循環DC電壓經由基板支撐件的第一介電層的電容而耦合到基板的相應區域。於此的複數個循環DC電壓包括一定範圍的頻率及/或多個極性。
本揭露書的實施例一般關於電漿處理腔室,諸如電漿輔助或電漿增強處理腔室。更具體地,於此的實施例關於被配置為在電漿輔助或電漿增強半導體製造處理期間向設置在靜電吸盤(ESC)基板支撐件上的基板提供電容耦合的脈衝DC電壓的靜電吸盤(ESC)基板支撐件。將基板電容耦合到循環DC電源(在基板上放置脈衝DC偏壓)增加了在基板與處理腔室中形成的電漿之間的電位差,從而將離子從電漿加速到基板的有效表面。與RF偏壓相反,脈衝DC偏壓為離子從電漿加速到基板提供單一電位。於此的基板支撐件包括複數個偏壓電極,每個偏壓電極獨立地耦合到脈衝DC電力供應器開關系統的部分,且每個偏壓電極配置成藉由與基板的區域電容耦合來提供基板的區域的可調諧偏壓。於此的複數個偏壓電極以有利於管理跨越基板的處理結果的均勻性的圖案的方式跨越基板支撐件而空間地佈置。
第1圖是根據一個實施例的處理腔室100的示意性剖視圖,處理腔室100具有靜電吸盤(ESC)基板支撐組件200設置於中。在這個實施例中,處理腔室100是電漿處理腔室,諸如電漿蝕刻腔室、電漿增強沉積腔室(例如電漿增強化學氣相沉積(PECVD)腔室或電漿增強原子層沉積(PEALD)腔室)或基於電漿的離子佈植腔室(例如電漿摻雜(PLAD)腔室)。
處理腔室100的特徵在於界定處理容積120的腔室蓋103、一個或多個側壁102和腔室底部104。噴頭112(具有複數個開口118設置成通過噴頭112)設置在腔室蓋103中,並用以將處理氣體從氣體入口114均勻地分配到處理容積120中。噴頭112耦合到RF電力供應器142(或在一些實施例中,VHF電力供應器),RF電力供應器142從處理氣體經由電容耦合而點燃電漿135。處理容積120通過真空出口152而流體耦接到真空,諸如流體耦接到一個或多個專用真空泵,真空出口152將處理容積120保持在低於大氣壓的條件下,並從處理容積120排出處理氣體和其他氣體。設置在處理容積120中的基板支撐組件200設置在支撐軸124上,支撐軸124密封地延伸通過腔室底部104。支撐軸124耦接到控制器140,控制器140升高和降低支撐軸124和設置在支撐軸124上的基板支撐組件200,以促進基板115的處理和將基板115傳送進出處理腔室100。通常,當基板支撐組件200處於升高或處理位置時,基板115與噴頭112間隔開在約0.75英寸和1.75英寸之間,諸如約1.25英寸。
基板115通過一個或多個側壁102的一個中的開口126裝載到處理容積120中,開口126通常在基板115處理期間用門或閥(未顯示)密封。設置在升降銷箍134之上方的複數個升降銷136可移動地設置通過基板支撐組件200,以促進將基板115往返傳送於基板支撐組件200。升降銷箍134耦接到升降箍軸131,升降箍軸131密封地延伸通過腔室底部104,升降箍軸131藉由致動器130升高和降低升降銷箍134。基板支撐組件200具有基板支撐件227,在基板支撐件227上設置基板用於處理。當升降銷箍134處於升高位置時,複數個升降銷136在基板支撐件227的表面之上方延伸,從而從基板支撐件227的表面升降基板115並能夠藉由機器人處理器(未顯示)接近基板115。當升降銷箍134處於降低位置時,複數個升降銷136與基板支撐件227的表面齊平或在其下方,且基板115直接安置在基板支撐件227的表面上以進行處理。
於此的基板支撐組件200包括冷卻基座125。基板支撐件227熱耦合到冷卻基座125並設置在冷卻基座125上。基板支撐組件200的冷卻基座125用以在處理期間調節基板支撐件227的溫度,並由此調節設置在基板支撐表面203上的基板115的溫度。於此,冷卻基座125可包括設置在冷卻基座125中的一個或多個流體管道137,流體管道137流體耦接到冷卻劑源133(諸如製冷劑源或水源),並與冷卻劑源133流體連通。通常,冷卻基座125由耐腐蝕的導熱材料形成,諸如耐腐蝕金屬,例如鋁、鋁合金或不銹鋼,且藉由黏著劑或藉由機械手段而熱耦接到基板支撐件227。
在處理期間,基板115的離子轟擊將加熱基板115到可能不期望的高溫,因為處理容積120的低壓導致在基板115和基板支撐表面203之間的不良熱傳導。因此,在於此的實施例中,在處理期間,背側氣體被提供到在基板115和基板支撐表面203之間,其中背側氣體將基板115熱耦合到基板支撐表面203並增加其之間的熱傳遞。通常,基板支撐表面203包括從基板支撐表面203延伸的複數個突起228,當基板115設置在基板支撐表面203上時,複數個突起228能夠使背側氣體流到或佔據在基板115和基板支撐表面203之間的空間。背側氣體通過一個或多個氣體導管147而流到基板支撐表面203,一個或多個氣體導管147通過基板支撐件227設置。於此,一個或多個氣體導管147耦接到導熱惰性背側氣體源146,諸如氦氣源。
第2A圖是第1圖的處理腔室100中使用的基板支撐組件200的特寫剖視圖。第2B圖是第2A圖中所示的基板支撐組件200的俯視圖。於此,基板支撐件227包含第一層227A和第二層227B,其中每個層227AB由包含金屬氧化物或金屬氮化物的介電材料,或包含金屬氧化物或金屬氮化物的混合物的介電材料(諸如Al 2O 3、AlN、Y 2O 3或其組合)所形成。在一些實施例中,第一層227A由具有在約20 V/μm與約200 V/μm之間(諸如在約100 V/μm與約200 V/μm之間或在約20 V/μm和約100 V/μm之間)的擊穿電壓的介電材料形成。在一個實施例中,第一層227A由具有在約160 μm的擊穿電壓為9 kV的 99.5%的氧化鋁形成。在一些實施例中,藉由在將大塊的介電材料研磨到期望的厚度D以形成第一層227A之前,將大塊的介電材料接合到第二層227B及設置在第二層227B中或第二層227B上的複數個電極來形成基板支撐件227。通常,第一層227A的厚度D在約5 μm和約300 μm之間,諸如在約100 μm和約300 μm之間,例如約160 μm。在其他實施例中,使用任何合適的塗佈方法(諸如CVD、PECVD、ALD、PEALD、蒸發、濺射、電漿弧塗佈、氣溶膠塗佈或其組合)來形成第一層227A。
於此的設置及/或嵌入在基板支撐件中的複數個電極包括複數個偏壓電極238A-C和單一ESC電極222。複數個偏壓電極的每個電極與複數個偏壓電極的每個其他電極電隔離,並與單一ESC電極222電隔離。於此的複數個偏壓電極238A-C的每個電極配置成經由與基板115的各個區域電容耦合而向基板115的各個區域提供一個或多個獨立的脈衝DC偏壓。單一ESC電極222藉由在基板115和基板支撐表面203之間提供電位而在基板115和基板支撐表面203之間提供夾持力。通常,ESC電極耦合到靜態DC電力供應器158,於此提供在約-5000 V和約5000 V之間,諸如在約100 V和約4000 V之間,諸如在約1000 V和約3000 V之間,例如約2000V的電壓。
在於此的實施例中,基板支撐件227可被配置為支撐300 mm直徑的基板並可包括在2和20個之間的偏壓電極,諸如所示的三個偏壓電極238A-C,然而,用於處理更大基板及/或不同形狀的基板的更大的基板支撐件可包括任何數量的偏壓電極。複數個偏壓電極238A-C各自由一個或多個導電材料部分(諸如金屬網、箔、板或其組合)形成。在一些實施例中,複數個偏壓電極238A-C的每一者由多於一個不連續導電材料部分(諸如金屬網、箔、板或其組合)形成,不連續導電材料部分與設置在基板支撐件227中的一個或多個連接器電耦合(未顯示),使得電耦合的不連續材料部分包含單一電極,諸如中心偏壓電極238A、中間偏壓電極238B或外部偏壓電極238C。
複數個偏壓電極238A-C以有利於管理跨越基板115的處理結果的均勻性的圖案的方式跨越基板支撐件227而空間地佈置。在第2A圖所示的實施例中,中心偏壓電極238A的圓形板和偏壓電極238B-C的不連續環形區域界定複數個同心區域。可使用其他空間佈置,包括輻條圖案、網格圖案、線圖案、螺旋圖案、交叉圖案、隨機圖案或其組合。於此,複數個偏壓電極238A-C的每個電極與複數個偏壓電極的每個其他電極和單一ESC電極222共面。單一ESC電極222與基板支撐件227平面地設置並平行於基板支撐表面203。複數個偏壓電極238A-C的每個電極藉由形成在單一ESC電極222中的開口和藉由設置在其之間的基板支撐件227的介電材料而與單一ESC電極222電隔離。在其他實施例中,複數個偏壓電極208A-C的每個電極或複數個偏壓電極208A-C的每個電極的一部分與複數個偏壓電極的每個其他電極的至少一部分共面,且複數個偏壓電極208A-C比單一ESC電極222更接近基板支撐表面203。
於此,複數個偏壓電極238A-C的每一個獨立地電耦合到包含複數個固態脈衝發生器/切換器的DC電力供應器開關系統150的部分,於此,複數個第一開關S1、S3、S5和複數個第二開關S2、S4、S6能夠將高壓(HV)DC電力轉換為具有在約10 Hz(或更低)和約100 kHZ之間的頻率的循環DC電壓。複數個第一開關S1、S3、S5和複數個第二開關S2、S4、S6進一步能將高壓(HV)DC電力轉換為具有在2%至98%的範圍中的佔空比的循環DC電壓。開關S1-S6以一定頻率循環地操作或根據任何模式或無模式依需求而操作。複數個偏壓電極的每一個電耦合到複數個第一開關S1、S3、S5的一個以及複數個第二開關S2、S4、S6的一個。
於此,複數個第一開關S1、S3、S5電耦合到第一DC電壓源156B,第一DC電壓源156B可為(例如)正(+ve)電壓源,且複數個第二開關S2、S4、S6電耦合到第二DC電壓源156A,第二DC電壓源156A可為例如負(-ve)電壓源。在其他實施例中,兩個電壓源156A和156B可都是不同電壓的正源或都是不同電壓的負源。於此的第一和第二DC電壓源156B和156A在其各自的電壓幅度中提供在約0V和約10kV之間的DC偏壓(正或負)。
每組開關(諸如S1和S2、S3和S4,或S5和S6)獨立地操作,向基板支撐件227的各個偏壓電極238A-C提供正或負極性的循環DC電壓的單獨頻率、圖案或操作,並經由與基板115的相應區域電容耦合,向設置在基板支撐件227上的基板115的相應區域提供單獨的脈衝DC偏壓。通常,將負DC脈衝耦合到基板區域將增加在基板的區域和電漿135之間的電位差,其中基板區域在脈衝期間處於比電漿更負的電位。在這種負DC偏壓的情況下,電漿中的帶正電荷的物質將朝向基板區域的表面加速,從而實現基板區域的處理。將正DC脈衝耦合到基板區域將增加在基板區域和電漿135之間的電位差,其中基板區域在脈衝期間處於比電漿更正的電位。在這種正DC偏壓的情況下,電漿中的帶負電荷的物質將朝向基板區域的表面加速,從而實現基板區域的處理。對於提供給不同基板區域的正和負DC偏壓條件而言,調整循環DC電壓的頻率、佔空比及/或持續時間的能力允許微調跨越基板的處理均勻性及跨越基板處理的改進。在其他有用的屬性中,施加正和負DC偏壓脈衝的能力提供了基板區域的電荷中和,其中基板區域的表面可週期性地進入中性電荷狀態。
第3圖是顯示根據於此描述的實施例的在電漿輔助處理期間偏壓基板的區域的方法300的流程圖。在310處,方法300包括使處理氣體流到處理腔室中,且在320處,方法包括從處理氣體形成電漿。
在330處,方法300包括使用設置在基板支撐件中的夾持電極將基板電夾持到設置在處理腔室中的基板支撐件,基板支撐件包含第一介電層和第二介電層。
在340處,方法300包括向設置在基板支撐件中的複數個偏壓電極提供複數個循環DC電壓,其中每個相應的循環DC電壓經由與基板的區域電容耦合向基板的區域提供單獨的脈衝DC偏壓。在一些實施例中,複數個循環DC電壓包含多於一個極性、多於一個頻率、多於一個佔空比及/或多於一個持續時間。脈衝DC偏壓使得在330處形成的電漿中的離子朝向基板加速,以在基板上執行材料處理(諸如沉積或移除)。應當注意電漿也可在320之後、330之後或340之後形成。
於此所述的基板支撐組件和方法在與使用靜電夾持力兼容的電漿輔助處理期間實現了電容耦合脈衝DC偏壓基板。脈衝DC偏壓允許增加對基板表面及/或基板表面的區域處和基板表面中形成的特徵開口中的離子能量和角度分佈的控制。這種增加的控制至少在形成高深寬比特徵及/或需要方形的蝕刻輪廓的特徵時是期望的,諸如在用於淺溝槽隔離(STI)應用的矽蝕刻,或在FinFET技術中使用的矽鰭片。將不同頻率、佔空比、極性及/或持續時間的DC脈衝施加到基板的不同區域的能力使得能夠微調跨越基板的處理均勻性及跨越基板的改進。
雖然前述內容涉及本揭露書的實施例,但是可在不背離本揭露書的基本範圍的情況下設計本揭露書的其他和進一步的實施例,且本揭露書的範圍由以下的申請專利範圍而確定。
100:處理腔室 102:側壁 103:腔室蓋 112:噴頭 114:氣體入口 115:基板 118:開口 120:處理容積 124:支撐軸 125:冷卻基座 126:開口 130:致動器 131:升降箍軸 133:冷卻劑源 134:升降銷箍 135:電漿 136:升降銷 137:流體管道 140:控制器 142:RF電力供應器 146:導熱惰性背側氣體源 150:DC電力供應器開關系統 152:真空出口 156A:第二DC電壓源 156B:第一DC電壓源 158:靜態DC電力供應器 200:基板支撐組件 203:基板支撐表面 208A:偏壓電極 222:單一ESC電極 227:基板支撐件 227A:第一層 227B:第二層 228:突起 238A:偏壓電極 238B:偏壓電極 238C:偏壓電極 300:方法 310:活動 320:活動 330:活動 340:活動
因此,可詳細地理解本揭露書的上述特徵的方式,可藉由參考實施例而獲得上面簡要概述的本揭露書的更具體的描述,其中一些實施例顯示在附隨的圖式中。然而,應注意附隨的圖式僅顯示了本揭露書的典型實施例,且因此不應視為限制本揭露書的範圍,因為本揭露書可允許其他同等有效的實施例。
第1圖是根據一個實施例的處理腔室的示意性剖視圖,其中靜電吸盤(ESC)基板支撐組件設置在的處理腔室中。
第2A圖是在第1圖的處理腔室中使用的基板支撐組件的特寫剖視圖。
第2B圖是第2A圖中所示的基板支撐組件的俯視圖。
第3圖是顯示根據於此描述的實施例的在電漿輔助處理期間偏壓基板的區域的方法的流程圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:處理腔室
102:側壁
103:腔室蓋
112:噴頭
114:氣體入口
115:基板
118:開口
120:處理容積
124:支撐軸
125:冷卻基座
126:開口
130:致動器
131:升降箍軸
133:冷卻劑源
134:升降銷箍
135:電漿
136:升降銷
137:流體管道
140:控制器
142:RF電力供應器
146:導熱惰性背側氣體源
150:DC電力供應器開關系統
152:真空出口
156A:第二DC電壓源
156B:第一DC電壓源
158:靜態DC電力供應器
200:基板支撐組件
203:基板支撐表面
222:單一ESC電極
227:基板支撐件
238A:偏壓電極
238B:偏壓電極
238C:偏壓電極

Claims (20)

  1. 一種基板處理系統,該基板處理系統包括一基板支撐組件,該基板支撐組件包括: 一金屬基座,該金屬基座具有一基板支撐件設置於其上,該基板支撐件包括複數個電極,其中: 藉由一第一介電材料層,該複數個電極中的每個電極與該基板支撐件的一基板支撐表面間隔開, 藉由一第二介電材料層,該複數個電極與該金屬基座間隔開, 藉由介電材料的一部分,該複數個電極中的每個電極與該複數個電極中的一不同電極隔離, 該複數個電極中的一第一電極電耦合到一第一脈衝DC電壓源, 該複數個電極中的一第二電極耦合到一第二脈衝DC電壓源, 該複數個電極中的該第二電極設置在該第一電極的徑向外側,並且至少部分地圍繞該第一電極,並且 該複數個電極中的一個電極耦合到一夾持電力供應器,用於將一基板電夾持到該基板支撐件的該基板支撐表面。
  2. 如請求項1所述之基板處理系統,其中該第一介電材料層的一厚度在5 μm和300 μm之間。
  3. 如請求項1所述之基板處理系統,其中該複數個電極中耦合到該夾持電力供應器的該電極包括一金屬網。
  4. 如請求項1所述之基板處理系統,其中該第一電極和該第二電極圍繞該基板支撐件的該基板支撐表面的一中心同心地設置。
  5. 如請求項4所述之基板處理系統,其中該第一電極在平行於該基板支撐表面的一平面中具有一圓形形狀。
  6. 如請求項4所述之基板處理系統,其中該第二電極靠近該基板支撐件的一圓周邊緣設置。
  7. 如請求項4所述之基板處理系統,其中: 該基板支撐表面包括複數個突起,並且 穿過該基板支撐件形成的一個或多個氣體導管與該基板支撐表面處的一空間流體連通,該空間形成在該複數個突起之間。
  8. 如請求項7所述之基板處理系統,其中該一個或多個氣體導管流體耦合到一惰性氣體源。
  9. 如請求項8所述之基板處理系統,其中在該金屬基座中形成的一個或多個流體導管流體耦合到一冷卻劑源。
  10. 如請求項9所述之基板處理系統,其中該基板支撐件藉由一黏著劑層熱耦合到該金屬基座,該黏著劑層介於該基板支撐件與該金屬基座之間。
  11. 如請求項1所述之基板處理系統,其中該第一脈衝DC電壓源包括一第一高壓DC電力供應器和一個或多個第一開關,用於將來自該第一高壓DC電力供應器的一第一靜態DC電壓轉換為一第一脈衝DC電壓。
  12. 如請求項11所述之基板處理系統,其中該第二脈衝DC電壓源包括一第二高壓DC電力供應器和一個或多個第二開關,用於將來自該第二高壓DC電力供應器的一第二靜態DC電壓轉換為一第二脈衝DC電壓。
  13. 如請求項1所述之基板處理系統,其中: 該第一脈衝DC電壓源包括一第一高壓DC電力供應器,該第一高壓DC電力供應器被配置為向該複數個電極中的該第一電極提供一負DC電壓,並且 該第二脈衝DC電壓源包括一第二高壓DC電力供應器,該第二高壓DC電力供應器被配置為向該複數個電極中的該第二電極提供一負DC電壓。
  14. 如請求項13所述之基板處理系統,進一步包括一RF電力供應器,用於在該處理系統的一處理容積中形成一電容耦合電漿。
  15. 一種用於處理一基板的方法,包括以下步驟: 將一基板定位在一基板支撐件上,該基板支撐件設置在一處理容積中,該基板支撐件包括複數個電極,其中: 該基板支撐件設置在一金屬基座上, 藉由一第一介電材料層,該複數個電極中的每個電極與該基板支撐件的一基板支撐表面間隔開, 藉由一第二介電材料層,該複數個電極與該金屬基座間隔開, 該複數個電極中的一第一電極電耦合到一第一脈衝DC電壓源, 該複數個電極中的一第二電極耦合到一第二脈衝DC電壓源,並且 該複數個電極中的該第二電極至少部分地圍繞該第一電極的一部分; 在該處理容積內形成一電漿; 將一夾持電壓施加到該複數個電極中的一個電極,以將該基板電夾持到該基板支撐件; 使用該第一脈衝DC電壓源,將一第一脈衝DC電壓施加到該第一電極;並且 使用該第二脈衝DC電壓源,將一第二脈衝DC電壓施加到該第二電極。
  16. 如請求項15所述之方法,其中: 將該第一脈衝DC電壓施加到該第一電極之步驟包括順序地打開和關上耦合在該第一脈衝DC電壓源的一第一DC電壓源與該複數個電極中的該第一電極之間的一開關,並且 將該第二脈衝DC電壓施加到該第二電極之步驟包括順序地打開和關上耦合在該第二脈衝DC電壓源的一第二DC電壓源與該複數個電極中的該第二電極之間的一開關。
  17. 如請求項16所述之方法,其中該第一DC電壓源被配置為向該第一電極提供一負電壓,並且該第二DC電壓源被配置為向該第二電極提供一負電壓。
  18. 如請求項15所述之方法,其中通過將一RF電力電容耦合到一處理氣體來形成該電漿,該處理氣體設置在該處理容積中。
  19. 如請求項15所述之方法,其中該第一電極和該第二電極圍繞該基板支撐件的一基板夾持表面的一中心同心地設置。
  20. 如請求項19所述之方法,其中該第二電極靠近該基板支撐件的一圓周邊緣設置。
TW112114518A 2017-09-20 2018-07-25 用於具有多個嵌入式電極的基板支撐件的方法 TW202335168A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/710,753 2017-09-20
US15/710,753 US10510575B2 (en) 2017-09-20 2017-09-20 Substrate support with multiple embedded electrodes

Publications (1)

Publication Number Publication Date
TW202335168A true TW202335168A (zh) 2023-09-01

Family

ID=65720591

Family Applications (3)

Application Number Title Priority Date Filing Date
TW107125613A TWI739018B (zh) 2017-09-20 2018-07-25 包括具有多個嵌入式電極的基板支撐件的基板支撐組件、處理腔室及基板處理系統
TW110128466A TWI801953B (zh) 2017-09-20 2018-07-25 用於具有多個嵌入式電極的基板支撐件的方法
TW112114518A TW202335168A (zh) 2017-09-20 2018-07-25 用於具有多個嵌入式電極的基板支撐件的方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW107125613A TWI739018B (zh) 2017-09-20 2018-07-25 包括具有多個嵌入式電極的基板支撐件的基板支撐組件、處理腔室及基板處理系統
TW110128466A TWI801953B (zh) 2017-09-20 2018-07-25 用於具有多個嵌入式電極的基板支撐件的方法

Country Status (6)

Country Link
US (4) US10510575B2 (zh)
JP (3) JP6991306B2 (zh)
KR (3) KR102360855B1 (zh)
CN (2) CN115799030A (zh)
TW (3) TWI739018B (zh)
WO (1) WO2019060028A1 (zh)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) * 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US10510575B2 (en) * 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
KR102330944B1 (ko) * 2018-01-29 2021-12-01 가부시키가이샤 알박 반응성 이온 에칭 장치
US10840086B2 (en) * 2018-04-27 2020-11-17 Applied Materials, Inc. Plasma enhanced CVD with periodic high voltage bias
JP7134695B2 (ja) * 2018-04-27 2022-09-12 東京エレクトロン株式会社 プラズマ処理装置、及び電源制御方法
JP6965205B2 (ja) * 2018-04-27 2021-11-10 東京エレクトロン株式会社 エッチング装置、及びエッチング方法
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
EP3834285A4 (en) 2018-08-10 2022-07-20 Eagle Harbor Technologies, Inc. PLASMA JACKET CONTROL FOR RF PLASMA REACTORS
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11043387B2 (en) 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
EP4082036A4 (en) 2019-12-24 2023-06-07 Eagle Harbor Technologies, Inc. NANOSECOND PULSE RF ISOLATION FOR PLASMA SYSTEMS
JP7344821B2 (ja) * 2020-03-17 2023-09-14 東京エレクトロン株式会社 プラズマ処理装置
JP7450427B2 (ja) 2020-03-25 2024-03-15 東京エレクトロン株式会社 基板支持器及びプラズマ処理装置
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
KR20230098659A (ko) * 2020-11-16 2023-07-04 어플라이드 머티어리얼스, 인코포레이티드 응력 균일성을 위한 rf 바이어스의 구역 제어를 위한 방법들 및 장치
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
JPWO2022224795A1 (zh) * 2021-04-23 2022-10-27
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
KR900005538A (ko) * 1988-09-23 1990-04-14 김정배 Dc형 플라즈마 표시소자와 그 구동방법
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
JPH06275222A (ja) * 1993-03-17 1994-09-30 Nissin Electric Co Ltd 複数電極による自己バイアス制御装置
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
JP3292270B2 (ja) * 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
JP3499104B2 (ja) * 1996-03-01 2004-02-23 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6055150A (en) * 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6392187B1 (en) 1997-10-15 2002-05-21 Tokyo Electron Limited Apparatus and method for utilizing a plasma density gradient to produce a flow of particles
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6273958B2 (en) * 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
AU2001224729A1 (en) * 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
DK1253216T3 (da) * 2001-04-27 2004-03-22 Europ Economic Community Fremgangsmåde og apparat til sekventiel plasmabehandling
US20030029859A1 (en) * 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
DE10341717A1 (de) * 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7601246B2 (en) * 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7393432B2 (en) * 2004-09-29 2008-07-01 Lam Research Corporation RF ground switch for plasma processing system
US7648914B2 (en) * 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7371022B2 (en) 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
CN101053283A (zh) 2005-05-13 2007-10-10 松下电器产业株式会社 电介质阻挡放电灯点灯装置
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
EP1982400A4 (en) 2006-01-23 2014-08-13 Audera Internat Sales Inc POWER SUPPLY FOR LIMITED POWER SOURCES AND AUDIOVER AMPLIFIERS WITH A POWER SUPPLY
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
US20080106842A1 (en) * 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
WO2008071732A2 (en) 2006-12-12 2008-06-19 Oc Oerlikon Balzers Ag Rf substrate bias with high power impulse magnetron sputtering (hipims)
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
JP5606312B2 (ja) 2007-07-23 2014-10-15 トゥルンプフ ヒュッティンガー ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニー コマンディートゲゼルシャフト プラズマ給電装置
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) * 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
US8133359B2 (en) * 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US8609546B2 (en) 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
KR101415551B1 (ko) * 2008-01-25 2014-07-04 (주)소슬 정전척, 이의 제조 방법 및 이를 포함하는 기판 처리 장치
JP5429772B2 (ja) * 2008-06-30 2014-02-26 株式会社アルバック 電源装置
TWI390582B (zh) 2008-07-16 2013-03-21 Sumitomo Heavy Industries Plasma processing device and plasma processing method
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) * 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
JP5466480B2 (ja) 2009-02-20 2014-04-09 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
JP5496568B2 (ja) * 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US8270141B2 (en) * 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
EP2362001A1 (en) * 2010-02-25 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and device for layer deposition
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
WO2012035842A1 (ja) * 2010-09-15 2012-03-22 三菱電機株式会社 高周波電力供給装置、プラズマ処理装置及び薄膜製造方法
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
KR102025540B1 (ko) 2012-08-28 2019-09-26 에이이에스 글로벌 홀딩스 피티이 리미티드 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
CN105122431A (zh) 2013-03-13 2015-12-02 应用材料公司 脉冲式直流等离子体蚀刻方法以及设备
WO2014159144A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc Uv-assisted reactive ion etch for copper
US20140263182A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
EP3005220B1 (en) 2013-06-04 2019-09-04 Eagle Harbor Technologies Inc. Analog integrator system and method
CN105408993A (zh) * 2013-08-06 2016-03-16 应用材料公司 局部加热的多区域基板支撑件
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
KR20160062065A (ko) * 2013-09-20 2016-06-01 어플라이드 머티어리얼스, 인코포레이티드 통합된 정전 척을 갖는 기판 캐리어
US20150111394A1 (en) * 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
CN103531429B (zh) * 2013-10-31 2016-03-02 中微半导体设备(上海)有限公司 等离子体刻蚀装置及其刻蚀方法
US11171568B2 (en) 2017-02-07 2021-11-09 Eagle Harbor Technologies, Inc. Transformer resonant converter
CN109873621B (zh) 2013-11-14 2023-06-16 鹰港科技有限公司 高压纳秒脉冲发生器
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US9101038B2 (en) * 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
WO2015131199A1 (en) 2014-02-28 2015-09-03 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
KR102222902B1 (ko) * 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
KR20160022458A (ko) * 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
JP6424120B2 (ja) * 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
WO2017083037A1 (en) * 2015-11-09 2017-05-18 Applied Materials, Inc. Bottom processing
CN108701532B (zh) 2015-11-30 2022-10-28 鹰港科技有限公司 高压变压器
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US10804886B2 (en) 2016-06-21 2020-10-13 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
CN110268808A (zh) 2016-12-30 2019-09-20 鹰港技术股份有限公司 高压感应加法器
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
CN110771041B (zh) 2017-03-31 2023-10-03 鹰港科技有限公司 高压电阻性输出级电路
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10904996B2 (en) * 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US20190088518A1 (en) * 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
US10714372B2 (en) * 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10510575B2 (en) * 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10763150B2 (en) * 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
KR102361417B1 (ko) 2017-09-26 2022-02-09 어드밴스드 에너지 인더스트리즈 인코포레이티드 플라즈마 점화를 위한 시스템 및 방법

Also Published As

Publication number Publication date
TWI739018B (zh) 2021-09-11
KR102493914B1 (ko) 2023-01-30
US20200118861A1 (en) 2020-04-16
JP2020534668A (ja) 2020-11-26
US10510575B2 (en) 2019-12-17
TW202145296A (zh) 2021-12-01
WO2019060028A1 (en) 2019-03-28
US10937678B2 (en) 2021-03-02
KR20220019853A (ko) 2022-02-17
TW201933417A (zh) 2019-08-16
KR102360855B1 (ko) 2022-02-08
CN110998782B (zh) 2022-11-25
JP2023182644A (ja) 2023-12-26
TWI801953B (zh) 2023-05-11
US20190088520A1 (en) 2019-03-21
JP7357664B2 (ja) 2023-10-06
US20210313213A1 (en) 2021-10-07
US20210183681A1 (en) 2021-06-17
CN115799030A (zh) 2023-03-14
KR20200031184A (ko) 2020-03-23
JP6991306B2 (ja) 2022-01-12
KR102409327B1 (ko) 2022-06-14
JP2022043120A (ja) 2022-03-15
CN110998782A (zh) 2020-04-10
KR20220082946A (ko) 2022-06-17

Similar Documents

Publication Publication Date Title
JP7357664B2 (ja) 複数の埋込電極を有する基板支持体
TWI801409B (zh) 用於將電壓耦接到基板的部份的系統
TWI797151B (zh) 以可變電壓將電壓耦合至晶圓的空間分段部分的基板支撐組件及處理腔室
TWI771470B (zh) 具有電浮電源供應的基板支撐件
CN110998783B (zh) 具有双嵌入式电极的基板支撑件
WO2022259793A1 (ja) プラズマ処理装置