TWI797151B - 以可變電壓將電壓耦合至晶圓的空間分段部分的基板支撐組件及處理腔室 - Google Patents
以可變電壓將電壓耦合至晶圓的空間分段部分的基板支撐組件及處理腔室 Download PDFInfo
- Publication number
- TWI797151B TWI797151B TW107128242A TW107128242A TWI797151B TW I797151 B TWI797151 B TW I797151B TW 107128242 A TW107128242 A TW 107128242A TW 107128242 A TW107128242 A TW 107128242A TW I797151 B TWI797151 B TW I797151B
- Authority
- TW
- Taiwan
- Prior art keywords
- substrate support
- voltage source
- switches
- electrodes
- support assembly
- Prior art date
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 202
- 230000008878 coupling Effects 0.000 title description 7
- 238000010168 coupling process Methods 0.000 title description 7
- 238000005859 coupling reaction Methods 0.000 title description 7
- 238000001179 sorption measurement Methods 0.000 claims description 28
- 238000001816 cooling Methods 0.000 claims description 19
- 238000009966 trimming Methods 0.000 claims description 15
- 229910000838 Al alloy Inorganic materials 0.000 claims description 3
- 229910052782 aluminium Inorganic materials 0.000 claims description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 2
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 2
- 238000000034 method Methods 0.000 abstract description 31
- 230000008021 deposition Effects 0.000 abstract description 6
- 239000007789 gas Substances 0.000 description 20
- 150000002500 ions Chemical class 0.000 description 11
- 239000003989 dielectric material Substances 0.000 description 7
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 7
- 239000004020 conductor Substances 0.000 description 6
- 239000000463 material Substances 0.000 description 6
- 238000000151 deposition Methods 0.000 description 5
- 239000002826 coolant Substances 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 238000009826 distribution Methods 0.000 description 4
- 239000011888 foil Substances 0.000 description 4
- 239000011248 coating agent Substances 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 238000005260 corrosion Methods 0.000 description 3
- 230000007797 corrosion Effects 0.000 description 3
- 239000012530 fluid Substances 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- 239000007787 solid Substances 0.000 description 3
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 239000000853 adhesive Substances 0.000 description 2
- 230000001070 adhesive effect Effects 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- -1 meshes Substances 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- 230000000712 assembly Effects 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 238000000231 atomic layer deposition Methods 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
- H01L21/6833—Details of electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68742—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/6875—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
Abstract
本揭示內容一般涉及電漿輔助或電漿增強處理腔室。更具體而言,本文的實施例涉及靜電吸附(ESC)基板支撐件,其經配置以透過切換系統向設置在ESC基板支撐件中的電極提供直流(「DC」)電壓的獨立脈衝。在一些實施例中,切換系統可獨立地改變耦合到每個電極的脈衝DC電壓的頻率及工作週期。在一些實施例中,在處理基板期間,可在基板的區域中獨立地控制處理速率,例如蝕刻速率或沉積速率,因為處理速率是脈衝DC電壓的頻率及工作週期的函數。基板上進行的處理的處理均勻性被改善。
Description
本文描述的實施例一般涉及半導體製造中使用的處理腔室,具體而言,涉及具有基板支撐組件的處理腔室以及處理基板的方法,該基板支撐組件經配置以對設置在其上的基板提供偏壓。
可靠地產生高長寬比的特徵是半導體裝置的大型積體電路(VLSI)及超大型積體電路(ULSI)下一代的關鍵技術挑戰之一。形成高長寬比特徵的一種方法使用電漿輔助蝕刻處理以在基板的材料層(例如介電層)中形成高長寬比的開口。在典型的電漿輔助蝕刻處理中,在處理腔室中形成電漿,且來自電漿的離子朝向基板加速,並在遮罩上形成開口,以在遮罩表面下方的材料層中形成開口。
通常,藉由將400kHz至2MHz範圍內的低頻RF功率耦合到基板,離子朝向基板加速,從而在該基板上產生偏壓。然而,將RF功率耦合到基板不會相對於電漿向基板施加單一個電壓。在常用配置中,基板及電漿之間的電位差在RF功率的頻率處從接近零值振盪到最大負值。缺乏單一個電位來加速離子從電漿到基板導致在基板表面處及在其材料層中所形成的開口(特徵)中的大範圍的離子能量。另外,由RF偏壓所造成的不同離子軌跡使離子相對於基板表面產生大角度分佈。當對高的長寬比特徵的開口進行蝕刻時,大範圍的離子能量是不理想的,因為離子未用足以維持理想蝕刻速率的高能量來到達特徵的底部。離子相對於基板表面的大角度分佈是不理想的,因為該大角度分佈導致特徵輪廓的變形,例如在其垂直側壁中的頸縮(necking)及曲折(bowing)。
因此,本領域需要能夠在電漿輔助蝕刻處理期間在基板的材料表面上提供具有低角度分佈的窄範圍的高能量離子。
本揭示內容一般涉及電漿輔助或電漿增強的處理腔室。在一個實施例中,基板支撐組件包括基板支撐件、延伸穿過基板支撐件的複數個電極、一對開關,其中複數個電極的每個電極是電性連接到該對開關、至少一個修整電壓源(trim voltage source),該修整電壓源連接於該對開關及複數個電極的至少一個電極之間,以及吸附電極,該吸附電極平面地嵌入在基板支撐件中。
在另一實施例中,基板支撐組件包括基板支撐件、嵌入於基板支撐件中的複數個偏壓電極、一對開關,其中複數個電極的每個偏壓電極是電性連接到該對開關、至少一個修整電壓源,該修整電壓源電性連接於該對開關及複數個電極的至少一者之間,以及吸附電極,該吸附電極平面地嵌入在基板支撐件中。
在另一實施例中,處理腔室包括腔室蓋、一或更多個側壁、腔室底部,其中該腔室蓋、一或更多個側壁及腔室底部定義了處理體積,以及設置在處理體積中的基板支撐組件,該基板支撐組件包括冷卻基座、耦合到該冷卻基座的基板支撐件、平面地嵌入基板支撐件中的吸附電極、嵌入基板支撐件中的複數個偏壓電極、一對開關,其中複數個偏壓電極的至少一個偏壓電極是電性連接到該對開關,且至少一個修整電壓源是電性連接在該對開關及至少一個偏壓電極之間。
本揭示內容一般涉及電漿輔助或電漿增強的處理腔室。更具體而言,本文的實施例涉及靜電吸附(electrostatic chucking,ESC)基板支撐件,其經配置以透過切換系統將直流(「DC」)電壓的獨立脈衝提供至設置在ESC基板支撐件中的電極,例如穿過基板支撐件而設置的銷,或提供至設置在基板支撐件的表面上的電極,或提供至嵌入於基板支撐件中的電極。在一些實施例中,切換系統可獨立地改變耦合到每個電極的脈衝DC電壓的頻率及工作週期(duty cycle)。在一些實施例中,耦合到電極的脈衝DC電壓是由耦合到電極的複數個修整電壓源的其中一者來獨立調整。在一些實施例中,在處理基板期間,可在基板的區域中獨立地控制處理速率,例如蝕刻速率或沉積速率,因為處理速率是脈衝DC電壓的頻率、工作週期及電壓大小的函數。基板上所進行的處理的處理均勻性被改善。
圖1A是根據一個實施例的處理腔室100的示意性剖面圖,該處理腔室具有設置在其中的靜電吸附(ESC)基板支撐組件160。在本實施例中,處理腔室100是電漿處理腔室,例如電漿蝕刻腔室、電漿增強沉積腔室,例如電漿增強化學氣相沉積(PECVD)腔室、電漿加工腔室或電漿增強原子層沉積(PEALD)腔室,或基於電漿的離子植入腔室,例如電漿摻雜(PLAD)腔室。
處理腔室100包括定義處理體積120的腔室蓋103、一或更多個側壁102及腔室底部104。腔室蓋103包括噴淋頭112,噴淋頭112具有穿過其設置的複數個開口118。噴淋頭112用於從腔室蓋103中形成的氣體入口114以將處理氣體均勻地分配到處理體積120中。噴淋頭112耦合到RF電源142,或者在一些實施例中耦合到VHF電源,該VHF電源透過處理氣體與其電容耦合以形成電漿135。處理體積120透過真空出口113以流體耦合到真空,例如耦合到一或更多個專用真空泵,此舉將處理體積120保持在低於大氣壓的狀況下,並從中抽空處理氣體及其他氣體。基板支撐組件160設置在處理體積120中,並經配置以在處理期間支撐基板115。基板支撐組件160包括基板支撐件127、耦合到基板支撐件127的冷卻基座125,以及延伸穿過基板支撐件127的複數個電極138。基板支撐組件160設置在支撐軸124上,該支撐軸密封地延伸穿過腔室底部104。支撐軸124耦合到控制器140及基板支撐組件160,該控制器升高及降低支撐軸124,該基板支撐組件設置在該支撐軸上以便於處理基板115並將基板115傳送進出處理腔室100。通常,當基板支撐組件160處於升高位置或處理位置時,基板115與噴淋頭112間隔開約0.2英寸至2英寸之間,例如約1.25英寸。
基板115透過一或更多個側壁102的其中一個側壁中的開口126裝載到處理體積120中,該開口通常在處理基板115的期間用門或閥(未顯示)來密封。設置在升舉銷圈134上方的複數個升舉銷136可移動地設置穿過基板支撐組件160,以便於將基板115傳送到該基板支撐組件上並從該基板支撐組件傳送。升舉銷圈134耦合到升舉圈軸131,該升舉圈軸密封地延伸穿過腔室底部104,該升舉圈軸透過致動器130的手段以升高及降低升舉銷圈134。當升舉銷圈134處於升高位置時,複數個升舉銷136延伸至基板支撐件127的上方,以從該基板支撐件提升基板115並致使機器人處置器(未顯示)能夠取得基板115。當升舉銷圈134處於降低位置時,複數個升舉銷136與基板支撐件127的表面齊平(flush)或在其下方,且基板115安置在延伸穿過基板支撐件127的電極138上。
基板支撐組件160的冷卻基座125用於在處理期間調節基板支撐件127的溫度,從而調節設置在其上的基板115的溫度。冷卻基座125可包括形成在其中的一或更多個流體導管137,該流體導管流體地耦合且流體地連通至冷卻劑源133,例如冷凍劑源或水源。冷卻基座125由耐腐蝕的導電及導熱材料形成,例如耐腐蝕金屬,例如鋁、鋁合金或不銹鋼。基板支撐127通常由介電材料形成,例如陶瓷材料,例如Al2
O3
,AlN,Y2
O3
或其組合。本文的基板支撐件127以黏合劑或藉由合適的機械構件以熱耦合且固定耦合至冷卻基座125。
基板支撐組件160提供基板115的電性偏壓及基板115對該基板支撐組件的卡緊。基板115透過與複數個電極138的直接電性接觸而被偏壓。實質上導電的複數個電極138可固定地或可移動地耦合到基板支撐件127或冷卻基座125。在一個實施例中,每個電極138裝有彈簧。在一個實施例中,電極138是導電銷。複數個電極138由耐腐蝕的導電材料形成,例如鋁、鋁合金、碳化矽或其組合。複數個電極138透過切換系統152共同連接到第一電源156A及第二電源156B。如圖1A所示,電極138與冷卻基座125電性接觸,且導電冷卻基座125電性連接到切換系統152。在一個實施例中,第一電源156A是正DC電壓源,且第二電源156B是負DC電壓源。在一個實施例中,電極138透過切換系統152共同連接到第一電源156A及第二電源156B,如圖1A所示。在另一個實施例中,每個電極138透過切換系統152獨立地連接到第一電源156A及第二電源156B。
切換系統152包括兩個開關,例如固態脈衝器/開關或快速高壓電晶體開關,其能夠將高壓(HV)DC功率轉換為頻率介於約10 Hz及約1 MHz之間的循環DC電壓。例如,開關可具有高達1 MHz的切換頻率。這種開關可經操作以在高達1 MHz的頻率中斷及重建電性連接。在其他情況下,開關可經操作以用高達約1 MHz的頻率使電極138的偏壓在第一值與第二非零值之間改變,該第一值是目標偏壓值,該第二非零值具有低於閾值的絕對值,例如約目標值的5%。在一個實施例中,開關是快速高壓電晶體開關,且循環DC電壓具有大約1 MHz的頻率。在另一個實施例中,開關是快速高壓電晶體開關,且循環DC電壓具有大約100 kHz的頻率。在另一個實施例中,開關是快速高壓電晶體開關,且循環DC電壓具有大約10 kHz的頻率。在另一個實施例中,開關是快速高壓電晶體開關,且循環DC電壓具有大約1 kHz的頻率。切換系統152還包括修整電壓源,該修整電壓源用於向電極138提供額外電位,該電極可被調整以在電極138處達到所需電位,而開關提供連接至第一電壓源或第二電壓源156A/156B的任一者。由於處理速率(例如蝕刻速率或沉積速率)是脈衝DC電壓的頻率、工作週期及電壓大小的函數,故可精細地控制處理速率。連同圖3A更詳細地描述切換系統152。
在處理期間,基板115安置在複數個電極138上並與該複數個電極直接接觸,該複數個電極138在基板支撐件128的介電材料上方延伸距離G。距離G介於約1 μm及約10 μm之間,例如在約3 μm及約7 μm之間,例如約5 μm。與基板支撐表面128間隔開距離G的基板115是藉由來自吸附電極122的夾持力量牢固地持定至複數個電極138。吸附電極122包括一或更多個連續的導電材料,例如網格、箔膜(foil)或板材,該連續的導電材料平面地設置並嵌入在基板支撐件127的介電材料中。吸附電極122是藉由形成在吸附電極122中的開口以與複數個電極138電性隔離。吸附電極122被電性耦合到吸附控制器154,該吸附控制器電性耦合到第三電源158,例如靜態DC電源。在一些實施例中,吸附電極122包括一或更多個不連續的導電材料,例如網格、箔膜、板材或其組合,該不連續的導電材料與一或更多個連接器電性耦合,使得不連續的材料形成單一個電極。
根據一個實施例,圖1B是圖1A所示的基板支撐組件160的一部分的平面圖。如圖1B所示,基板支撐組件160包括基板支撐件127。複數個升舉銷136可延伸穿過基板支撐件127。在一個實施例中,存在三個升舉銷136。複數個電極138延伸穿過基板支撐件127,且複數個電極138可經安排以將脈衝DC電壓耦合到基板115的空間分段部分或區域。在一個實施例中,複數個電極138安排成圓形圖案,如圖1B所示。在一個實施例中,複數個電極138可安排成兩個或更多個同心圓。
根據一個實施例,圖2A是處理腔室100的示意剖面圖,其中ESC基板支撐組件200設置在該處理腔室中。圖2A所示的處理腔室100類似於圖1A所示的處理腔室100,除了ESC基板支撐組件160以不同的ESC基板支撐組件200替換。基板支撐組件200包括基板支撐件227及冷卻基座125,該冷卻基座125熱耦合到基板支撐件227。基板支撐件227包括基板支撐表面203,該基板支撐表面經配置以在處理期間支撐基板115。基板支撐組件200的冷卻基座125用於在處理期間調節基板支撐件227的溫度,且在一些情況下調節設置在基板支撐表面203上的基板115的溫度。冷卻基座125以黏合劑或機械構件熱耦合到基板支撐件227。
如圖2A所示,在處理期間,基板115及基板支撐組件200的基板支撐表面203之間提供背側氣體,其中背側氣體將基板115熱耦合到基板支撐表面203並增加其間的熱傳送。基板支撐表面203包括從其延伸的複數個突起228。複數個突起228使得背側氣體能夠在基板115及基板支撐表面203之間流動。背側氣體經由形成在基板支撐件227中的一或更多個氣體導管229流到基板支撐表面203。一或更多個氣體導管229經由形成在冷卻基座125中的一或更多個氣體導管230耦合到惰性背側氣源246,例如氦(He)氣源。在一個實施例中,在基板支撐表面203上形成了介電塗層。介電塗層由介電材料製成,例如Al2
O3
、AlN、Y2
O3
或其組合。
複數個偏壓電極238A-238C及統一吸附電極222嵌入在基板支撐件227中。偏壓電極238A-238C彼此電性隔離且與吸附電極222電性隔離。複數個偏壓電極238A-238C的每一者經配置以透過電容耦合以對基板115的各別區域提供一或更多個獨立脈衝DC電壓。吸附電極222藉由提供基板115與基板支撐表面203之間的電位以便提供其間的夾持力量。吸附電極222連接到第三電源158。偏壓電極238A-238C與基板支撐表面203之間的距離D1的範圍為約5 μm至約300 μm,例如約100 μm至約300 μm,例如約160 μm。
在一個實施例中,複數個導電特徵設置在基板支撐表面203上。每個導電特徵設置在相鄰的突起228之間。每個導電特徵電性連接到相應的偏壓電極238A-238C。在一個實施例中,介電塗層形成在複數個導電特徵上。
在一些實施例中,基板支撐件227經配置以支撐基板115,例如直徑為300 mm的基板。基板支撐件227包括2到20個偏壓電極,例如所示的三個偏壓電極238A-238C。然而,用於處理較大基板及/或不同形狀基板的較大基板支撐件可包括不同數量的偏壓電極。複數個偏壓電極238A-238C的每一者由一或更多個導電材料部件形成,例如金屬網格、箔膜、板材或其組合。在一些實施例中,複數個偏壓電極238A-238C的每一者由超過一個不連續的導電材料部件形成,例如複數個金屬網格、箔膜、板材或其組合,該導電材料部件與設置在基板支撐件227中的一或更多個連接器(未顯示)電性耦合,使得電性耦合的不連續材料部件包括單一個電極,例如中心偏壓電極238A、中間偏壓電極238B或外部偏壓電極238C。
通常,複數個偏壓電極238A-238C經空間地安排在整個基板支撐件227上,其圖案有利於管理整個基板115的處理結果的均勻性。在一個實施例中,電極238A是圓形板材,且偏壓電極238B-238C是不連續的環,其定義複數個同心區域。在其他實施例中,其他空間安排包括輻條(spoke)圖案、網格圖案、線圖案、螺旋圖案、交叉指狀(interdigitated)圖案、隨機圖案或其組合。在一個實施例中,複數個偏壓電極238A-238C彼此平面地設置且與吸附電極222平面地設置。複數個偏壓電極238A-238C的每一者透過形成在吸附電極222中的開口及設置在其間的基板支撐件227的介電材料以便與吸附電極222電性隔離。在其他實施例中,複數個偏壓電極238A-238C及/或其部分是彼此共平面且比吸附電極222更靠近基板支撐表面203。
複數個偏壓電極238A-238C的每一者透過切換系統252獨立地電性連接到第一電源156A及第二電源156B。複數個偏壓電極238A-238C的每一者可對與偏壓電極238A-238C相鄰或接觸的基板115區域提供獨立控制的脈衝DC電壓。在圖3B至圖3C中詳細描述切換系統252。
圖2B是圖2A所示的基板支撐組件200的一部分的平面圖。如圖2B所示,基板支撐組件200包括基板支撐件227。複數個偏壓電極238A-238C及吸附電極222嵌入在基板支撐件227中。如圖2B所示,偏壓電極238A是圓形板材,偏壓電極238B是定義第一環的三個不連續電極片,且偏壓電極238C是定義第二環的三個不連續電極片。由偏壓電極238B、238C定義的第一環及第二環與偏壓電極238A的圓形板材同心。在一個實施例中,偏壓電極238B、238C的每個電極片透過切換系統252獨立地連接到第一電源156A及第二電源156B。在另一實施例中,偏壓電極238B、238C的電極片彼此電性連接且透過切換系統252電性連接到第一電源156A及第二電源156B。吸附電極222與複數個偏壓電極238A-238C電性隔離。複數個偏壓電極238A-238C的佈置或圖案對基板115的各別區域提供脈衝DC電壓,從而可獨立地控制在其上執行的處理速率,例如蝕刻速率或沉積速率。
圖3A是根據一個實施例的切換系統152的示意圖。如圖3A所示,切換系統152包括一對開關S9、S10及修整電壓源301。每個開關S9、S10可為固態脈衝器/開關、高壓電晶體開關或任何合適的開關。快速高壓電晶體開關可具有切換時間,例如在中斷電路的開關情況下的關閉/開啟時間、在從第一電路切換到第二電路的開關情況下的電路改變時間,或在僅改變電極138的偏壓的開關情況下的狀態1至狀態2的時間,該切換時間為約1微秒或更長的時間,此舉能夠使脈衝DC電壓快速切換到基板115的區域。修整電壓源301可為小電壓源,例如能夠產生高達正或負500V電壓的電壓源。第一電源及第二電源156A、156B是能夠產生高達正或負5000 V電壓的大電壓源。修整電壓源301透過電性連接303以連接到冷卻基座125。修整電壓源301電性連接在該對開關S9、S10及複數個電極138之間。修整電壓源301可用於調整透過複數個電極138施加到基板115區域的電壓。
圖3B是根據一個實施例的切換系統252的示意圖。如圖3B所示,切換系統252包括複數個開關S1、S2、S3、S4、S5、S6及複數個修整電壓源320、322、324。每個開關S1、S2、S3、S4、S5、S6可為固態脈衝器/開關、高壓電晶體開關或任何合適的開關。快速高壓電晶體開關可具有切換時間,例如在中斷電路的開關情況下的關閉/開啟時間、在從第一電路切換到第二電路的開關情況下的電路改變時間,或在僅改變偏壓電極238A-238C的偏壓的開關情況下的狀態1至狀態2的時間,該切換時間為約1微秒或更長的時間,此舉能夠使脈衝DC電壓快速切換到基板115的區域。修整電壓源320、322、324可為小電壓源,例如能夠產生高達正或負500V電壓的電壓源。開關S1、S2、S3、S4、S5、S6形成複數對開關,且每對開關S1/S2、S3/S4、S5/S6透過電性連接302、304或306以便連接到圖2A所示的相應偏壓電極238A-238C。複數個電壓源320、322、324的每個電壓源是電性連接在每對開關及相應的偏壓電極238A-238C之間。該對開關的其中一個開關連接到第一電源156A,且該對開關的另一個開關連接到第二電源156B。例如,開關S2、S4、S6連接到第一電源156A,開關S1、S3、S5連接到第二電源156B。開關S1、S2、S3、S4、S5及S6連接到電壓源320、322、324其中一者的參考電壓,使得每個電壓源320、322、324相對於開關的輸出電位提供小的正或負電壓偏壓。如此,電壓源320、322及324可對不同的偏壓電極238A-238C提供不同的電位。
圖2A所示的每個偏壓電極238A-238C連接到第一電源及第二電源156A、156B,且取決於開關S1-S6的位置,可將不同的脈衝DC電壓施加到偏壓電極238A-238C以便在處理期間控制整個基板115上的處理速率,例如蝕刻速率或沉積速率。此外,圖2A所示的每個偏壓電極238A-238C連接到電壓源320、322或324的其中一者,以提供施加到其上的電壓局部調整。換言之,圖2A所示的每個偏壓電極238A-238C連接到一對開關及複數個電壓源320、322、324的其中一個電壓源,該對開關的其中一個開關連接到第一電源156A,且該對開關的另一個開關連接到第二電源156B。連接到每個偏壓電極238A-238C的每個電壓源320、322、324對第一電源及第二電源156A、156B所供應的電壓提供額外電壓。獨立的電壓源320、322、324用於在基板115的每個區域中進行增量電壓調整,以控制處理速率及均勻性。
儘管圖3B中顯示了三對開關及三個電壓源,但可使用更多或更少對的開關及電壓源。在一個實施例中,在切換系統252中使用八對開關,或十六個開關,以及八個電壓源。在一個實施例中,第一電源156A是正DC電壓源,且第二電源156B是負DC電壓源。因此,提供給偏壓電極238A-238C的功率可為雙極性的。連接到正電源156A的開關S2、S4、S6控制正偏壓脈衝的時間,且連接到負電源156B的開關S1、S3、S5控制負偏壓脈衝的時間。可協調正偏壓脈衝及負偏壓脈衝的時間。例如,協調電壓序列包括負電壓脈衝及隨後的正電壓脈衝,反之亦然。正脈衝及負脈衝的大小由電源156A、156B及複數個電壓源320、322、324獨立設定。正電壓脈衝及負電壓脈衝的持續時間可由開關S1、S2、S3、S4、S5、S6獨立控制。循環的整體持續時間是透過每個偏壓電極238A-238C的開關S1、S2、S3、S4、S5、S6的協調切換來管理。
在一個實施例中,每個電源156A、156B具有一個功率設定。在另一個實施例中,每個電源156A、156B具有多個功率設定。由於切換系統252將正電源156A及負電源156B兩者連接到每個偏壓電極238A-238C,故可將獨立的雙極性脈衝施加到每個偏壓電極238A-238C。雙極性脈衝在偏壓電極238A-238C之間可具有獨立的設定,且可獨立地控制到每個偏壓電極238A-238C的正脈衝及負脈衝的持續時間。
圖3C是根據另一實施例的切換系統252的示意圖。切換系統252包括兩個開關S7、S8及複數個電壓源320、322、324。開關S7、S8可為與開關S1-S6相同的開關。開關S7連接到第一電源156A,且開關S8連接到第二電源156B。電壓源320、322、324連接到開關S7、S8。在一個實施例中,開關S7可為開啟且開關S8可為關閉,因此來自第一電源156A的脈衝DC電壓被施加到電性連接302、304、306。電壓源320、322、324從第一電源156A分別至電性連接302、304、306以對脈衝DC電壓提供額外電壓。在另一個實施例中,開關S8可為開啟且開關S7可為關閉,使得來自第二電源156B的脈衝DC電壓被施加到電性連接302、304、306。電壓源320、322、324從第二電源156B分別至電性連接302、304、306以對脈衝DC電壓提供增量電壓。循環的整體持續時間是由開關S7、S8的協調切換及每個電壓源320、322、324針對每個偏壓電極238A-238C供應的增量電壓來管理。
圖4是根據本文描述的實施例繪示在電漿輔助處理期間偏壓基板的方法400的流程圖。方法400包括方塊410,其使處理氣體流入處理腔室。處理腔室可為處理腔室100。在方塊420處,在處理腔室中由處理氣體形成電漿。在方塊430處,將基板電性夾持到基板支撐件,例如圖1A所述的基板支撐件127或圖2A所述的基板支撐件227,其設置在處理腔室中,例如處理腔室100。夾持力量由ESC電極提供,該ESC電極嵌入基板支撐件的介電材料中並且耦合到ESC電源。
方塊440處的方法400包括透過複數個電極以將複數個脈衝DC電壓耦合到基板的各別區域。複數個電極可為圖2A所述的複數個偏壓電極238A-238C或圖1A的電極138。複數個脈衝DC電壓可包括正DC電壓及負DC電壓兩者。每個相應的脈衝DC電壓透過電容耦合或與電極的直接接觸以對基板的區域提供獨立的脈衝DC電壓。複數個脈衝DC電壓由複數個電壓源改變,例如圖3A所述的複數個電壓源320、322、324。在一些實施例中,複數個脈衝DC電壓包括超過一個的極性及/或超過一個的頻率。複數個脈衝DC電壓經由切換系統施加到電極,該切換系統包括複數個開關及複數個電壓源。切換系統可為圖3A所述的切換系統152或圖3B及圖3C所述的切換系統252。可在方塊420、430或440之後形成電漿。
本文所述的基板支撐組件及方法在與使用靜電夾持力兼容的電漿輔助處理期間,透過電容耦合或直接電性接觸以造成獨立基板區域的脈衝DC偏壓。脈衝DC偏壓允許在基板表面處及/或其區域處以及形成於其中的特徵開口中更加地控制離子能量及角度分佈。這種增進的控制至少在形成高長寬比特徵及/或需要直線蝕刻輪廓的特徵時是理想的,例如用於記憶體裝置的介電材料中的高長寬比蝕刻,包括非揮發性快閃記憶體裝置及動態隨機存取記憶體裝置,及用於淺溝槽隔離(shallow trench isolation,STI)應用的矽蝕刻或用於FinFET裝置的矽鰭片。將不同電位施加到基板的不同區域的能力使得能夠調整整個基板的處理均勻性並使其改進。
雖然前述內容針對本揭示內容的實施例,但可在不脫離本揭示內容的基本範疇的情況下設計本揭示內容的其他及進一步實施例,且本揭示內容的範疇是取決於以下請求項。
100‧‧‧處理腔室102‧‧‧側壁103‧‧‧腔室蓋104‧‧‧腔室底部112‧‧‧噴淋頭113‧‧‧真空出口114‧‧‧氣體入口115‧‧‧基板118‧‧‧開口120‧‧‧處理體積122‧‧‧吸附電極124‧‧‧支撐軸125‧‧‧冷卻基座126‧‧‧開口127‧‧‧基板支撐件128‧‧‧基板支撐表面130‧‧‧致動器131‧‧‧升舉圈軸133‧‧‧冷卻劑源134‧‧‧升舉銷圈135‧‧‧電漿136‧‧‧升舉銷137‧‧‧流體導管138‧‧‧電極140‧‧‧控制器142‧‧‧RF電源152‧‧‧切換系統154‧‧‧吸附控制器156A‧‧‧第一電源156B‧‧‧第二電源158‧‧‧第三電源160‧‧‧基板支撐組件200‧‧‧基板支撐組件203‧‧‧基板支撐表面222‧‧‧吸附電極227‧‧‧基板支撐件228‧‧‧突起229‧‧‧氣體導管230‧‧‧氣體導管238A‧‧‧偏壓電極238B‧‧‧偏壓電極238C‧‧‧偏壓電極246‧‧‧惰性背側氣源252‧‧‧切換系統301‧‧‧修整電壓源302‧‧‧電性連接303‧‧‧電性連接304‧‧‧電性連接306‧‧‧電性連接320‧‧‧修整電壓源322‧‧‧修整電壓源324‧‧‧修整電壓源400‧‧‧方法410‧‧‧方塊420‧‧‧方塊430‧‧‧方塊440‧‧‧方塊D1‧‧‧距離G‧‧‧距離S1-S10‧‧‧開關
因此,為了可詳細理解本揭示內容的上述特徵,可參考實施例來得知上方簡要概述的本揭示內容的更具體描述,其中某些實施例繪示於附圖中。然而將注意到,附圖僅繪示本揭示內容的典型實施例,且因此不該被認定是對其範圍的限制,因為本揭示內容可承認其他等效實施例。
圖1A是根據一個實施例的處理腔室的示意性剖面圖,該處理腔室包括基板支撐件,該基板支撐件具有複數個電極設置於其中。
圖1B是根據一個實施例的圖1A所示的基板支撐組件的一部分的平面圖。
圖2A是根據一個實施例的處理腔室的示意性剖面圖,該處理腔室包括基板支撐件,該基板支撐件具有複數個電極嵌入於其中。
圖2B是根據一個實施例的圖2A所示的基板支撐組件的平面圖。
圖3A至圖3C是根據本文描述的實施例的切換系統的示意圖。
圖4是根據本文描述的實施例的流程圖,該流程圖繪示在電漿輔助處理期間對基板的區域提供偏壓的方法。
為了便於理解,在可能的情況下使用相同的元件符號來表示圖式中共有的相同元件。可設想到,一個實作中的元件及特徵可有利地併入其他實作中而無需進一步詳述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
100:處理腔室
102:側壁
103:腔室蓋
104:腔室底部
112:噴淋頭
113:真空出口
114:氣體入口
115:基板
118:開口
120:處理體積
122:吸附電極
124:支撐軸
125:冷卻基座
126:開口
127:基板支撐件
128:基板支撐表面
130:致動器
131:升舉圈軸
133:冷卻劑源
134:升舉銷圈
135:電漿
136:升舉銷
137:流體導管
138:電極
140:控制器
142:RF電源
152:切換系統
154:吸附控制器
156A:第一電源
156B:第二電源
158:第三電源
G:距離
Claims (20)
- 一種基板支撐組件,包括:一基板支撐件,該基板支撐件具有一基板支撐表面;複數個電極,該複數個電極延伸穿過該基板支撐件並且延伸到該基板支撐表面上方;一對開關,其中該複數個電極的每個電極是電性連接到該對開關;至少一個修整電壓源(trim voltage source),該修整電壓源電性連接並且實體連接於該對開關及該複數個電極的至少一個電極之間;及一吸附電極,該吸附電極平面地嵌入在該基板支撐件中。
- 如請求項1所述之基板支撐組件,其中該複數個電極為複數個導電銷。
- 如請求項1所述之基板支撐組件,其中該複數個電極的一電極包括鋁、一鋁合金、碳化矽或其組合。
- 如請求項1所述之基板支撐組件,其中該對開關的每一開關為一快速高壓電晶體開關。
- 如請求項1所述之基板支撐組件,其中該複數個電極的至少一部分安排成一圓形圖案。
- 如請求項1所述之基板支撐組件,進一步包括一第一電壓源及一第二電壓源,該第一電壓源連接至該對開關的其中一個開關,且該第二電壓源連接至該對開關的另一個開關。
- 如請求項6所述之基板支撐組件,其中該第一電壓源及該第二電壓源具有相反的極性。
- 一種基板支撐組件,包括:一基板支撐件;複數個偏壓電極,該複數個偏壓電極嵌入於該基板支撐件中;一對開關,其中該複數個偏壓電極的每個偏壓電極是電性連接到該對開關;至少一個修整電壓源,該修整電壓源電性連接並且實體連接於該對開關及該複數個偏壓電極的至少一個該偏壓電極之間;及一吸附電極,該吸附電極平面地嵌入在該基板支撐件中。
- 如請求項8所述之基板支撐組件,其中該對開關的每一開關為一快速高壓電晶體開關。
- 如請求項8所述之基板支撐組件,其中該複數個偏壓電極包括兩個至二十個偏壓電極。
- 如請求項8所述之基板支撐組件,其中該 複數個偏壓電極包括一圓形板材及一或更多個不連續的環,該不連續的環與該圓形板材同心。
- 如請求項8所述之基板支撐組件,其中該複數個偏壓電極安排成一輻條(spoke)圖案、網格圖案、線圖案、螺旋圖案、交叉指狀(interdigitated)圖案、隨機圖案或其組合。
- 如請求項8所述之基板支撐組件,其中每個偏壓電極是與每個其他的偏壓電極隔離。
- 如請求項8所述之基板支撐組件,進一步包括一第一電壓源及一第二電壓源,該第一電壓源連接至該對開關的其中一個開關,且該第二電壓源連接至該對開關的另一個開關。
- 如請求項14所述之基板支撐組件,其中該第一電壓源及該第二電壓源具有相反的極性。
- 一種處理腔室,包括:一腔室蓋;一或更多個側壁;一腔室底部,其中該腔室蓋、該一或更多個側壁及該腔室底部定義一處理體積;及一基板支撐組件,該基板支撐組件設置在該處理體積中,該基板支撐組件包括:一冷卻基座; 一基板支撐件,該基板支撐件耦合至該冷卻基座;一吸附電極,該吸附電極平面地嵌入於該基板支撐件中;複數個偏壓電極,該複數個偏壓電極嵌入於該基板支撐件中;一對開關,其中該複數個偏壓電極的至少一個偏壓電極是電性連接到該對開關;及至少一個修整電壓源,該修整電壓源電性連接並且實體連接於該對開關及至少一個該偏壓電極之間。
- 如請求項16所述之處理腔室,其中該複數個偏壓電極安排成一輻條圖案、網格圖案、線圖案、螺旋圖案、交叉指狀圖案、隨機圖案或其組合。
- 如請求項16所述之處理腔室,其中每個偏壓電極是與每個其他的偏壓電極隔離。
- 如請求項16所述之處理腔室,進一步包括一第一電壓源及一第二電壓源,該第一電壓源連接至該對開關的其中一個開關,且該第二電壓源連接至該對開關的另一個開關。
- 如請求項19所述之處理腔室,其中該第一電壓源及該第二電壓源具有相反的極性。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/710,773 | 2017-09-20 | ||
US15/710,773 US10763150B2 (en) | 2017-09-20 | 2017-09-20 | System for coupling a voltage to spatially segmented portions of the wafer with variable voltage |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201916091A TW201916091A (zh) | 2019-04-16 |
TWI797151B true TWI797151B (zh) | 2023-04-01 |
Family
ID=65721130
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW107128242A TWI797151B (zh) | 2017-09-20 | 2018-08-14 | 以可變電壓將電壓耦合至晶圓的空間分段部分的基板支撐組件及處理腔室 |
Country Status (3)
Country | Link |
---|---|
US (1) | US10763150B2 (zh) |
TW (1) | TWI797151B (zh) |
WO (1) | WO2019060061A1 (zh) |
Families Citing this family (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10510575B2 (en) * | 2017-09-20 | 2019-12-17 | Applied Materials, Inc. | Substrate support with multiple embedded electrodes |
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
KR20210107716A (ko) | 2019-01-22 | 2021-09-01 | 어플라이드 머티어리얼스, 인코포레이티드 | 펄스 전압 파형을 제어하기 위한 피드백 루프 |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
US11043387B2 (en) | 2019-10-30 | 2021-06-22 | Applied Materials, Inc. | Methods and apparatus for processing a substrate |
US11462388B2 (en) | 2020-07-31 | 2022-10-04 | Applied Materials, Inc. | Plasma processing assembly using pulsed-voltage and radio-frequency power |
US11901157B2 (en) * | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11495470B1 (en) | 2021-04-16 | 2022-11-08 | Applied Materials, Inc. | Method of enhancing etching selectivity using a pulsed plasma |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
US11984306B2 (en) | 2021-06-09 | 2024-05-14 | Applied Materials, Inc. | Plasma chamber and chamber component cleaning methods |
US11810760B2 (en) | 2021-06-16 | 2023-11-07 | Applied Materials, Inc. | Apparatus and method of ion current compensation |
US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US11776788B2 (en) | 2021-06-28 | 2023-10-03 | Applied Materials, Inc. | Pulsed voltage boost for substrate processing |
US11476090B1 (en) | 2021-08-24 | 2022-10-18 | Applied Materials, Inc. | Voltage pulse time-domain multiplexing |
US11694876B2 (en) | 2021-12-08 | 2023-07-04 | Applied Materials, Inc. | Apparatus and method for delivering a plurality of waveform signals during plasma processing |
US11972924B2 (en) | 2022-06-08 | 2024-04-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20070285869A1 (en) * | 1998-09-30 | 2007-12-13 | Lam Research Corp. | Method of determining the correct average bias compensation voltage during a plasma process |
US20100018648A1 (en) * | 2008-07-23 | 2010-01-28 | Applied Marterials, Inc. | Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring |
TW201203359A (en) * | 2006-02-27 | 2012-01-16 | Lam Res Corp | Integrated capacitive and inductive power sources for a plasma etching chamber |
TW201417137A (zh) * | 2012-09-26 | 2014-05-01 | Toshiba Kk | 電漿處理裝置及電漿處理方法 |
Family Cites Families (49)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5099697A (en) | 1990-04-02 | 1992-03-31 | Agar Corporation Ltd. | Two and three-phase flow measurement |
US6253704B1 (en) | 1995-10-13 | 2001-07-03 | Mattson Technology, Inc. | Apparatus and method for pulsed plasma processing of a semiconductor substrate |
US5770023A (en) | 1996-02-12 | 1998-06-23 | Eni A Division Of Astec America, Inc. | Etch process employing asymmetric bipolar pulsed DC |
US6051114A (en) | 1997-06-23 | 2000-04-18 | Applied Materials, Inc. | Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition |
US6187685B1 (en) | 1997-08-01 | 2001-02-13 | Surface Technology Systems Limited | Method and apparatus for etching a substrate |
US6099697A (en) * | 1999-04-13 | 2000-08-08 | Applied Materials, Inc. | Method of and apparatus for restoring a support surface in a semiconductor wafer processing system |
US6201208B1 (en) | 1999-11-04 | 2001-03-13 | Wisconsin Alumni Research Foundation | Method and apparatus for plasma processing with control of ion energy distribution at the substrates |
KR100511854B1 (ko) | 2002-06-18 | 2005-09-02 | 아네르바 가부시키가이샤 | 정전 흡착 장치 |
US7126808B2 (en) | 2003-04-01 | 2006-10-24 | Varian Semiconductor Equipment Associates, Inc. | Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping |
US7988816B2 (en) | 2004-06-21 | 2011-08-02 | Tokyo Electron Limited | Plasma processing apparatus and method |
EP1912266A1 (en) | 2006-10-10 | 2008-04-16 | STMicroelectronics S.r.l. | Method of forming phase change memory devices in a pulsed DC deposition chamber |
KR101447302B1 (ko) | 2006-12-12 | 2014-10-06 | 오를리콘 어드벤스드 테크놀로지스 아크티엔게젤샤프트 | 고전력 임펄스 마그네트론 스퍼터링(hipims)에서의 아크 억제 및 펄싱 |
US7718538B2 (en) | 2007-02-21 | 2010-05-18 | Applied Materials, Inc. | Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates |
KR100855002B1 (ko) | 2007-05-23 | 2008-08-28 | 삼성전자주식회사 | 플라즈마 이온 주입시스템 |
US20090004836A1 (en) | 2007-06-29 | 2009-01-01 | Varian Semiconductor Equipment Associates, Inc. | Plasma doping with enhanced charge neutralization |
US8133359B2 (en) | 2007-11-16 | 2012-03-13 | Advanced Energy Industries, Inc. | Methods and apparatus for sputtering deposition using direct current |
US8609546B2 (en) | 2007-11-29 | 2013-12-17 | Lam Research Corporation | Pulsed bias plasma process to control microloading |
JP5295833B2 (ja) | 2008-09-24 | 2013-09-18 | 株式会社東芝 | 基板処理装置および基板処理方法 |
US8383001B2 (en) | 2009-02-20 | 2013-02-26 | Tokyo Electron Limited | Plasma etching method, plasma etching apparatus and storage medium |
US8382999B2 (en) | 2009-03-26 | 2013-02-26 | Applied Materials, Inc. | Pulsed plasma high aspect ratio dielectric process |
US20120000421A1 (en) | 2010-07-02 | 2012-01-05 | Varian Semicondutor Equipment Associates, Inc. | Control apparatus for plasma immersion ion implantation of a dielectric substrate |
US8828883B2 (en) | 2010-08-24 | 2014-09-09 | Micron Technology, Inc. | Methods and apparatuses for energetic neutral flux generation for processing a substrate |
US20120088371A1 (en) | 2010-10-07 | 2012-04-12 | Applied Materials, Inc. | Methods for etching substrates using pulsed dc voltage |
US8916056B2 (en) | 2012-10-11 | 2014-12-23 | Varian Semiconductor Equipment Associates, Inc. | Biasing system for a plasma processing apparatus |
US10049948B2 (en) | 2012-11-30 | 2018-08-14 | Lam Research Corporation | Power switching system for ESC with array of thermal control elements |
KR102064914B1 (ko) | 2013-03-06 | 2020-01-10 | 삼성전자주식회사 | 식각 공정 장치 및 식각 공정 방법 |
US20140262755A1 (en) | 2013-03-13 | 2014-09-18 | Applied Materials, Inc. | Uv-assisted reactive ion etch for copper |
US20140263182A1 (en) | 2013-03-15 | 2014-09-18 | Tokyo Electron Limited | Dc pulse etcher |
US9101038B2 (en) | 2013-12-20 | 2015-08-04 | Lam Research Corporation | Electrostatic chuck including declamping electrode and method of declamping |
KR102222902B1 (ko) | 2014-05-12 | 2021-03-05 | 삼성전자주식회사 | 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법 |
KR20160022458A (ko) | 2014-08-19 | 2016-03-02 | 삼성전자주식회사 | 플라즈마 장비 및 이의 동작 방법 |
US20170263478A1 (en) | 2015-01-16 | 2017-09-14 | Lam Research Corporation | Detection System for Tunable/Replaceable Edge Coupling Ring |
US10163610B2 (en) | 2015-07-13 | 2018-12-25 | Lam Research Corporation | Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation |
US9761459B2 (en) | 2015-08-05 | 2017-09-12 | Lam Research Corporation | Systems and methods for reverse pulsing |
US9620376B2 (en) | 2015-08-19 | 2017-04-11 | Lam Research Corporation | Self limiting lateral atomic layer etch |
US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
US10192751B2 (en) | 2015-10-15 | 2019-01-29 | Lam Research Corporation | Systems and methods for ultrahigh selective nitride etch |
US9881820B2 (en) | 2015-10-22 | 2018-01-30 | Lam Research Corporation | Front opening ring pod |
US10062599B2 (en) | 2015-10-22 | 2018-08-28 | Lam Research Corporation | Automated replacement of consumable parts using interfacing chambers |
US20170115657A1 (en) | 2015-10-22 | 2017-04-27 | Lam Research Corporation | Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ |
US10124492B2 (en) | 2015-10-22 | 2018-11-13 | Lam Research Corporation | Automated replacement of consumable parts using end effectors interfacing with plasma processing system |
US9601319B1 (en) | 2016-01-07 | 2017-03-21 | Lam Research Corporation | Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
US10438833B2 (en) | 2016-02-16 | 2019-10-08 | Lam Research Corporation | Wafer lift ring system for wafer transfer |
US9966231B2 (en) | 2016-02-29 | 2018-05-08 | Lam Research Corporation | Direct current pulsing plasma systems |
US10269566B2 (en) | 2016-04-29 | 2019-04-23 | Lam Research Corporation | Etching substrates using ale and selective deposition |
US9852889B1 (en) | 2016-06-22 | 2017-12-26 | Lam Research Corporation | Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring |
JP6826955B2 (ja) * | 2017-06-14 | 2021-02-10 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
-
2017
- 2017-09-20 US US15/710,773 patent/US10763150B2/en active Active
-
2018
- 2018-08-10 WO PCT/US2018/046182 patent/WO2019060061A1/en active Application Filing
- 2018-08-14 TW TW107128242A patent/TWI797151B/zh active
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20070285869A1 (en) * | 1998-09-30 | 2007-12-13 | Lam Research Corp. | Method of determining the correct average bias compensation voltage during a plasma process |
TW201203359A (en) * | 2006-02-27 | 2012-01-16 | Lam Res Corp | Integrated capacitive and inductive power sources for a plasma etching chamber |
US20100018648A1 (en) * | 2008-07-23 | 2010-01-28 | Applied Marterials, Inc. | Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring |
TW201417137A (zh) * | 2012-09-26 | 2014-05-01 | Toshiba Kk | 電漿處理裝置及電漿處理方法 |
Also Published As
Publication number | Publication date |
---|---|
US20190088522A1 (en) | 2019-03-21 |
WO2019060061A1 (en) | 2019-03-28 |
TW201916091A (zh) | 2019-04-16 |
US10763150B2 (en) | 2020-09-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI797151B (zh) | 以可變電壓將電壓耦合至晶圓的空間分段部分的基板支撐組件及處理腔室 | |
TWI801409B (zh) | 用於將電壓耦接到基板的部份的系統 | |
JP7357664B2 (ja) | 複数の埋込電極を有する基板支持体 | |
TWI771470B (zh) | 具有電浮電源供應的基板支撐件 | |
CN110998783B (zh) | 具有双嵌入式电极的基板支撑件 | |
TW201921580A (zh) | 具有冷卻和傳導銷的基板支撐件 | |
JP2018533192A (ja) | 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ | |
TW201230890A (en) | Plasma processing apparatus and plasma control method | |
TWI824512B (zh) | 用於邊緣非均勻調諧的低阻抗電流路徑 |