KR102409327B1 - 다중 매립 전극들을 갖는 기판 지지부 - Google Patents

다중 매립 전극들을 갖는 기판 지지부 Download PDF

Info

Publication number
KR102409327B1
KR102409327B1 KR1020227003750A KR20227003750A KR102409327B1 KR 102409327 B1 KR102409327 B1 KR 102409327B1 KR 1020227003750 A KR1020227003750 A KR 1020227003750A KR 20227003750 A KR20227003750 A KR 20227003750A KR 102409327 B1 KR102409327 B1 KR 102409327B1
Authority
KR
South Korea
Prior art keywords
substrate
processing
electrode
electrodes
substrate support
Prior art date
Application number
KR1020227003750A
Other languages
English (en)
Other versions
KR20220019853A (ko
Inventor
필립 앨런 크라우스
타이 쳉 추아
재용 조
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020227019539A priority Critical patent/KR102493914B1/ko
Publication of KR20220019853A publication Critical patent/KR20220019853A/ko
Application granted granted Critical
Publication of KR102409327B1 publication Critical patent/KR102409327B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

플라즈마 보조 프로세싱 챔버에서 기판의 구역들을 바이어싱하기 위한 방법 및 장치가 제공된다. 기판 또는 그 기판의 구역들을 바이어싱하는 것은 프로세싱 챔버에 형성된 플라즈마와 기판 사이의 전위차를 증가시킴으로써, 플라즈마로부터 기판 구역들의 활성 표면들 쪽으로 이온들을 가속시킨다. 본원의 복수의 바이어스 전극들은 기판에 걸친 프로세싱 결과들의 균일성을 관리하는 데 유리한 패턴으로 기판 지지부에 걸쳐 공간적으로 배열된다.

Description

다중 매립 전극들을 갖는 기판 지지부{SUBSTRATE SUPPORT WITH MULTIPLE EMBEDDED ELECTRODES}
[0001] 본원에서 설명되는 실시예들은 일반적으로, 반도체 제조에서 사용되는 프로세싱 챔버들, 특히, 기판을 바이어싱하도록 구성된 기판 지지 조립체를 갖는 프로세싱 챔버들, 및 기판을 바이어싱하는 방법에 관한 것이다.
[0002] 고 종횡비 피처(high aspect ratio feature)들을 신뢰성 있게 생성하는 것은 반도체 디바이스들의 차세대 초대규모 집적 회로(VLSI) 및 극대규모 집적 회로(ULSI)에 대한 핵심 기술 난제들 중 하나이다. 고 종횡비 피처들을 형성하는 하나의 방법은, 기판의 재료 층, 이를테면 유전체 층에 고 종횡비 개구들을 형성하기 위해, 플라즈마 보조 에칭 프로세스를 사용한다. 전형적인 플라즈마 보조 에칭 프로세스에서, 프로세싱 챔버에서 플라즈마가 형성되고, 그리고 플라즈마로부터의 이온들이 기판 및 그 기판 상의 마스크에 형성된 개구들 쪽으로 가속되어, 마스크 표면 아래의 재료 층에 개구들을 형성한다. 전형적으로, 400 kHz 내지 2 MHz의 범위의 저 주파수 RF 전력을 기판에 커플링시켜서 그 기판 상에 바이어스 전압을 생성함으로써, 이온들이 기판 쪽으로 가속된다. 그러나, 기판에 RF 전력을 커플링시키는 것은 플라즈마에 대하여 기판에 단일 전압을 인가하지 않는다. 일반적으로 사용되는 구성들에서, 기판과 플라즈마 사이의 전위차는 RF 전력의 주파수로 거의 제로(zero) 값으로부터 최대 음의 값까지 진동한다. 플라즈마로부터 기판으로 이온들을 가속시키는 단일 전위의 결여는, 기판 표면에서, 그리고 그 기판 표면의 재료 층들에 형성되는 개구들(피처들) 내에서, 넓은 범위의 이온 에너지들을 초래한다. 부가하여, RF 바이어싱으로부터 기인하는 이종 이온 궤도들은 기판 표면에 대한 이온들의 넓은 각도 분포들을 생성한다. 고 종횡비 피처들의 개구들을 에칭할 때 넓은 범위들의 이온 에너지들은 바람직하지 않은데, 이는 이온들이 바람직한 에칭 레이트들을 유지할 정도로 충분히 높은 에너지들로 피처들의 최하부에 도달하지 않기 때문이다. 기판 표면에 대한 이온들의 넓은 각도 분포들은 바람직하지 않은데, 이는 넓은 각도 분포들이 피처 프로파일들의 변형들, 이를테면, 피처의 수직 측벽들에서의 네킹(necking) 및 보잉(bowing)을 초래하기 때문이다.
[0003] 따라서, 플라즈마 보조 에칭 프로세스 동안 기판의 재료 표면에 낮은 각도 분포들로 좁은 범위들의 고 에너지 이온들을 제공하는 능력이 본 기술분야에 필요하다.
[0004] 본 개시내용은 일반적으로, 플라즈마 보조 또는 플라즈마 강화 프로세싱 챔버들에 관한 것이다. 더 구체적으로, 본원의 실시예들은 플라즈마 보조 또는 플라즈마 강화 반도체 제조 프로세스들 동안 기판의 구역들에 개별 펄스(주기적인(cyclic)) DC 전압들을 제공하도록 구성된 정전 척킹(ESC) 기판 지지부들, 및 기판의 구역들을 바이어싱하는 방법들에 관한 것이다.
[0005] 일 실시예에서, 기판 지지 조립체가 제공되며, 그 기판 지지 조립체는 기판 지지부를 포함하고, 그 기판 지지부는, 기판 지지부 내의 복수의 제1 전극들 ― 복수의 제1 전극들 중 각각의 전극은 복수의 제1 전극들 중 모든 각각의 다른 전극으로부터 전기적으로 절연되고, 그리고 복수의 제1 전극들 중 모든 각각의 다른 전극과 동일 평면에 있고, 복수의 제1 전극들 중 각각의 전극은, 기판의 구역과의 용량성 커플링을 통해, 기판의 구역에 펄스 DC 전력을 제공하도록 구성됨 ―; 및 기판 지지부에 기판을 전기적으로 클램핑하기 위해, 기판 지지부 내에 배치되고, 복수의 제1 전극들로부터 전기적으로 절연된 제2 전극을 포함한다.
[0006] 다른 실시예들은 프로세싱 챔버를 제공하며, 그 프로세싱 챔버는, 프로세싱 볼륨을 정의하는, 하나 이상의 측벽들 및 최하부; 및 기판 지지부를 포함한다. 기판 지지부는, 기판 지지부 내의 복수의 제1 전극들 ― 복수의 제1 전극들 중 각각의 전극은 복수의 제1 전극들 중 모든 각각의 다른 전극으로부터 전기적으로 절연되고, 그리고 복수의 제1 전극들 중 모든 각각의 다른 전극과 동일 평면에 있고, 복수의 제1 전극들 중 각각의 전극은, 기판의 구역과의 용량성 커플링을 통해, 기판의 구역에 펄스 DC 바이어스를 제공하도록 구성됨 ―; 및 기판 지지부에 기판을 전기적으로 클램핑하기 위해, 기판 지지부 내에 배치되고, 복수의 제1 전극들로부터 전기적으로 절연된 제2 전극을 포함한다.
[0007] 다른 실시예에서, 복수의 주기적인 DC 전압들로 기판을 바이어싱하는 방법이 제공된다. 방법은, 프로세싱 챔버 내로 프로세싱 가스를 유동시키는 단계; 프로세싱 가스로부터 플라즈마를 형성하는 단계; 프로세싱 챔버에 배치된 기판 지지부에 기판을 전기적으로 클램핑하는 단계; 및 복수의 구역들에 걸쳐 기판을 바이어싱하는 단계를 포함한다. 복수의 구역들에 걸쳐 기판을 바이어싱하는 단계는, 기판 지지부의 제1 유전체 층의 커패시턴스를 통해, 기판 지지부에 배치된 복수의 바이어스 전극들에 스위칭 시스템을 통해 제공되는 복수의 주기적인 DC 전압들을 기판의 각각의 구역들에 용량성 커플링시키는 단계를 포함한다. 본원의 복수의 주기적인 DC 전압들은 다양한 주파수들 및/또는 다수의 극성들을 포함한다.
[0008] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은 일 실시예에 따른, 정전 척킹(ESC) 기판 지지 조립체가 내부에 배치된 프로세싱 챔버의 개략적인 단면도이다.
[0010] 도 2a는 도 1의 프로세싱 챔버에서 사용되는 기판 지지 조립체의 확대 단면도이다.
[0011] 도 2b는 도 2a에 도시된 기판 지지 조립체의 평면도이다.
[0012] 도 3은 본원에서 설명되는 실시예들에 따른, 플라즈마 보조 프로세싱 동안 기판의 구역들을 바이어싱하는 방법을 예시하는 흐름도이다.
[0013] 본 개시내용의 실시예들은 일반적으로, 플라즈마 프로세싱 챔버들, 이를테면 플라즈마 보조 또는 플라즈마 강화 프로세싱 챔버들에 관한 것이다. 더 구체적으로, 본원의 실시예들은 플라즈마 보조 또는 플라즈마 강화 반도체 제조 프로세싱 동안, 상부에 배치된 기판에 용량성 커플링 펄스 DC 전압을 제공하도록 구성된 정전 척킹(ESC) 기판 지지부들에 관한 것이다. 주기적인 DC 전력 소스에 대한 기판의 용량성 커플링(기판 상에 펄스 DC 바이어스를 배치함)은 프로세싱 챔버에 형성된 플라즈마와 기판 사이의 전위차를 증가시킴으로써, 플라즈마로부터 기판의 활성 표면 쪽으로 이온들을 가속시킨다. RF 바이어싱과 대조적으로, 펄스 DC 바이어싱은 이온들이 플라즈마로부터 기판으로 가속하기 위한 단일 전위를 제공한다. 본원의 기판 지지부들은 복수의 바이어스 전극들을 포함하며, 그 복수의 바이어스 전극들은 펄스 DC 전력 공급 스위칭 시스템의 부분들에 각각 독립적으로 커플링되고, 그리고 기판의 구역과의 용량성 커플링을 통해, 기판의 구역의 튜닝가능 바이어싱을 제공하도록 각각 구성된다. 본원의 복수의 바이어스 전극들은 기판에 걸친 프로세싱 결과들의 균일성을 관리하는 데 유리한 패턴들로 기판 지지부에 걸쳐 공간적으로 배열된다.
[0014] 도 1은 일 실시예에 따른, 정전 척킹(ESC) 기판 지지 조립체(200)가 내부에 배치된 프로세싱 챔버(100)의 개략적인 단면도이다. 이 실시예에서, 프로세싱 챔버(100)는 플라즈마 프로세싱 챔버, 이를테면 플라즈마 에칭 챔버, 플라즈마-강화 증착 챔버, 예컨대 플라즈마-강화 화학 기상 증착(PECVD) 챔버 또는 플라즈마-강화 원자 층 증착(PEALD) 챔버, 또는 플라즈마 기반 이온 주입 챔버, 예컨대 플라즈마 도핑(PLAD) 챔버이다.
[0015] 프로세싱 챔버(100)는 챔버 덮개(103), 하나 이상의 측벽들(102), 및 챔버 최하부(104)를 특징으로 하며, 이들은 프로세싱 볼륨(120)을 정의한다. 복수의 개구들(118)이 관통하여 배치된 샤워헤드(112)가 챔버 덮개(103)에 배치되고, 그리고 가스 유입구(114)로부터 프로세싱 볼륨(120) 내로 프로세싱 가스들을 균일하게 분배하는 데 사용된다. 샤워헤드(112)는 프로세싱 가스들과의 용량성 커플링을 통해 프로세싱 가스들로부터 플라즈마(135)를 형성하는 RF 전력 공급부(142) 또는 일부 실시예들에서는 VHF 전력 공급부에 커플링된다. 프로세싱 볼륨(120)은 진공 유출구(152)를 통해 진공, 이를테면 하나 이상의 전용 진공 펌프들에 유동적으로 커플링되며, 그 진공은 프로세싱 볼륨(120)을 대기압-미만 조건(sub-atmospheric condition)들로 유지하고, 그리고 프로세싱 볼륨(120)으로부터 프로세싱 및 다른 가스들을 진공배기시킨다. 프로세싱 볼륨(120)에 배치된 기판 지지 조립체(200)는 챔버 최하부(104)를 통해 밀봉식으로 연장되는 지지 샤프트(124) 상에 배치된다. 지지 샤프트(124)는 제어기(140)에 커플링되며, 제어기(140)는 지지 샤프트(124) 및 그 지지 샤프트(124) 상에 배치된 기판 지지 조립체(200)를 상승 및 하강시켜서, 기판(115)의 프로세싱, 및 프로세싱 챔버(100)로 그리고 프로세싱 챔버(100)로부터의 기판(115)의 이송을 가능하게 한다. 전형적으로, 기판 지지 조립체(200)가 상승 또는 프로세싱 포지션에 있을 때, 기판(115)은 샤워헤드(112)로부터 약 0.75 인치 내지 1.75 인치, 이를테면 약 1.25 인치만큼 이격된다.
[0016] 기판(115)은 하나 이상의 측벽들(102) 중 하나 내의 개구(126)를 통해 프로세싱 볼륨(120) 내에 로딩되며, 개구(126)는 기판(115) 프로세싱 동안 도어 또는 밸브(미도시)에 의해 통상적으로 밀봉된다. 리프트 핀 후프(134) 위에 배치된 복수의 리프트 핀들(136)은, 기판 지지 조립체(200)로 그리고 기판 지지 조립체(205)로부터의 기판(115)의 이송을 가능하게 하기 위해, 기판 지지 조립체(205)를 통해 이동가능하게 배치된다. 리프트 핀 후프(134)는 챔버 최하부(104)를 통해 밀봉식으로 연장되는 리프트 후프 샤프트(131)에 커플링되며, 리프트 후프 샤프트(131)는 액추에이터(130)에 의해 리프트 핀 후프(134)를 상승 및 하강시킨다. 기판 지지 조립체(200)는 기판 지지부(227)를 가지며, 기판 지지부(227) 상에 기판이 프로세싱을 위해 배치된다. 리프트 핀 후프(134)가 상승 포지션에 있을 때, 복수의 리프트 핀들(136)은 기판 지지부(227)의 표면 위로 연장되어, 기판 지지부(227)로부터 기판(115)을 리프팅하고, 로봇 핸들러(미도시)가 기판(115)에 접근할 수 있게 한다. 리프트 핀 후프(134)가 하강 포지션에 있을 때, 복수의 리프트 핀들(136)은 기판 지지부(227)의 표면과 동일한 높이에 있거나 또는 기판 지지부(227)의 표면 아래에 있고, 기판(115)은 프로세싱을 위해 기판 지지부(227) 바로 위에 놓인다.
[0017] 본원의 기판 지지 조립체(200)는 냉각 베이스(125)를 포함한다. 기판 지지부(227)는 냉각 베이스(125)에 열적으로 커플링되어 냉각 베이스(125) 상에 배치된다. 기판 지지 조립체(200)의 냉각 베이스(125)는, 프로세싱 동안, 기판 지지부(227)의 온도를 조절하여, 기판 지지 표면(203) 상에 배치된 기판(115)의 온도를 조절하는 데 사용된다. 본원에서, 냉각 베이스(125)는 냉각 베이스(125)에 배치된 하나 이상의 유체 도관들(137)을 포함할 수 있으며, 유체 도관들(137)은 냉각제 소스(133), 이를테면 냉매 소스 또는 물 소스에 유동적으로 커플링되고, 그 냉각제 소스(133)와 유체 연통한다. 전형적으로, 냉각 베이스(125)는 내부식성 열 전도성 재료, 이를테면 내부식성 금속, 예컨대 알루미늄, 알루미늄 합금, 또는 스테인리스 강으로 형성되고, 그리고 접착제 또는 기계적 수단에 의해 기판 지지부(227)에 열적으로 커플링된다.
[0018] 프로세싱 동안, 기판(115)의 이온 충격은, 프로세싱 볼륨(120)의 낮은 압력이 기판(115)과 기판 지지 표면(203) 사이의 불량한 열 전도를 초래하기 때문에 잠재적으로 바람직하지 않은 높은 온도들로 기판(115)을 가열할 것이다. 따라서, 본원의 실시예들에서, 프로세싱 동안, 기판 지지 표면(203)과 기판(115) 사이에 배면 가스(backside gas)가 제공되며, 여기서, 배면 가스는 기판 지지 표면(203)에 기판(115)을 열적으로 커플링시키고, 기판 지지 표면(203)과 기판(115) 사이의 열 전달을 증가시킨다. 전형적으로, 기판 지지 표면(203)은 기판 지지 표면(203)으로부터 연장되는 복수의 돌출부들(228)을 포함하며, 돌출부들(228)은, 기판(115)이 기판 지지 표면(203) 상에 배치될 때, 배면 가스가 기판(115)과 기판 지지 표면(203) 사이로 유동하거나 또는 기판(115)과 기판 지지 표면(203) 사이의 공간을 점유할 수 있게 한다. 배면 가스는 기판 지지부(227)를 통해 배치된 하나 이상의 가스 도관들(147)을 통해 기판 지지 표면(203)으로 유동한다. 본원에서, 하나 이상의 가스 도관들(147)은 열 전도성 불활성 배면 가스 소스(146), 이를테면 헬륨 가스 소스에 커플링된다.
[0019] 도 2a는 도 1의 프로세싱 챔버(100)에서 사용되는 기판 지지 조립체(200)의 확대 단면도이다. 도 2b는 도 2a에 도시된 기판 지지 조립체(200)의 평면도이다. 본원에서, 기판 지지부(227)는 제1 층(227A) 및 제2 층(227B)을 포함하며, 여기서, 각각의 층(227A 및 227B)은, 금속 산화물 또는 금속 질화물을 포함하는 유전체 재료, 또는 금속 산화물들 또는 금속 질화물들의 혼합물을 포함하는 유전체 층, 이를테면, Al2O3, AlN, Y2O3, 또는 이들의 조합들로 형성된다. 일부 실시예들에서, 제1 층(227A)은 약 20 V/μm 내지 약 200 V/μm, 이를테면 약 100 V/μm 내지 약 200V/μm, 또는 약 20 V/μm 내지 약 100 V/μm의 브레이크다운 전압을 갖는 유전체 재료로 형성된다. 일 실시예에서, 제1 층(227A)은 약 160 μm에서 약 9 kV의 브레이크다운 전압을 갖는 99.5% 알루미나로 형성된다. 일부 실시예들에서, 기판 지지부(227)는, 제1 층(227A)을 형성하기 위해, 벌크 유전체 재료를 원하는 두께(D)까지 그라인딩하기 전에, 제2 층(227B) 및 그 제2 층(227B) 내에 또는 상에 배치된 복수의 전극들에 벌크 유전체 재료를 접합함으로써 형성된다. 전형적으로, 제1 층(227A)의 두께(D)는 약 5 μm 내지 약 300 μm, 이를테면 약 100 μm 내지 약 300 μm, 예컨대 약 160 μm이다. 다른 실시예들에서, 제1 층(227A)은 임의의 적합한 코팅 방법, 이를테면, CVD, PECVD, ALD, PEALD, 증발, 스퍼터링, 플라즈마 아크 코팅, 에어로졸 코팅, 또는 이들의 조합들을 사용하여 형성된다.
[0020] 본원의 기판 지지부에 배치 및/또는 매립된 복수의 전극들은 복수의 바이어스 전극들(238A 내지 238C) 및 일체형 ESC 전극(222)을 포함한다. 복수의 바이어스 전극들 중 각각의 전극은 복수의 바이어스 전극들 중 모든 각각의 다른 전극 및 일체형 ESC 전극(222)으로부터 전기적으로 절연된다. 본원의 복수의 바이어스 전극들(238A 내지 238C) 중 각각의 전극은, 기판(115)의 각각의 구역들과의 용량성 커플링을 통해, 기판(115)의 각각의 구역들에 하나 이상의 독립적인 펄스 DC 바이어스들을 제공하도록 구성된다. 일체형 ESC 전극(222)은 기판(115)과 기판 지지 표면(203) 사이에 전위를 제공함으로써, 기판(115)과 기판 지지 표면(203) 사이에 클램핑력을 제공한다. 전형적으로, ESC 전극은 정적 DC 전력 공급부에 커플링되며, 본원에서, 정적 DC 전력 공급부는 약 -5000 V 내지 약 5000 V, 이를테면 약 100 V 내지 약 4000 V, 이를테면 약 1000 V 내지 약 3000 V, 예컨대 약 2000V를 제공한다.
[0021] 본원의 실시예들에서, 기판 지지부(227)는 300 mm 직경 기판을 지지하도록 구성될 수 있고, 그리고 2개 내지 20개의 바이어스 전극들, 이를테면 도시된 3개의 바이어스 전극들(238A 내지 238C)을 포함할 수 있지만, 더 큰 기판들 및/또는 상이한 형상들의 기판들을 프로세싱하기 위한 더 큰 기판 지지부들이 임의의 수의 바이어스 전극들을 포함할 수 있다. 복수의 바이어스 전극들(238A 내지 238C)은 각각, 하나 이상의 전기 전도성 재료 파트들, 이를테면 금속 메시, 포일, 플레이트, 또는 이들의 조합들로 형성된다. 일부 실시예들에서, 복수의 바이어스 전극들(238A 내지 238C) 각각은, 기판 지지부(227)에 배치된 하나 이상의 커넥터들(미도시)과 전기적으로 커플링된 하나 초과의 불연속 전기 전도성 재료 파트들, 이를테면 복수의 금속 메시들, 포일들, 플레이트들, 또는 이들의 조합들로 형성되고, 그에 따라, 전기적으로 커플링된 불연속 재료 파트들은 단일 전극, 이를테면 중심 바이어스 전극(238A), 중간 바이어스 전극(238B), 또는 외측 바이어스 전극(238C)을 구성한다.
[0022] 복수의 바이어스 전극들(238A 내지 238C)은 기판(115)에 걸친 프로세싱 결과들의 균일성을 관리하는 데 유리한 패턴으로 기판 지지부(227)에 걸쳐 공간적으로 배열된다. 도 2a에 도시된 실시예에서, 중심 바이어스 전극(238A)의 원형 플레이트, 및 바이어스 전극들(238B 및 238C)의 불연속 환형부들은 복수의 동심 구역들을 정의한다. 스포크 패턴들, 격자 패턴들, 라인 패턴들, 나선형 패턴들, 인터디지테이트(interdigitated) 패턴들, 랜덤 패턴들, 또는 이들의 조합들을 포함하는 다른 공간 어레인지먼트들이 사용될 수 있다. 본원의 복수의 바이어스 전극들(238A 내지 238C) 중 각각의 전극은 복수의 바이어스 전극들 중 모든 각각의 다른 전극 및 일체형 ESC 전극(222)과 동일 평면에 있다. 일체형 ESC 전극(222)은 기판 지지부(227)와 평면적으로 배치되고, 기판 지지 표면(203)에 평행하다. 복수의 바이어스 전극들(238A 내지 238C) 중 각각의 전극은, 일체형 ESC 전극(222)에 형성된 개구들, 및 복수의 바이어스 전극들(238A 내지 238C) 중 각각의 전극과 일체형 ESC 전극(222) 사이에 배치된 기판 지지부(227)의 유전체 재료에 의해, 일체형 ESC 전극(222)으로부터 전기적으로 절연된다. 다른 실시예들에서, 복수의 바이어스 전극들(238A 내지 238C) 중 각각의 전극 또는 그 각각의 전극의 일부는 복수의 바이어스 전극들 중 모든 각각의 다른 전극의 적어도 일부와 동일 평면에 있으며, 복수의 바이어스 전극들(238A 내지 238C)은 일체형 ESC 전극(222)보다 기판 지지 표면(203)에 더 근접하다.
[0023] 본원에서, 복수의 바이어스 전극들(238A 내지 238C) 각각은 DC 전력 공급 스위칭 시스템(150)의 부분들에 독립적으로 전기적으로 커플링되며, DC 전력 공급 스위칭 시스템(150)은, 고 전압(HV) DC 전력을 약 10 Hz 또는 그 미만 내지 약 100 kHZ의 주파수를 갖는 주기적인 DC 전압으로 변환시킬 수 있는 복수의 솔리드 스테이트 펄서/스위처들, 본원에서는 복수의 제1 스위치들(S1, S3, S5) 및 복수의 제2 스위치들(S2, S4, S6)을 포함한다. 복수의 제1 스위치들(S1, S3, S5) 및 복수의 제2 스위치들(S2, S4, S6)은 추가로, 고 전압(HV) DC 전력을 2% 내지 98%의 범위의 듀티 사이클을 갖는 주기적인 DC 전압으로 변환시킬 수 있다. 스위치들(S1 내지 S6)은 일정 주파수로 주기적으로 동작되거나, 또는 임의의 패턴에 따라 또는 패턴 없이 필요에 따라 동작된다. 복수의 바이어스 전극들 각각은 복수의 제1 스위치들(S1, S3, S5) 중 하나, 및 복수의 제2 스위치들(S2, S4, S6) 중 하나에 전기적으로 커플링된다.
[0024] 본원에서, 복수의 제1 스위치들(S1, S3, S5)은, 예컨대 양(+ve) 전압 소스일 수 있는 제1 DC 전압 소스(156B)에 전기적으로 커플링되며, 복수의 제2 스위치들(S2, S4, S6)은, 예컨대 음(-ve) 전압 소스일 수 있는 제2 DC 전압 소스(156A)에 전기적으로 커플링된다. 다른 실시예들에서, 2개의 전압 소스들(156A 및 156B)은 둘 모두 양 또는 둘 모두 음인 상이한 전압들의 소스들일 수 있다. 본원의 제1 및 제2 DC 전압 소스들(156B 및 156A)은, 이들 각각의 전압 크기들이 약 0 V 내지 약 10 kV인 양 또는 음의 DC 바이어스를 제공한다.
[0025] 스위치들의 각각의 세트, 이를테면 S1과 S2, S3과 S4, 또는 S5와 S6은 독립적으로 동작하여, 기판 지지부(227)의 각각의 바이어스 전극들(238A 내지 238C)에 양 또는 음의 극성의 주기적인 DC 전압들의 개별 주파수들, 패턴들, 또는 동작을 제공하고, 그리고 그 주기적인 DC 전압들과의 용량성 커플링을 통해, 기판 지지부(227) 상에 배치된 기판(115)의 각각의 구역들에 개별 펄스 DC 바이어스를 제공한다. 전형적으로, 기판 구역에 음의 DC 펄스를 커플링시키는 것은 기판 구역과 플라즈마(135) 사이의 전위차를 증가시킬 것이며, 여기서, 펄스 동안, 기판 구역은 플라즈마보다 더 음인 전위에 있다. 이러한 음의 DC 바이어스의 경우에, 플라즈마 내의 양으로 하전된 종이 기판 구역의 표면 쪽으로 가속하여, 기판 구역의 프로세싱에 영향을 미칠 것이다. 기판 구역에 양의 DC 펄스를 커플링시키는 것은 기판 구역과 플라즈마(135) 사이의 전위차를 증가시킬 것이며, 여기서, 펄스 동안, 기판 구역은 플라즈마보다 더 양인 전위에 있다. 이러한 양의 DC 바이어스의 경우에, 플라즈마 내의 음으로 하전된 종이 기판 구역의 표면 쪽으로 가속하여, 기판 구역의 프로세싱에 영향을 미칠 것이다. 양 및 음의 DC 바이어스 조건들 둘 모두에 대해, 상이한 기판 구역들에 제공되는 주기적인 DC 전압들의 주파수, 듀티 사이클, 및/또는 지속기간을 조정하는 능력은 기판에 걸친 프로세싱 균일성의 튜닝 및 기판에 걸친 프로세싱 균일성의 개선을 가능하게 한다. 다른 유용한 속성들 중에서, 양 및 음의 DC 바이어스 펄스들 둘 모두를 인가하는 능력은 기판 구역들의 전하 중화를 제공하며, 여기서, 기판 구역의 표면은 주기적으로 중성 전하 상태로 될 수 있다.
[0026] 도 3은 본원에서 설명되는 실시예들에 따른, 플라즈마 보조 프로세싱 동안 기판의 구역들을 바이어싱하는 방법(300)을 예시하는 흐름도이다. 310에서, 방법(300)은 프로세싱 챔버 내로 프로세싱 가스를 유동시키는 단계를 포함하고, 320에서, 방법은 프로세싱 가스로부터 플라즈마를 형성하는 단계를 포함한다.
[0027] 330에서, 방법(300)은, 기판 지지부에 배치된 척킹 전극을 사용하여, 프로세싱 챔버에 배치된 기판 지지부에 기판을 전기적으로 클램핑하는 단계를 포함하며, 기판 지지부는 제1 유전체 층 및 제2 유전체 층을 포함한다.
[0028] 340에서, 방법(300)은 기판 지지부에 배치된 복수의 바이어스 전극들에 복수의 주기적인 DC 전압들을 제공하는 단계를 포함하며, 여기서, 각각의 주기적인 DC 전압 각각은 기판의 구역과의 용량성 커플링을 통해 기판의 구역에 개별 펄스 DC 바이어스를 제공한다. 일부 실시예들에서, 복수의 주기적인 DC 전압들은 하나 초과의 극성, 하나 초과의 주파수, 하나 초과의 듀티 사이클, 및/또는 하나 초과의 지속기간을 포함한다. 펄스 DC 바이어스는 320에서 형성된 플라즈마 내의 이온들이 기판 쪽으로 가속하게 하여, 기판에 대해 재료 프로세스, 이를테면 증착 또는 제거를 수행하게 한다. 플라즈마가 또한, 320 후, 330 후, 340 후, 또는 350 후에 형성될 수 있다는 것이 유의되어야 한다.
[0029] 본원에서 설명되는 기판 지지 조립체 및 방법들은, 플라즈마 보조 프로세싱 동안, 정전 클램핑력의 사용과 양립가능한, 개별 기판 구역들의 용량성 커플링 펄스 DC 바이어싱을 가능하게 한다. 펄스 DC 바이어싱은 기판 표면 및/또는 기판 표면의 구역들에서의 그리고 기판 표면에 형성된 피처 개구들 내에서의 이온 에너지 및 각도 분포의 증가된 제어를 가능하게 한다. 이러한 증가된 제어는, 적어도, 고 종횡비 피처들 및/또는 정사각 에칭 프로파일을 요구하는 피처들의 형성, 이를테면, 섈로우 트렌치 아이솔레이션(STI) 애플리케이션들 또는 FinFET 기술들에서 사용되는 실리콘 핀들을 위한 실리콘 에칭에 바람직하다. 변화되는 주파수, 듀티 사이클, 극성, 및/또는 지속기간의 DC 펄스들을 기판의 상이한 구역들에 인가하는 능력은 기판에 걸친 프로세싱 균일성의 튜닝 및 기판에 걸친 프로세싱 균일성의 개선을 가능하게 한다.
[0030] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (17)

  1. 기판을 프로세싱하기 위한 방법으로서,
    프로세싱 챔버의 프로세싱 볼륨 내에 배치된 기판 지지부 상에 상기 기판을 위치시키는 단계;
    상기 프로세싱 볼륨으로 프로세싱 가스를 유동시키는 단계;
    상기 프로세싱 가스의 플라즈마를 형성하는 단계; 및
    상기 기판의 복수의 구역들을, 대응하는 복수의 주기적인(cyclic) DC 전압들을 사용하여 바이어싱하는(biasing) 단계
    를 포함하고,
    상기 대응하는 복수의 주기적인 DC 전압들 각각은, 복수의 스위칭 쌍들을 포함하는 펄스 DC 바이어스 스위칭 시스템을 사용하여 독립적으로 제어되며,
    상기 복수의 스위칭 쌍들 각각에서 제1 스위치는 제1 DC 전압 소스에 전기적으로 커플링되고, 상기 복수의 스위칭 쌍들 각각에서 제2 스위치는 제2 DC 전압 소스에 전기적으로 커플링되는,
    기판을 프로세싱하기 위한 방법.
  2. 제1항에 있어서,
    상기 기판 지지부는 유전체 재료로 형성되고,
    ESC 전극이 상기 기판 지지부에 배치되며,
    복수의 공간적으로 배열된 바이어스 전극들이 상기 기판 지지부에 배치되고,
    상기 복수의 공간적으로 배열된 바이어스 전극들 각각은, 상기 바이어스 전극들과 상기 ESC 전극 사이에 배치된 상기 기판 지지부의 유전체 재료에 의해, 서로 그리고 상기 ESC 전극으로부터 전기적으로 절연되고,
    상기 대응하는 복수의 주기적인 DC 전압들 각각은 DC 전력 스위칭 시스템을 사용하여 독립적으로 제어되며, 상기 DC 전력 스위칭 시스템은 제1 DC 전력 소스에 커플링된 복수의 제1 솔리드 스테이트 스위치들 및 제2 DC 전력 소스에 커플링된 복수의 제2 솔리드 스테이트 스위치들을 포함하고,
    각각의 바이어스 전극은 상기 복수의 제1 솔리드 스테이트 스위치들 중 하나의 제1 솔리드 스테이트 스위치 및 상기 복수의 제2 솔리드 스테이트 스위치들 중 하나의 제2 솔리드 스테이트 스위치에 전기적으로 커플링되는,
    기판을 프로세싱하기 위한 방법.
  3. 제1항에 있어서,
    상기 대응하는 복수의 주기적인 DC 전압들 중 적어도 하나는, 상기 대응하는 복수의 주기적인 DC 전압들 중 다른 하나와 상이한 주파수, 듀티 사이클, 펄스 지속기간, 극성 또는 이들의 조합을 포함하는,
    기판을 프로세싱하기 위한 방법.
  4. 제1항에 있어서,
    기판 프로세싱 동안 상기 주기적인 DC 전압들 중 적어도 하나의 극성을 반전시키는 단계를 더 포함하는,
    기판을 프로세싱하기 위한 방법.
  5. 제1항에 있어서,
    상기 기판 지지부는 유전체 재료 내에 임베딩된 복수의 바이어스 전극들을 포함하고,
    상기 복수의 바이어스 전극들 각각은, 상기 유전체 재료에 의해 상기 복수의 바이어스 전극들 중 각각의 다른 전극으로부터 전기적으로 절연되며,
    상기 기판의 상기 복수의 구역들을 바이어싱하는 단계는, 상기 복수의 바이어스 전극들 중 대응하는 바이어스 전극들을 상기 구역들과 용량성 커플링시키는 단계를 포함하는,
    기판을 프로세싱하기 위한 방법.
  6. 제5항에 있어서,
    상기 기판 지지부는 일체형 전도성 재료 파트로 형성된 척킹(chucking) 전극을 더 포함하고,
    상기 척킹 전극은, 상기 척킹 전극과 상기 바이어스 전극들 사이에 배치된 상기 기판 지지부의 유전체 재료에 의해 상기 복수의 바이어스 전극들로부터 전기적으로 절연되는,
    기판을 프로세싱하기 위한 방법.
  7. 제5항에 있어서,
    상기 유전체 재료 내에 임베딩된 척킹 전극을 사용하여 상기 기판 지지부에 상기 기판을 전기적으로 척킹하는 단계를 더 포함하는,
    기판을 프로세싱하기 위한 방법.
  8. 제5항에 있어서,
    상기 대응하는 복수의 주기적인 DC 전압들 각각은, 상기 복수의 스위칭 쌍들 중 대응하는 하나의 스위칭 쌍을 사용하여 상기 복수의 바이어스 전극들 중 대응하는 바이어스 전극으로 전달되는,
    기판을 프로세싱하기 위한 방법.
  9. 기판을 프로세싱하기 위한 방법으로서,
    프로세싱 챔버의 프로세싱 볼륨 내에 배치된 기판 지지부 상에 상기 기판을 위치시키는 단계;
    상기 프로세싱 볼륨으로 프로세싱 가스를 유동시키는 단계;
    상기 프로세싱 가스의 플라즈마를 형성하는 단계; 및
    상기 기판의 복수의 구역들을, 대응하는 복수의 주기적인 DC 전압들을 사용하여 바이어싱하는 단계
    를 포함하고,
    상기 대응하는 복수의 주기적인 DC 전압들 각각은 독립적으로 제어되며, 상기 기판 지지부는 유전체 재료 내에 임베딩된 복수의 바이어스 전극들을 포함하고,
    상기 복수의 바이어스 전극들 각각은, 상기 유전체 재료에 의해 상기 복수의 바이어스 전극들 중 각각의 다른 전극으로부터 전기적으로 절연되며,
    상기 기판의 복수의 구역들을 바이어싱하는 단계는, 상기 복수의 바이어스 전극들 중 대응하는 바이어스 전극들을 상기 구역들과 용량성 커플링시키는 단계를 포함하고,
    상기 대응하는 복수의 주기적인 DC 전압들 각각은, 복수의 스위치 쌍들 중 대응하는 하나의 스위칭 쌍을 사용하여, 상기 복수의 바이어스 전극들 중 대응하는 바이어스 전극으로 전달되며,
    상기 복수의 스위칭 쌍들 각각에서 복수의 제1 스위치들은 제1 DC 전압 소스에 전기적으로 커플링되고, 상기 복수의 스위칭 쌍들 각각에서 복수의 제2 스위치들은 제2 DC 전압 소스에 전기적으로 커플링되며, 상기 제1 DC 전압 소스의 극성은 상기 제2 DC 전압 소스의 극성과 반대인,
    기판을 프로세싱하기 위한 방법.
  10. 기판을 프로세싱하기 위한 방법으로서,
    프로세싱 챔버의 프로세싱 볼륨 내에 배치된 기판 지지부 상에 상기 기판을 위치시키는 단계;
    상기 프로세싱 볼륨으로 프로세싱 가스를 유동시키는 단계;
    상기 프로세싱 가스의 플라즈마를 형성하는 단계; 및
    펄스 DC 바이어싱 시스템을 사용하여 상기 기판의 복수의 구역들을 바이어싱하는 단계
    를 포함하고,
    상기 펄스 DC 바이어싱 시스템은 상기 기판 지지부 내에 배치된 복수의 제1 전극들을 포함하며,
    상기 복수의 제1 전극들의 각 전극은 상기 복수의 제1 전극들의 각각의 다른 전극과 전기적으로 절연되고,
    상기 복수의 제1 전극들의 각 전극은, 상기 기판의 구역과의 용량성 커플링을 통해 상기 기판의 구역을 바이어싱하도록 구성되며,
    상기 복수의 제1 전극들의 각 전극은, 상기 펄스 DC 바이어싱 시스템의 개개의 스위칭 쌍에 독립적으로 전기적으로 커플링되고,
    각각의 스위칭 쌍은 개별적인 제1 스위치와 개별적인 제2 스위치를 포함하며,
    각각의 스위칭 쌍은 다른 대응하는 스위칭 쌍들과 독립적으로 동작하도록 구성되고,
    상기 제1 스위치들은 제1 DC 전압 소스에 전기적으로 커플링되며, 상기 제2 스위치들은 제2 DC 전압 소스들에 전기적으로 커플링되는,
    기판을 프로세싱하기 위한 방법.
  11. 제10항에 있어서,
    상기 기판의 복수의 구역들을 바이어싱하는 단계는, 기판 프로세싱 동안 상기 제1 전극들 중 적어도 하나의 제1 전극으로 전달되는 주기적인 DC 전압의 극성을 반전시키는 단계를 포함하는,
    기판을 프로세싱하기 위한 방법.
  12. 제10항에 있어서,
    상기 기판의 복수의 구역들을 바이어싱하는 단계는, 상기 복수의 제1 전극들 중 적어도 하나의 제1 전극으로, 상기 복수의 제1 전극들 중 다른 하나의 제1 전극으로 전달되는 주기적인 DC 전압과는 상이한 주파수, 듀티 사이클, 펄스 지속기간, 극성 또는 이들의 조합을 갖는 주기적인 DC 전압을 전달하는 단계를 포함하는,
    기판을 프로세싱하기 위한 방법.
  13. 제10항에 있어서,
    상기 기판 지지부의 유전체 재료에 임베딩된 제2 전극을 사용하여 상기 기판 지지부에 상기 기판을 정전기적으로 척킹하는 단계를 더 포함하는,
    기판을 프로세싱하기 위한 방법.
  14. 기판을 프로세싱하기 위한 방법으로서,
    프로세싱 챔버의 프로세싱 볼륨 내에 배치된 기판 지지부 상에 상기 기판을 위치시키는 단계 ― 상기 기판 지지부는 유전체 재료로 형성되며, ESC 전극이 상기 기판 지지부 내에 배치되고, 복수의 공간적으로 배열된 바이어스 전극들이 상기 기판 지지부 내에 배치되며, 상기 복수의 공간적으로 배열된 바이어스 전극들 각각은, 상기 바이어스 전극들과 상기 ESC 전극 사이에 배치된 상기 기판 지지부의 유전체 재료에 의해, 서로 그리고 상기 ESC 전극으로부터 전기적으로 절연됨 ―;
    상기 프로세싱 볼륨으로 프로세싱 가스를 유동시키는 단계;
    상기 프로세싱 가스의 플라즈마를 형성하는 단계;
    상기 ESC 전극을 사용하여 상기 기판 지지부로 상기 기판을 전기적으로 척킹하는 단계; 및
    상기 복수의 공간적으로 배열된 바이어스 전극들 중 대응하는 바이어스 전극들로 전달되는 복수의 주기적인 DC 전압들을 사용하여 상기 기판의 복수의 구역들을 바이어싱하는 단계
    를 포함하고,
    상기 복수의 주기적인 DC 전압들 각각은 DC 전력 공급 스위칭 시스템을 사용하여 독립적으로 제어되며, 상기 DC 전력 공급 스위칭 시스템은 제1 DC 전력 소스에 커플링된 복수의 제1 솔리드 스테이트 스위치들 및 제2 DC 전력 소스에 커플링된 복수의 제2 솔리드 스테이트 스위치들을 포함하고,
    각각의 바이어스 전극은, 상기 복수의 제1 솔리드 스테이트 스위치들 중 하나의 제1 솔리드 스테이트 스위치 및 상기 복수의 제2 솔리드 스테이트 스위치들 중 하나의 제2 솔리드 스테이트 스위치에 전기적으로 커플링되는,
    기판을 프로세싱하기 위한 방법.
  15. 제14항에 있어서,
    상기 기판의 복수의 구역들을 바이어싱하는 단계는, 기판 프로세싱 동안 상기 바이어스 전극들 중 적어도 하나의 바이어스 전극으로 전달된 주기적인 DC 전압의 극성을 반전시키는 단계를 포함하는,
    기판을 프로세싱하기 위한 방법.
  16. 제14항에 있어서,
    상기 기판의 복수의 구역들을 바이어싱하는 단계는, 상기 복수의 공간적으로 배열된 바이어스 전극들 중 적어도 하나의 바이어스 전극으로, 상기 복수의 공간적으로 배열된 바이어스 전극들 중 다른 하나의 바이어스 전극으로 전달된 주기적인 DC 전압과는 상이한 주파수, 듀티 사이클, 펄스 지속기간, 극성 또는 이들의 조합을 갖는 주기적인 DC 전압을 전달하는 단계를 포함하는,
    기판을 프로세싱하기 위한 방법.
  17. 기판을 프로세싱하기 위한 방법으로서,
    프로세싱 챔버의 프로세싱 볼륨 내에 배치된 기판 지지부 상에 상기 기판을 위치시키는 단계;
    상기 프로세싱 볼륨으로 프로세싱 가스를 유동시키는 단계;
    상기 프로세싱 가스의 플라즈마를 형성하는 단계; 및
    상기 기판의 복수의 구역들을 대응하는 복수의 주기적인 DC 전압들을 사용하여 바이어싱하는 단계
    를 포함하고,
    상기 대응하는 복수의 주기적인 DC 전압들 각각은, 복수의 스위칭 쌍들을 포함하는 펄스 DC 바이어스 스위칭 시스템을 사용하여 독립적으로 제어되며,
    상기 복수의 스위칭 쌍들 각각에서 제1 스위치는 DC 전압 소스에 전기적으로 커플링되고, 상기 복수의 스위칭 쌍들의 각각에서 제2 스위치는 상기 DC 전압 소스에 전기적으로 커플링되는,
    기판을 프로세싱하기 위한 방법.

KR1020227003750A 2017-09-20 2018-07-19 다중 매립 전극들을 갖는 기판 지지부 KR102409327B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227019539A KR102493914B1 (ko) 2017-09-20 2018-07-19 다중 매립 전극들을 갖는 기판 지지부

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/710,753 US10510575B2 (en) 2017-09-20 2017-09-20 Substrate support with multiple embedded electrodes
US15/710,753 2017-09-20
KR1020207007495A KR102360855B1 (ko) 2017-09-20 2018-07-19 다중 매립 전극들을 갖는 기판 지지부
PCT/US2018/042956 WO2019060028A1 (en) 2017-09-20 2018-07-19 SUBSTRATE SUPPORT WITH MULTIPLE INTEGRATED ELECTRODES

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207007495A Division KR102360855B1 (ko) 2017-09-20 2018-07-19 다중 매립 전극들을 갖는 기판 지지부

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227019539A Division KR102493914B1 (ko) 2017-09-20 2018-07-19 다중 매립 전극들을 갖는 기판 지지부

Publications (2)

Publication Number Publication Date
KR20220019853A KR20220019853A (ko) 2022-02-17
KR102409327B1 true KR102409327B1 (ko) 2022-06-14

Family

ID=65720591

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020227019539A KR102493914B1 (ko) 2017-09-20 2018-07-19 다중 매립 전극들을 갖는 기판 지지부
KR1020207007495A KR102360855B1 (ko) 2017-09-20 2018-07-19 다중 매립 전극들을 갖는 기판 지지부
KR1020227003750A KR102409327B1 (ko) 2017-09-20 2018-07-19 다중 매립 전극들을 갖는 기판 지지부

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020227019539A KR102493914B1 (ko) 2017-09-20 2018-07-19 다중 매립 전극들을 갖는 기판 지지부
KR1020207007495A KR102360855B1 (ko) 2017-09-20 2018-07-19 다중 매립 전극들을 갖는 기판 지지부

Country Status (6)

Country Link
US (4) US10510575B2 (ko)
JP (3) JP6991306B2 (ko)
KR (3) KR102493914B1 (ko)
CN (2) CN115799030A (ko)
TW (3) TW202335168A (ko)
WO (1) WO2019060028A1 (ko)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10892140B2 (en) * 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11004660B2 (en) * 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US10510575B2 (en) * 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
JP6852197B2 (ja) * 2018-01-29 2021-03-31 株式会社アルバック 反応性イオンエッチング装置
US10840086B2 (en) * 2018-04-27 2020-11-17 Applied Materials, Inc. Plasma enhanced CVD with periodic high voltage bias
JP6965205B2 (ja) * 2018-04-27 2021-11-10 東京エレクトロン株式会社 エッチング装置、及びエッチング方法
JP7134695B2 (ja) * 2018-04-27 2022-09-12 東京エレクトロン株式会社 プラズマ処理装置、及び電源制御方法
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
WO2020033931A1 (en) 2018-08-10 2020-02-13 Eagle Harbor Technologies, Inc. Plasma sheath control for rf plasma reactors
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11043387B2 (en) 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
US11527383B2 (en) 2019-12-24 2022-12-13 Eagle Harbor Technologies, Inc. Nanosecond pulser RF isolation for plasma systems
JP7344821B2 (ja) * 2020-03-17 2023-09-14 東京エレクトロン株式会社 プラズマ処理装置
JP7450427B2 (ja) 2020-03-25 2024-03-15 東京エレクトロン株式会社 基板支持器及びプラズマ処理装置
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
WO2022099687A1 (en) * 2020-11-16 2022-05-19 Applied Materials, Inc. Methods and apparatus for zone control of rf bias for stress uniformity
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
WO2022224795A1 (ja) * 2021-04-23 2022-10-27 東京エレクトロン株式会社 プラズマ処理装置及び基板処理方法
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008518429A (ja) 2004-09-29 2008-05-29 ラム リサーチ コーポレーション プラズマ加工システムのrfアーススイッチ
JP2011035266A (ja) 2009-08-04 2011-02-17 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法

Family Cites Families (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070589A (en) 1976-10-29 1978-01-24 The Singer Company High speed-high voltage switching with low power consumption
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4504895A (en) 1982-11-03 1985-03-12 General Electric Company Regulated dc-dc converter using a resonating transformer
KR970003885B1 (ko) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
KR900005538A (ko) * 1988-09-23 1990-04-14 김정배 Dc형 플라즈마 표시소자와 그 구동방법
US4992919A (en) 1989-12-29 1991-02-12 Lee Chu Quon Parallel resonant converter with zero voltage switching
US5140510A (en) 1991-03-04 1992-08-18 Motorola, Inc. Constant frequency power converter
US5418707A (en) 1992-04-13 1995-05-23 The United States Of America As Represented By The United States Department Of Energy High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs
JPH06275222A (ja) * 1993-03-17 1994-09-30 Nissin Electric Co Ltd 複数電極による自己バイアス制御装置
US5451846A (en) 1993-12-14 1995-09-19 Aeg Automation Systems Corporation Low current compensation control for thyristor armature power supply
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
JP3292270B2 (ja) * 1995-02-27 2002-06-17 富士通株式会社 静電吸着装置
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
IT1289479B1 (it) 1996-01-26 1998-10-15 Schlafhorst & Co W Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
JP3499104B2 (ja) * 1996-03-01 2004-02-23 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6055150A (en) * 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
CN1103655C (zh) 1997-10-15 2003-03-26 东京电子株式会社 应用等离子体密度梯度来产生粒子流的装置和方法
US7583492B2 (en) 1998-09-30 2009-09-01 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6273958B2 (en) * 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
WO2001052302A1 (en) 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US6483731B1 (en) 2000-07-31 2002-11-19 Vanner, Inc. Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section
JP4612947B2 (ja) 2000-09-29 2011-01-12 日立プラズマディスプレイ株式会社 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置
JP2002313899A (ja) 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板保持構造体および基板処理装置
ATE254192T1 (de) * 2001-04-27 2003-11-15 Europ Economic Community Verfahren und vorrichtung zur sequentiellen plasmabehandlung
US20030029859A1 (en) * 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
TWI282658B (en) 2001-10-23 2007-06-11 Delta Electronics Inc A parallel connection system of DC/AC voltage converter
US6768621B2 (en) 2002-01-18 2004-07-27 Solectria Corporation Contactor feedback and precharge/discharge circuit
KR100511854B1 (ko) * 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US20040066601A1 (en) 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
DE10341717A1 (de) * 2003-09-10 2005-05-25 Applied Films Gmbh & Co. Kg Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden
US7379309B2 (en) 2004-01-14 2008-05-27 Vanner, Inc. High-frequency DC-DC converter control
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7601246B2 (en) 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7648914B2 (en) * 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7371022B2 (en) 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
KR101089096B1 (ko) 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
KR100649508B1 (ko) 2005-02-02 2006-11-27 권오영 하이브리드 전원시스템
CN101053283A (zh) 2005-05-13 2007-10-10 松下电器产业株式会社 电介质阻挡放电灯点灯装置
US20070114981A1 (en) 2005-11-21 2007-05-24 Square D Company Switching power supply system with pre-regulator for circuit or personnel protection devices
CA2635629A1 (en) 2006-01-23 2007-07-26 Audera International Sales Inc. Power supply for limited power sources and audio amplifier using power supply
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
JP4597894B2 (ja) 2006-03-31 2010-12-15 東京エレクトロン株式会社 基板載置台および基板処理装置
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
US20080106842A1 (en) * 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
KR101312292B1 (ko) 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
EP2102889B1 (en) 2006-12-12 2020-10-07 Evatec AG Rf substrate bias with high power impulse magnetron sputtering (hipims)
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
EP2097920B1 (de) 2007-07-23 2017-08-09 TRUMPF Hüttinger GmbH + Co. KG Plasmaversorgungseinrichtung
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
JP4607930B2 (ja) * 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US9039871B2 (en) 2007-11-16 2015-05-26 Advanced Energy Industries, Inc. Methods and apparatus for applying periodic voltage using direct current
US8133359B2 (en) * 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
US8609546B2 (en) 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
KR101415551B1 (ko) * 2008-01-25 2014-07-04 (주)소슬 정전척, 이의 제조 방법 및 이를 포함하는 기판 처리 장치
JP5429772B2 (ja) * 2008-06-30 2014-02-26 株式会社アルバック 電源装置
TWI390582B (zh) 2008-07-16 2013-03-21 Sumitomo Heavy Industries Plasma processing device and plasma processing method
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
JP5270310B2 (ja) * 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
JP5466480B2 (ja) 2009-02-20 2014-04-09 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
CN101872733B (zh) 2009-04-24 2012-06-27 中微半导体设备(上海)有限公司 感测和移除被加工半导体工艺件的残余电荷的系统和方法
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9287092B2 (en) 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
JP5960384B2 (ja) * 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US8270141B2 (en) * 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
EP2362001A1 (en) * 2010-02-25 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and device for layer deposition
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
JP5638617B2 (ja) * 2010-09-15 2014-12-10 三菱電機株式会社 高周波電力供給装置、プラズマ処理装置及び薄膜製造方法
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
US8963377B2 (en) 2012-01-09 2015-02-24 Eagle Harbor Technologies Inc. Efficient IGBT switching
US9293928B2 (en) 2013-04-23 2016-03-22 Kevin Alexander System and method for a dynamically configurable power distribution control and management system
JP5534365B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及び反射波電力制御方法
US9530618B2 (en) 2012-07-06 2016-12-27 Infineon Technologies Ag Plasma system, chuck and method of making a semiconductor device
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
WO2014036000A1 (en) 2012-08-28 2014-03-06 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
US20140077611A1 (en) 2012-09-14 2014-03-20 Henry Todd Young Capacitor bank, laminated bus, and power supply apparatus
JP6207880B2 (ja) * 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
WO2014164300A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc Pulsed pc plasma etching process and apparatus
KR20150128965A (ko) 2013-03-13 2015-11-18 어플라이드 머티어리얼스, 인코포레이티드 구리를 위한 uv-보조 반응성 이온 에칭
US20140263182A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
US20140263181A1 (en) 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US9495563B2 (en) 2013-06-04 2016-11-15 Eagle Harbor Technologies, Inc. Analog integrator system and method
KR20180110213A (ko) * 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
US9655221B2 (en) 2013-08-19 2017-05-16 Eagle Harbor Technologies, Inc. High frequency, repetitive, compact toroid-generation for radiation production
KR20160058917A (ko) * 2013-09-20 2016-05-25 어플라이드 머티어리얼스, 인코포레이티드 통합된 정전 척을 갖는 기판 캐리어
US20150111394A1 (en) * 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
CN103531429B (zh) * 2013-10-31 2016-03-02 中微半导体设备(上海)有限公司 等离子体刻蚀装置及其刻蚀方法
US9960763B2 (en) 2013-11-14 2018-05-01 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US9101038B2 (en) * 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
US10790816B2 (en) 2014-01-27 2020-09-29 Eagle Harbor Technologies, Inc. Solid-state replacement for tube-based modulators
WO2015131199A1 (en) 2014-02-28 2015-09-03 Eagle Harbor Technologies, Inc. Galvanically isolated output variable pulse generator disclosure
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
KR102222902B1 (ko) * 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
KR20160022458A (ko) * 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
JP6424120B2 (ja) * 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US11542927B2 (en) 2015-05-04 2023-01-03 Eagle Harbor Technologies, Inc. Low pressure dielectric barrier discharge plasma thruster
JP2016225439A (ja) 2015-05-29 2016-12-28 東京エレクトロン株式会社 プラズマ処理装置及び基板剥離検知方法
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
CN108352298B (zh) * 2015-11-09 2023-04-18 应用材料公司 底部处理
CN115410804A (zh) 2015-11-30 2022-11-29 鹰港科技有限公司 高压变压器
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US20170358431A1 (en) 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US10804886B2 (en) 2016-06-21 2020-10-13 Eagle Harbor Technologies, Inc. High voltage pre-pulsing
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10320373B2 (en) 2016-10-11 2019-06-11 Eagle Harbor Technologies, Inc. RF production using nonlinear semiconductor junction capacitance
US10312048B2 (en) 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
EP3761762B1 (en) 2016-12-30 2022-04-13 Eagle Harbor Technologies, Inc. High voltage inductive adder
US10242845B2 (en) 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US10373804B2 (en) 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
CN115378264A (zh) 2017-02-07 2022-11-22 鹰港科技有限公司 变压器谐振转换器
EP3832691A1 (en) 2017-03-31 2021-06-09 Eagle Harbor Technologies, Inc. Method of plasma processing a substrate and plasma processing chamber
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
US10666198B2 (en) 2017-05-09 2020-05-26 Eagle Harbor Technologies, Inc Efficient high power microwave generation using recirculating pulses
US10714372B2 (en) * 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10510575B2 (en) * 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) * 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10763150B2 (en) * 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US20190088518A1 (en) * 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
WO2019067451A1 (en) 2017-09-26 2019-04-04 Advanced Energy Industries, Inc. SYSTEM AND METHOD FOR IGNITION OF PLASMA

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008518429A (ja) 2004-09-29 2008-05-29 ラム リサーチ コーポレーション プラズマ加工システムのrfアーススイッチ
JP2011035266A (ja) 2009-08-04 2011-02-17 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法

Also Published As

Publication number Publication date
JP7357664B2 (ja) 2023-10-06
CN110998782B (zh) 2022-11-25
TWI739018B (zh) 2021-09-11
JP2022043120A (ja) 2022-03-15
KR20200031184A (ko) 2020-03-23
WO2019060028A1 (en) 2019-03-28
JP2020534668A (ja) 2020-11-26
TW202335168A (zh) 2023-09-01
US10510575B2 (en) 2019-12-17
TW201933417A (zh) 2019-08-16
US20200118861A1 (en) 2020-04-16
JP6991306B2 (ja) 2022-01-12
US20210183681A1 (en) 2021-06-17
US20210313213A1 (en) 2021-10-07
US10937678B2 (en) 2021-03-02
TWI801953B (zh) 2023-05-11
KR20220019853A (ko) 2022-02-17
KR102360855B1 (ko) 2022-02-08
KR102493914B1 (ko) 2023-01-30
JP2023182644A (ja) 2023-12-26
CN115799030A (zh) 2023-03-14
CN110998782A (zh) 2020-04-10
KR20220082946A (ko) 2022-06-17
TW202145296A (zh) 2021-12-01
US20190088520A1 (en) 2019-03-21

Similar Documents

Publication Publication Date Title
KR102409327B1 (ko) 다중 매립 전극들을 갖는 기판 지지부
US10763150B2 (en) System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10714372B2 (en) System for coupling a voltage to portions of a substrate
KR102343829B1 (ko) 이중 매립 전극들을 갖는 기판 지지부
US10904996B2 (en) Substrate support with electrically floating power supply

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant