JP6967656B2 - 2つの埋込電極を有する基板支持体 - Google Patents

2つの埋込電極を有する基板支持体 Download PDF

Info

Publication number
JP6967656B2
JP6967656B2 JP2020502960A JP2020502960A JP6967656B2 JP 6967656 B2 JP6967656 B2 JP 6967656B2 JP 2020502960 A JP2020502960 A JP 2020502960A JP 2020502960 A JP2020502960 A JP 2020502960A JP 6967656 B2 JP6967656 B2 JP 6967656B2
Authority
JP
Japan
Prior art keywords
electrode
substrate support
substrate
dielectric layer
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020502960A
Other languages
English (en)
Other versions
JP2020534667A (ja
Inventor
ジェヨン チョー
フィリップ アラン クラウス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020534667A publication Critical patent/JP2020534667A/ja
Application granted granted Critical
Publication of JP6967656B2 publication Critical patent/JP6967656B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

背景
(分野)
本明細書で説明される諸実施形態は、概して、半導体製造で用いられる処理チャンバに関する。より具体的には、上に配置された基板にバイアスをかけるように構成された基板支持アセンブリを有する処理チャンバ、及び基板にバイアスをかける方法に関する。
(関連技術の説明)
高アスペクト比のフィーチャーを確実に作り出すことは、半導体デバイスの超大規模集積(VLSI)及び超超大規模集積(ULSI)という次世代に向けた重要な技術課題の1つである。高アスペクト比のフィーチャーを形成する、ある1つの方法では、プラズマ支援エッチング処理を用いて、基板の誘電体層などの材料層に高アスペクト比の開口部を形成している。典型的なプラズマ支援エッチング処理では、処理チャンバ内でプラズマを形成し、プラズマからのイオンを、基板とその上のマスクに形成された開口部とに向けて加速させて、マスク表面の下の材料層に開口部を形成する。通常は、400kHzから2MHzの範囲の低周波RF電力を基板に結合させることにより、イオンは基板に向かって加速され、これにより、そこにバイアス電圧が発生する。しかしながら、RF電力を基板に結合すると、基板にはプラズマに対する単一の電圧が印加されない。一般的に用いられる構成では、基板とプラズマの間の電位差は、ゼロに近い値から最大となる負の値までRF電力の周波数で振動する。プラズマから基板へイオンを加速させている電位が単一でないことにより、基板表面及びその材料層に形成される開口部(フィーチャー)では広範囲のイオンエネルギーがもたらされる。加えて、RFバイアスに起因するイオン軌跡の相違により、基板表面に対するイオンの角度分布は大きくなる。アスペクト比の高いフィーチャーの開口部をエッチングする場合、イオンエネルギーの範囲が広いことは望ましくない。それは、イオンが、望ましいエッチング速度を維持するのに十分な高エネルギーを有してフィーチャーの底部にまで到達しないからである。基板表面に対するイオンの角度分布が大きいことも望ましくない。それは、大きな角度分布は、フィーチャープロファイルの変形(その垂直側壁のくびれや曲がりなど)を引き起こすからである。
したがって、当該技術分野では、プラズマ支援エッチング処理の間に、基板の材料表面において角度分布が狭く、エネルギー範囲も狭い高エネルギーイオンを供給できる機能が必要になっている。
概要
本開示は、概して、プラズマ支援又はプラズマ強化の処理チャンバに関する。より具体的には、本明細書の諸実施形態は、プラズマ支援又はプラズマ強化の半導体製造処理の間に、パルスDC電圧を基板に供給するように構成された静電チャック(ESC)基板支持体、及び基板にバイアスをかける方法に関する。
一実施形態では、基板支持アセンブリが提供される。基板支持アセンブリは基板支持体を備え、その基板支持体は、第2誘電体層上に配置されて基板を支持する第1誘電体層と、第1誘電体層と第2誘電体層との間に配置されて第1誘電体層の静電容量によって基板にパルスDC電力を容量結合する第1電極と、基板と第2電極の間に電位を与えることにより、基板を基板支持体に電気的にクランプする第2電極とを備え、ここで、第2電極は第1電極から電気的に絶縁されている。一実施形態では、バイアス電極及びESC電極は、基板支持体内で互いに平面的に配置されている。別の一実施形態では、バイアス電極はESC電極よりも基板支持面の近くにある。別の一実施形態では、バイアス電極は、平面部分と、平面部分と基板支持面との間の複数の伝導性フィーチャーと、平面部分を複数の伝導性フィーチャーに電気的に接続する複数のコネクタとを備える。バイアス電極の平面部分と複数の伝導性フィーチャーの両方がパルスDCバイアスを、基板に対してそれとの容量結合により供給する。この実施形態では、複数のコネクタは、ESC電極よりも基板支持面の近くにある。
別の一実施形態では、パルスDC電圧で基板にバイアスをかける方法が提供される。この方法は、処理ガスを処理チャンバに流入させる工程と、処理ガスからプラズマを形成する工程と、基板と基板支持体に配置された第1電極との間に電位を与えることにより、処理チャンバに配置された基板支持体に基板を電気的にクランプする工程とを含む。本明細書では、基板支持体は、第1誘電体層及び第2誘電体層を含む。この方法は、第2電極に供給されるパルスDC電力を、第1誘電体層の静電容量によって基板に容量結合させる工程をさらに含む。ここで、第2電極の少なくとも一部が、第1誘電体層と第2誘電体層との間に配置される。
別の一実施形態では、処理チャンバが提供される。処理チャンバは、処理容積を画定する1つ以上の側壁及び底部と、処理容積内に配置された基板支持アセンブリとを備える。基板支持アセンブリは、熱伝導性材料で形成された冷却ベースと、冷却ベースに熱的に結合された基板支持体であって、誘電体材料の第1層と誘電体材料の第2層とを含む基板支持体とを備える。基板支持アセンブリはさらに、誘電体材料の第1層と誘電体材料の第2層の間に配置され、誘電体材料の第1層の静電容量によってパルスDC電力を基板に容量結合する第1電極と、基板と第2電極の間に電位を与えることにより、基板を基板支持体に電気的にクランプする第2電極とを備える。ここで、第2電極は第1電極から電気的に絶縁されている。いくつかの実施形態では、処理チャンバはプラズマ生成装置をさらに備え、プラズマ生成装置は、RF電源に電気的に接続された容量結合プラズマ(CCP)源又は誘導結合プラズマ(ICP)源を備える。例えば、一実施形態では、プラズマ生成装置は、処理容積内に配置されて基板支持体に面するプラズマ電極と、プラズマ電極をRF電源に電気的に接続するように構成された電力導管とを備える。他の諸実施形態では、プラズマ生成装置は、電子サイクロトロン共鳴プラズマ(ECR)源又は線形マイクロ波プラズマ源(LPS)などのマイクロ波プラズマ源と、マイクロ波プラズマ源をマイクロ波電源に電気的に接続するように構成された電力導管とを備える。
本開示の上記の構成を詳細に理解することができるように、上記に簡単に要約した本開示のより具体的な説明を、諸実施形態を参照して行う。そして、これら実施形態のいくつかは添付図面に示されている。しかしながら、本開示は他の等しく有効な実施形態を含み得るので、添付図面は本開示の典型的な実施形態を示しているに過ぎず、従ってこの範囲を制限していると解釈するべきではないことに留意すべきである。
一実施形態による、2つの埋込電極が内部に配置された静電チャック(ESC)基板支持体を有する処理チャンバの概略断面図である。 図1に示される処理チャンバで用いられる基板支持アセンブリの一部の拡大断面図である。 一実施形態による基板支持アセンブリを上から見た断面図である。 別の一実施形態による基板支持アセンブリの上面図である。 別の一実施形態による基板支持アセンブリの一部の拡大断面図である。 図3Aに示されるバイアス電極の一部を示している。 別の一実施形態による基板支持アセンブリの上面図である。 本明細書に記載の諸実施形態による、プラズマ支援処理の間に基板にバイアスをかける方法を示すフロー図である。
詳細な説明
本明細書で説明する諸実施形態は、概して、プラズマ支援又はプラズマ強化の処理チャンバに関する。より具体的には、本明細書の諸実施形態は、プラズマ支援又はプラズマ強化の半導体製造処理の間に、基板にパルスDC電圧を与えるように構成された静電チャック(ESC)基板支持体、及びパルスDC電圧を用いて基板にバイアスをかける方法に関する。パルスDC電源への基板の容量結合(基板にパルスDCバイアスをかけること)により、基板と処理チャンバに形成されたプラズマとの間の電位差を増加させ、これにより、プラズマから基板の活性表面に向かってイオンを加速させる。
図1は、一実施形態による、2つの埋込電極が内部に配置された静電チャック(ESC)基板支持アセンブリ205を有する処理チャンバ100の概略断面図である。この実施形態では、処理チャンバ100はプラズマ処理チャンバであり、例えば、プラズマエッチングチャンバ、プラズマ強化堆積チャンバ(例えば、プラズマ強化化学気相堆積(PECVD)チャンバ又はプラズマ強化原子層堆積(PEALD)チャンバ)、プラズマトリートメントチャンバ、又はプラズマベースイオン注入チャンバ(例えばプラズマドーピング(PLAD)チャンバ)などである。
処理チャンバ100は、処理容積120を画定するチャンバ蓋103、1つ以上の側壁102、及びチャンバ底部104を特徴として有する。複数の開口部118が貫通して配置されたシャワーヘッド112がチャンバ蓋103に配置され、処理ガスをガス入口114から処理容積120内に均一に分配するために用いられる。シャワーヘッド112はRF電源142、又はいくつかの実施形態ではVHF電源に接続され、処理ガスからプラズマ135を容量結合によって点火させる。処理容積120は、真空出口152を介して、1つ以上の専用真空ポンプなどの真空源に流体的に接続されて、これにより、処理容積120は大気圧より低い圧力条件に維持され、そこから処理ガス及び他のガスが排出される。処理容積120内に配置された基板支持アセンブリ205は、チャンバ底部104を貫通して密封状態で延びる支持シャフト124に接続されている。支持シャフト124は、支持シャフト124及びその上に配置された基板支持アセンブリ205を上下させる第1アクチュエータ140に接続されて、基板115の処理及び基板115の処理チャンバ100内外への移送を容易にする。通常は、基板支持アセンブリ205が上昇位置又は処理位置にあるとき、基板115は、シャワーヘッド112から約0.2インチから2.0インチの間(約1.25インチなど)の間隙を介して離れている。
基板115は、1つ以上の側壁102のうちの1つにある移送開口部126を通って処理容積120内に装填される。この移送開口部126は、基板115の処理の間、ドア又は弁(図示せず)で従来通りに密封されている。リフトピンフープ134の上に配置された複数のリフトピン136は、基板支持アセンブリ205を通して移動可能に配置されて、そこから及びそこへの基板115の移送を容易にする。リフトピンフープ134は、チャンバ底部104を通って密封状態で延びるリフトフープシャフト131に連結され、このリフトフープシャフト131は、第2アクチュエータ130によってリフトピンフープ134を上下させる。リフトピンフープ134が上昇位置にあるとき、複数のリフトピン136は、基板支持アセンブリ205の表面の上にまで延びて、そこから基板115を持ち上げ、ロボットハンドラによる基板115へのアクセスを可能にする。リフトピンフープ134が下降位置にあるとき、複数のリフトピン136は、基板支持アセンブリ205の表面と同じ高さに又はそれより下にあり、基板115は、処理のために基板支持面203上に直接載っている。
本明細書の基板支持アセンブリ205は、冷却ベース125と、冷却ベース125に熱的に結合されて配置された基板支持体200とを備える。本明細書の基板支持アセンブリ205の冷却ベース125を用いて、処理の間に、基板支持体200の温度を調節し、これにより基板支持面203上に配置された基板115の温度を調節する。本明細書では、冷却ベース125は、その内部に配置された1つ以上の流体導管137を備え、この流体導管137は、冷媒源又は水源などの冷却剤源133に流体的に接続され、かつ流体連通している。通常は、冷却ベース125は、耐食性の熱伝導性材料(アルミニウム、アルミニウム合金、又はステンレス鋼などの耐食性金属など)で形成され、接着剤又は機械的手段により、基板支持体200に熱的に結合される。
処理の間、基板115へのイオン衝撃により基板115が加熱される。処理容積120の圧力が低いことで、基板115と基板支持面203との間の熱伝導は低下している。したがって、本明細書の諸実施形態では、処理の間、基板115と基板支持面203の凹部との間のギャップ229に背面ガスを供給して、この背面ガスによって、基板115を基板支持面203に熱的に結合し、それらの間の熱伝達を増進させる。通常は、基板支持面203は、そこから延びる複数のメサ228を備え、このメサ228によって、基板115がその上に配置されたときに、背面ガスが基板115と基板支持面203との間のギャップ229に流れ込むことが、可能になる。背面ガスは、基板支持面203、及び基板支持面203の凹部とその上に配置された基板115との間に配置されたギャップ229へ、基板支持体200を貫通して配置された1つ以上のガス導管147を通って流れる。本明細書では、1つ以上のガス導管147は、ヘリウムガス源などの熱伝導性不活性背面ガス源146に接続されている。
図2Aは、処理チャンバ100で用いられる基板支持アセンブリ205の一部の拡大断面図である。図2Bは、一実施形態による、基板支持アセンブリ205の誘電体材料に埋め込まれた電極を上から見た断面図である。図2Bには、複数のリフトピン136は示されていない。図2Cは、別の一実施形態による基板支持体200の上面図である。基板支持体200は、第1層200A及び第2層200Bを含む。ここで、各層200A及び200Bは、金属酸化物若しくは金属窒化物を含む誘電体材料、又は金属酸化物若しくは金属窒化物の混合物を含む誘電体材料から形成さる。それらの金属酸化物又は金属窒化物とは、Al、AlN、Y、又はそれらの組み合わせなどである。一実施形態では、第1層200Aは、160μmで9kVの絶縁破壊電圧を有する99.5%アルミナから形成される。本明細書では、バルク誘電体材料を第2層200B及びその中又はその上に配置された複数の電極に接合し、そのバルク誘電体材料を所望の厚さT1へ研削することで第1層200Aを形成することによって、基板支持体200を形成する。通常は、第1層200Aは、約100μmから約300μmの間、例えば約160μmの厚さT1を有する。他の諸実施形態では、第1層200Aは、任意の適切なコーティング方法を用いて形成される。そのコーティング方法とは、CVD、PECVD、ALD、PEALD、蒸着、スパッタリング、反応性蒸着、反応性スパッタリング、プラズマアークコーティング、エアロゾルコーティング、又はそれらの組み合わせなどである。
複数の電極は、基板115を第1電源156に容量結合する第1電極222(バイアス電極)と、基板115を基板支持体200に電気的にクランプする第2電極238とを含む。電極222及び238の各々は、金属メッシュ、箔、プレート、又はそれらの組み合わせなどの1つ以上の電気伝導性材料部分で形成される。いくつかの実施形態では、第1電極222は、2つ以上の不連続な電気伝導性材料部分(複数の金属メッシュ、箔、プレート、又はそれらの組み合わせなど)から形成され、これらの電気伝導性材料部分は1つ以上のコネクタと電気的に接続されることで、この不連続な材料部分は単一の電極を構成する。いくつかの実施形態では、第2電極238は、1つ以上のコネクタと電気的に接続された2つ以上の不連続な電気伝導性材料部分で形成されて、単一の電極を構成する。本明細書の諸実施形態では、電極222及び238は、同時に又は逐次的に伝導層を堆積させることにより形成される。堆積方法には、CVD、PECVD、ALD、PEALD、蒸着、スパッタリング、プラズマアークコーティング、エアロゾルコーティング、電気めっき、若しくはそれらの組み合わせ、又は任意の適切なコーティング方法が含まれる。図2A及び2Bでは、第1電極222及び第2電極238は、基板支持体200の第2層200Bの誘電体材料上に平面的に配置されるか、又は埋め込まれる。図2Bでは、第1電極と第2電極は、互いに組み合わせられた構造に配置されている。第1電極222は、基板支持体200の中心から複数の半径にある複数の方位方向部分222Aと、複数の方位方向部分222Aに接触する複数の半径方向部分222Bとを備える。ここでは、半径方向部分222Bは同じ長さであり、複数の方位方向部分222Aは、基板支持体200の中心の周りに同心円状に配置される。他の諸実施形態では、第1電極222は、任意の組み合わせの長さ及び/又は配置の方位方向部分222A及び/又は半径方向部分222Bを有し得る。図2Bでは、第1電極222は、単一の材料部分又は複数の電気的に接続された材料部分から形成される。第2電極238は、統一されたメッシュを備え、第1電極222から電気的に絶縁されており、そのために、そこに開口部が形成されて、第2層200Bの誘電体材料がその間に配置されている。通常は、第2電極238の表面積の、第1電極222の表面積に対する比は、約80:10よりも大きく、例えば約90:10よりも大きく、又は例えば約90:10である。他の諸実施形態では、第1電極222又はその一部は、第2電極238よりも基板支持面203の近くにある。
図2Cは、別の一実施形態による基板支持アセンブリ205の上面図である。図2Cでは、第1電極222は、第2電極(図示せず)と基板支持体200の表面との間のある平面に配置されている。第1電極222は、ゼロ又は1つ以上の複数の半径方向部分222Bに接続された複数の方位方向部分222Aを有する単一材料部分を備える。
本明細書では、第1電源156は、約10Hzから約100kHz(約500Hzから約50kHzなど)の周波数で、約0kVから約10kVの高電圧(HV)パルスDC電力を第1電極222に供給する。パルスDC電力は、基板支持体200の第1層200Aの静電容量によって基板に容量結合される。第2電極238は、基板115と基板支持面203との間に電位を与えることで、その間にクランプ力を提供しており、本明細書では静的なDC電源である第2電源158に電気的に接続されている。この第2電源158は、約−5000Vから約5000Vを供給する。
図3Aは、別の一実施形態による、基板支持アセンブリ305の一部の拡大断面図である。図3Bは、図3Aに示されている第1電極335(バイアス電極)の一部を示している。基板支持アセンブリ305は、冷却ベース125と、冷却ベース125に熱的に結合されて配置された基板支持体300とを備える。基板支持体300は、その中に配置された複数の電極と、表面下層300Bと、表面下層300B上に配置された表面層300Aとを備える。複数の電極は、基板115を第1電源156に容量結合する第1電極335(バイアス電極)と、第2電源158で基板115を基板支持体300に電気的にクランプする第2電極338とを備える。
表面層300Aは、表面下層300Bの上に形成された、Al、AlN、Y、又はそれらの組み合わせなどの誘電体コーティングと、表面下層300B上に配置された複数の伝導性フィーチャー342とを含む。第1電極335は、平面部分322と、平面部分322と表面層300Aとの間に配置された複数の伝導性フィーチャー342と、平面部分322を複数の伝導性フィーチャー342に電気的に接続する複数のコネクタ340とを備える。第2電極338は、第1電極335から電気的に絶縁され、その平面部分322と平面的に配置される。本明細書では、第1電極335の平面部分322及び第2電極338は、それぞれ1つ以上の電気伝導性材料部分で形成される。その電気伝導性材料部分とは、金属メッシュ、箔、プレート、又はそれらの組み合わせなどである。いくつかの実施形態では、電極及び電極の一部322、338、342は、同時に又は逐次的に伝導層を堆積させることにより形成される。堆積方法には、CVD、PECVD、ALD、PEALD、蒸着、スパッタリング、プラズマアークコーティング、エアロゾルコーティング、電気めっき、若しくはそれらの組み合わせ、又は任意の適切なコーティング方法が含まれる。第1電極335の平面部分322は、連続した電気伝導性材料で形成され、第2電極338は、1つ以上の電気コネクタによって互いに電気的に接続された2つ以上の不連続な電気伝導性材料部分で形成される。他の諸実施形態では、第1電極335の平面部分322及び第2電極338は、それぞれ連続した電気伝導性材料部分で形成されて、図2Bに示されている互いに組み合わせられた構造のように、その構造を互いに組み合わせることにより、互いに電気的に絶縁されている。他の諸実施形態では、第2電極338は、連続な電気伝導性材料で形成され、第1電極335の平面部分322は、1つ以上の電気コネクタによって互いに電気的に接続された2つ以上の不連続な電気伝導性材料部分で形成される。他の諸実施形態では、第1電極335の平面部分322は、第2電極338よりも基板支持面303の近くにある。いくつかの実施形態では、第1電極335の平面部分322は、基板支持面303から、それらの間にある1つ以上の誘電体層の分だけ離間しており、この誘電体層は、約100μmから約300μmの間(約160μmなど)の合計厚さを有する。
複数の伝導性フィーチャー342及び複数のコネクタ340は、金属などの電気伝導性材料で形成される。例えば、一実施形態では、複数の伝導性フィーチャー342は、物理気相堆積(PVD)法を用いて堆積させたチタンで形成され、約5μmから約15μmの間(約10μmなど)の厚さを有し、複数のコネクタ340はアルミニウムで形成される。本明細書では、複数の伝導性フィーチャー342は、基板支持面303から、表面層300Aのコーティング厚さT2の分だけ離間している。
通常は、表面下層300B及び/又は表面層300Aは誘電体材料を含み、それぞれ金属酸化物若しくは金属窒化物、又は金属酸化物若しくは金属窒化物の混合物を含む誘電体材料で形成されている。それらの金属酸化物又は金属窒化物とは、Al、AlN、Y、又はそれらの組み合わせなどである。表面層300Aの誘電体コーティングは、任意の適切なコーティング方法を用いて堆積される。そのコーティング方法とは、CVD、PECVD、ALD、PEALD、蒸着、スパッタリング、反応性蒸着、反応性スパッタリング、プラズマアークコーティング、エアロゾルコーティング、又はそれらの組み合わせなどである。表面層300Aのコーティング厚さT2は、約2μmから約200μmの間(例えば、約5μmから約100μmの間)である。いくつかの実施形態では、表面層300Aは、表面下層300B及びその上に配置された複数の伝導性フィーチャー342をエアロゾルコーティングすることにより形成され、約10μm、約30μm、又は約100μmのコーティング厚さT2を有するAlを有する。いくつかの実施形態では、表面層300Aの誘電体材料は、約100V/μmから約200V/μmの間の絶縁破壊電圧を有する。
図3Cは、別の一実施形態による基板支持アセンブリの上面図である。図3Cでは、第1電極335の平面部分322は、第2電極(図示せず)と基板支持体300の表面との間のある平面に配置される。ここでの平面部分322は、複数の方位方向部分322Aを有する単一材料部分を備え、各方位方向部分322Aは、その半径まで及び/又はその半径を通り抜けて延びる複数の半径方向部分322Bに接続された環状部を含む。
図4は、本明細書に記載の諸実施形態による、プラズマ支援処理の間に基板にバイアスをかける方法400を示すフロー図である。方法400は、ステップ410で、処理ガスを処理チャンバに流入させる工程を含み、ステップ420で、処理ガスからプラズマを形成する工程を含む。
方法400はステップ430で、処理チャンバ内に配置された基板支持体に基板を電気的にクランプする工程を含む。その基板支持体とは、図2A〜2Bで説明した基板支持体200又は図3A〜3Bで説明した基板支持体300などである。基板を基板支持体に電気的にクランプする工程は、基板と基板支持体に配置された静電チャック(ESC)電極との間に電位を与える工程を含む。通常は、ESCはDC電源に接続されており、このDC電源は、本明細書では、約−5000Vから約+5000V(例えば約500Vから約4500V、例えば約1000Vから約3000V、例えば約2500V)を供給する。
方法400はステップ440で、パルスDC電源からバイアス電極に供給されるパルスDC電力の容量結合により、基板支持体の第1誘電体層の静電容量によって基板にバイアスをかける工程を含む。いくつかの実施形態では、基板にバイアスをかけて、その後で又は同時に、ステップ420で処理ガスを処理チャンバに流入させる、又はステップ430で処理ガスからプラズマを形成する。本明細書では、バイアス電極又はその一部は、基板支持体の第1誘電体層と第2誘電体層との間でESC電極と平面的に配置される。通常は、パルスDC電源は、約10Hzから約100kHzの周波数で、約0kVから約10kVの高電圧(HV)パルスDC電力をバイアス電極に供給する。他の諸実施形態では、バイアス電極又はその一部は、ESC電極よりも基板支持面の近くにある。ステップ420の後、ステップ430の後、又はステップ440の後にプラズマを形成してもよいことに留意すべきである。
本明細書に記載の基板支持アセンブリ及び方法により、静電クランプ力の使用に適合する、プラズマ支援処理の間の基板の容量結合パルスDCバイアスが可能になる。パルスDCバイアスにより、基板表面及びその中に形成されたフィーチャー開口部におけるイオンのエネルギー及び角度の分布の制御が向上する。制御の向上は、少なくとも高アスペクト比のフィーチャー及び/又は直線的なエッチングプロファイルを必要とするフィーチャーの形成において望ましい。そのようなエッチングの例には、不揮発性フラッシュメモリデバイス及びダイナミックランダムアクセスメモリデバイスなどのメモリデバイス用の誘電体材料の高アスペクト比エッチング、シャロートレンチアイソレーション(STI)に適用するシリコンエッチング、FinFETデバイスで用いられるシリコンフィンなどがある。
上記は本開示の実施形態を対象としているが、本開示の他のさらなる実施形態を、その基本的な範囲から逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (13)

  1. 第2誘電体層上に配置され、基板を支持する第1誘電体層と、
    第1誘電体層と第2誘電体層との間に配置され、第1誘電体層の静電容量によって基板にパルスDC電力を容量結合する第1電極であって、
    第1電極は、
    平面部分と、
    平面部分と基板支持面との間に配置された複数の伝導性フィーチャーと、
    平面部分を複数の伝導性フィーチャーに電気的に接続する複数のコネクタとを備え、
    平面部分は、
    基板支持体の中心の周りに同心状に配置された複数の方位方向部分と、
    複数の半径方向部分とを含み、
    複数の半径方向部分の各半径方向部分は複数の方位方向部分の1つ以上の方位方向部分と接触している、第1電極と、
    基板と第2電極の間に電位を与えることにより、基板を基板支持体に電気的にクランプする第2電極であって、第1電極から電気的に絶縁された第2電極とを備える基板支持体を備える基板支持アセンブリ。
  2. 第1電極及び第2電極は、互いに組み合わせられた構造を有している、請求項1に記載の基板支持アセンブリ
  3. 第1電極の少なくとも一部が、第2電極よりも基板支持面の近くにある、請求項1に記載の基板支持アセンブリ
  4. 電極の表面積の、第電極の表面積に対する比が約80:10よりも大きい、請求項1に記載の基板支持アセンブリ
  5. 第1誘電体層は、第1電極の少なくとも一部と基板支持面との間に配置され、約2μmから約200μmの間の厚さを有している、請求項4に記載の基板支持アセンブリ
  6. 第1誘電体層は、第2誘電体層の上に形成された誘電体コーティングを含み、
    複数の伝導性フィーチャーは第2誘電体層上に配置されている、請求項に記載の基板支持アセンブリ
  7. 第1誘電体層は約5μmから約200μmの間の厚さを有している、請求項に記載の基板支持アセンブリ
  8. 第1誘電体層は、約100V/μmから約200V/μmの絶縁破壊電圧を有している、請求項に記載の基板支持アセンブリ
  9. 処理容積を画定する1つ以上の側壁及び底部と、
    処理容積内に配置された基板支持アセンブリであって、
    熱伝導性材料で形成された冷却ベースと、
    冷却ベースに熱的に結合された基板支持体であって、誘電体材料の第1層と誘電体材料の第2層とを含む基板支持体と、
    誘電体材料の第1層と誘電体材料の第2層の間に配置され、誘電体材料の第1層の静電容量によってパルスDC電力を基板に容量結合する第1電極であって、
    第1電極は、
    平面部分と、
    平面部分と基板支持面との間に配置された複数の伝導性フィーチャーと、
    平面部分を複数の伝導性フィーチャーに電気的に接続する複数のコネクタとを備え、
    平面部分は、
    基板支持体の中心の周りに同心状に配置された複数の方位方向部分と、
    複数の半径方向部分とを含み、
    複数の半径方向部分の各半径方向部分は複数の方位方向部分の1つ以上の方位方向部分と接触している、第1電極と、
    基板と第2電極の間に電位を与えることにより、基板を基板支持体に電気的にクランプする第2電極であって、第1電極から電気的に絶縁された第2電極とを備える基板支持アセンブリとを備える処理チャンバ。
  10. 第1電極と、第2電極の少なくとも一部とが平面的に配置されている、請求項9に記載の処理チャンバ。
  11. 第1電極の少なくとも一部が、第2電極よりも基板支持面の近くにある、請求項9に記載の処理チャンバ。
  12. 処理ガスを処理チャンバに流入させる工程と、
    処理ガスからプラズマを形成する工程と、
    基板と基板支持体に配置された第1電極との間に電位を与えることにより、処理チャンバに配置された基板支持体に基板を電気的にクランプする工程であって、
    基板支持体は第1誘電体層及び第2誘電体層を含み、
    第1電極は、
    平面部分と、
    平面部分と基板支持面との間に配置された複数の伝導性フィーチャーと、
    平面部分を複数の伝導性フィーチャーに電気的に接続する複数のコネクタとを備え、
    平面部分は、
    基板支持体の中心の周りに同心状に配置された複数の方位方向部分と、
    複数の半径方向部分とを含み、
    複数の半径方向部分の各半径方向部分は複数の方位方向部分の1つ以上の方位方向部分と接触している、工程と、
    第2電極に供給されるパルスDC電力を、第1誘電体層の静電容量によって基板に容量結合する工程であって、第2電極の少なくとも一部は第1誘電体層と第2誘電体層との間に配置されている工程とを含む、基板を処理する方法。
  13. 第1電極及び第2電極は互いに平面的に配置され、
    第1電極の第1表面積の、第2電極の第2表面積に対する比が、約80:10よりも大きい、請求項12に記載の方法。
JP2020502960A 2017-09-20 2018-07-19 2つの埋込電極を有する基板支持体 Active JP6967656B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/710,700 US10811296B2 (en) 2017-09-20 2017-09-20 Substrate support with dual embedded electrodes
US15/710,700 2017-09-20
PCT/US2018/042961 WO2019060029A1 (en) 2017-09-20 2018-07-19 SUBSTRATE SUPPORT WITH DOUBLE INTEGRATED ELECTRODES

Publications (2)

Publication Number Publication Date
JP2020534667A JP2020534667A (ja) 2020-11-26
JP6967656B2 true JP6967656B2 (ja) 2021-11-17

Family

ID=65720602

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020502960A Active JP6967656B2 (ja) 2017-09-20 2018-07-19 2つの埋込電極を有する基板支持体

Country Status (6)

Country Link
US (1) US10811296B2 (ja)
JP (1) JP6967656B2 (ja)
KR (1) KR102343829B1 (ja)
CN (1) CN110998783B (ja)
TW (1) TWI736785B (ja)
WO (1) WO2019060029A1 (ja)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11532497B2 (en) * 2016-06-07 2022-12-20 Applied Materials, Inc. High power electrostatic chuck design with radio frequency coupling
WO2019143474A1 (en) * 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524782B (zh) * 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
JP7418555B2 (ja) 2019-09-19 2024-01-19 アプライド マテリアルズ インコーポレイテッド ペデスタルヒータを洗浄するためのインシトゥdcプラズマ
US11043387B2 (en) 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
KR20210153003A (ko) 2021-11-29 2021-12-16 김고은 내비형 모빌리티 도난 방지기
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
JPH09252047A (ja) * 1996-03-18 1997-09-22 Hitachi Ltd 静電吸着電極
US5751537A (en) * 1996-05-02 1998-05-12 Applied Materials, Inc. Multielectrode electrostatic chuck with fuses
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6273958B2 (en) * 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
AU2001224729A1 (en) 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
EP1211725A4 (en) * 2000-05-10 2003-02-26 Ibiden Co Ltd ELECTROSTATIC CHUCK
US20040066601A1 (en) * 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7601246B2 (en) * 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
JP2008041993A (ja) * 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5259618B2 (ja) 2006-12-12 2013-08-07 オーツェー・エリコン・バルザース・アーゲー 高出力インパルス・マグネトロン・スパッタリング(hipims)におけるパルシング及びアーク抑制
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
TWI390582B (zh) * 2008-07-16 2013-03-21 Sumitomo Heavy Industries Plasma processing device and plasma processing method
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
JP5960384B2 (ja) * 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
US8757603B2 (en) * 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) * 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
WO2014159144A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc Uv-assisted reactive ion etch for copper
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
KR101905158B1 (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
JP6024921B2 (ja) * 2013-11-01 2016-11-16 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US9853579B2 (en) * 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring

Also Published As

Publication number Publication date
KR20200030642A (ko) 2020-03-20
US20190088519A1 (en) 2019-03-21
TW201933424A (zh) 2019-08-16
WO2019060029A1 (en) 2019-03-28
CN110998783B (zh) 2022-11-22
US10811296B2 (en) 2020-10-20
TWI736785B (zh) 2021-08-21
KR102343829B1 (ko) 2021-12-24
JP2020534667A (ja) 2020-11-26
CN110998783A (zh) 2020-04-10

Similar Documents

Publication Publication Date Title
JP6967656B2 (ja) 2つの埋込電極を有する基板支持体
US10937678B2 (en) Substrate support with multiple embedded electrodes
US10904996B2 (en) Substrate support with electrically floating power supply
US11728139B2 (en) Process chamber for cyclic and selective material removal and etching
US10714372B2 (en) System for coupling a voltage to portions of a substrate
US10763150B2 (en) System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US20190088518A1 (en) Substrate support with cooled and conducting pins

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200323

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210308

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210316

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210611

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211012

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211025

R150 Certificate of patent or registration of utility model

Ref document number: 6967656

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150