TW201933424A - 具有雙嵌入式電極的基板支撐件 - Google Patents

具有雙嵌入式電極的基板支撐件 Download PDF

Info

Publication number
TW201933424A
TW201933424A TW107125605A TW107125605A TW201933424A TW 201933424 A TW201933424 A TW 201933424A TW 107125605 A TW107125605 A TW 107125605A TW 107125605 A TW107125605 A TW 107125605A TW 201933424 A TW201933424 A TW 201933424A
Authority
TW
Taiwan
Prior art keywords
electrode
substrate support
substrate
disposed
dielectric
Prior art date
Application number
TW107125605A
Other languages
English (en)
Other versions
TWI736785B (zh
Inventor
趙在龍
菲利浦亞倫 克勞司
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201933424A publication Critical patent/TW201933424A/zh
Application granted granted Critical
Publication of TWI736785B publication Critical patent/TWI736785B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

於此描述的實施例一般關於電漿輔助或電漿增強處理腔室。更具體地,於此的實施例關於被配置為向基板提供脈衝DC電壓的靜電吸盤(ESC)基板支撐件,及在電漿輔助或電漿增強半導體製造處理期間使用脈衝DC電壓偏壓基板的方法。

Description

具有雙嵌入式電極的基板支撐件
於此描述的實施例一般關於用於半導體製造的處理腔室,具體地,關於具有基板支撐組件的處理腔室,該基板支撐組件被配置為偏壓設置在其上的基板,以及偏壓基板的方法。
可靠地產生高深寬比特徵是半導體裝置的下一代超大型積體電路(VLSI)和極大型積體電路(ULSI)的關鍵技術挑戰之一。形成高深寬比特徵的一種方法使用電漿輔助蝕刻處理以在基板的材料層(諸如介電層)中形成高深寬比開口。在典型的電漿輔助蝕刻處理中,在處理腔室中形成電漿,且來自電漿的離子朝向基板及在基板上的遮罩中形成的開口加速,以在遮罩表面下方的材料層中形成開口。通常,藉由將400kHz至2MHz的範圍中的低頻RF電力耦合到基板,離子朝向基板加速,從而在基板上產生偏壓電壓。然而,將RF電力耦合到基板不會相對於電漿向基板施加單一電壓。在常用配置中,在基板和電漿之間的電位差以RF電力的頻率從接近零值振盪到最大負值。缺少單一電位(將從電漿加速離子到基板)導致在基板表面處和在基板的材料層中形成的開口(特徵)中的大範圍的離子能量。另外,由RF偏壓產生的不同離子軌跡相對於基板表面而產生離子的大角度分佈。當蝕刻高深寬比特徵的開口時,大範圍的離子能量是不期望的,因為離子沒有以足夠高的能量到達特徵的底部,以維持期望的蝕刻速率。相對於基板表面的離子的大角度分佈是不期望的,因為其導致特徵輪廓的變形,諸如在特徵的垂直側壁中的頸縮和彎曲。
因此,本領域中存在有能夠在電漿輔助蝕刻處理期間在基板的材料表面處提供具有窄角度分佈的窄範圍的高能量離子的需求。
本揭露書一般關於電漿輔助或電漿增強處理腔室。更具體地,於此的實施例關於被配置為在電漿輔助或電漿增強半導體製造處理期間向基板提供脈衝DC電壓的靜電吸盤(ESC)基板支撐件及偏壓基板的方法。
在一個實施例中,提供了一種基板支撐組件。基板支撐組件包括基板支撐件,包含:第一介電層,用於支撐基板,設置在第二介電層上;第一電極,設置在第一介電層和第二介電層之間,用於經由第一介電層的電容而將脈衝DC電力電容耦合至基板;及第二電極,用於藉由在基板和第二電極之間提供電位而將基板電夾持到基板支撐件,其中第二電極與第一電極電隔離。在一個實施例中,偏壓電極和ESC電極在基板支撐件中彼此平面地設置。在另一個實施例中,偏壓電極比ESC電極更靠近基板支撐表面。在另一實施例中,偏壓電極包括:平面部分;複數個導電特徵,在平面部分和基板支撐表面之間;及複數個連接器,將平面部分電耦接到複數個導電特徵。偏壓電極的平面部分和複數個導電特徵都經由與其耦合的電容而向基板提供脈衝DC偏壓。在該實施例中,複數個連接器比ESC電極更靠近基板支撐表面。
在另一個實施例中,提供了一種用脈衝DC電壓偏壓基板的方法。該方法包括以下步驟:使處理氣體流到處理腔室中;從處理氣體形成電漿;及藉由在基板和設置在基板支撐件中的第一電極之間提供電位而將基板電夾持到設置在處理腔室中的基板支撐件。於此,基板支撐件包含第一介電層和第二介電層。該方法進一步包括:經由第一介電層的電容而將提供給第二電極的脈衝DC電力電容耦合到基板,其中第二電極的至少一部分設置在第一介電層和第二介電層之間。
在另一個實施例中,提供了一種處理腔室。處理腔室包括界定處理容積的一個或多個側壁和底部及設置在處理容積中的基板支撐組件。基板支撐組件包含:冷卻基座,由導熱材料形成;基板支撐件,熱耦接到冷卻基座,基板支撐件包含第一介電材料層和第二介電材料層。基板支撐組件進一步包含:第一電極,設置在第一介電材料層和第二介電材料層之間,用於通過電容的第一介電材料層將脈衝DC電力電容耦合到基板;及第二電極,用於藉由在基板和第二電極之間提供電位而將基板電夾持到基板支撐件。於此,第二電極與第一電極電隔離。在一些實施例中,處理腔室進一步包括電漿產生設備,包含電耦合到RF電力供應器的電容耦合電漿(CCP)源或電感耦合電漿(ICP)源。例如,在一個實施例中,電漿產生設備包含:電漿電極,設置在處理容積中並面向基板支撐件;及電力管道,配置成將電漿電極電耦合到RF電力供應器。在其他實施例中,電漿產生設備包含:微波電漿源(諸如電子迴旋共振電漿(ECR)源或線性微波電漿源(LPS));及電力管道,配置成將微波電漿源電耦合到微波電力供應器。
於此描述的實施例一般關於電漿輔助或電漿增強處理腔室。更具體地,於此的實施例關於被配置為向基板提供脈衝DC電壓的靜電吸盤(ESC)基板支撐件,及在電漿輔助或電漿增強半導體製造處理期間使用脈衝DC電壓偏壓基板的方法。將基板電容耦合到脈衝DC電源(在基板上放置脈衝DC偏壓)增加了在基板與處理腔室中形成的電漿之間的電位差,從而將離子從電漿加速到基板的有效表面。
第1圖是根據一個實施例的處理腔室100的示意性剖視圖,處理腔室100具有雙嵌入電極設置於中的靜電吸盤(ESC)基板支撐組件205。在該實施例中,處理腔室100是電漿處理腔室,諸如電漿蝕刻腔室、電漿增強沉積腔室(例如電漿增強化學氣相沉積(PECVD)腔室或電漿增強原子層沉積( PEALD)腔室)、電漿處理腔室或基於電漿的離子佈植腔室(例如電漿摻雜(PLAD)腔室),
處理腔室100的特徵在於界定處理容積120的腔室蓋103、一個或多個側壁102和腔室底部104。噴頭112(具有複數個開口118設置成通過噴頭112)設置在腔室蓋103中,並用以將處理氣體從氣體入口114均勻地分配到處理容積120中。噴頭112耦合到RF電力供應器142(或在一些實施例中,VHF電力供應器),RF電力供應器142從處理氣體經由電容耦合而點燃電漿135。處理容積120通過真空出口152而流體耦接到真空源,諸如流體耦接到一個或多個專用真空泵,真空出口152將處理容積120保持在低於大氣壓的條件下,並從處理容積120排出處理和其他氣體。設置在處理容積120中的基板支撐組件205耦接到支撐軸124,支撐軸124密封地延伸通過腔室底部104。支撐軸124耦接到第一致動器140,第一致動器140升高和降低支撐軸124和設置在支撐軸124上的基板支撐組件205,以促進基板115的處理和將基板115傳送進出處理腔室100。通常,當基板支撐組件205處於升高或處理位置時,基板115與噴頭112間隔開在約0.2英寸和2.0英寸之間,諸如約1.25英寸。
基板115通過一個或多個側壁102的一個中的傳送開口126裝載到處理容積120中,傳送開口126通常在基板115處理期間用門或閥(未顯示)密封。設置在升降銷箍134之上方的複數個升降銷136可移動地設置通過基板支撐組件205,以促進將基板115往返傳送於基板支撐組件205。升降銷箍134耦接到升降箍軸131,升降箍軸131密封地延伸通過腔室底部104,升降箍軸131藉由第二致動器130升高和降低升降銷箍134。當升降銷箍134處於升高位置時,複數個升降銷136在基板支撐組件205的表面之上方延伸,從而從基板支撐組件205的表面升降基板115並能夠藉由機器人處理器接近基板115。當升降銷箍134處於降低位置時,複數個升降銷136與基板支撐組件205的表面齊平或在其下方,且基板115直接安置在基板支撐表面203上以進行處理。
於此的基板支撐組件205包括冷卻基座125和熱耦合到冷卻基座125並設置在冷卻基座125上的基板支撐件200。於此的基板支撐組件205的冷卻基座125用以在處理期間調節基板支撐件200的溫度,並由此調節設置在基板支撐表面203上的基板115的溫度。於此,冷卻基座125包括設置在冷卻基座125中的一個或多個流體管道137,流體管道137流體耦接到冷卻劑源133(諸如製冷劑源或水源),並與冷卻劑源133流體連通。通常,冷卻基座125由耐腐蝕的導熱材料形成,諸如耐腐蝕金屬,例如鋁、鋁合金或不銹鋼,且藉由黏著劑或藉由機械方式而熱耦接到基板支撐件200。
在處理期間,基板115的離子轟擊將加熱基板115。處理容積120的低壓導致在基板115和基板支撐表面203之間的不良熱傳導。因此,在於此的實施例中,在處理期間,背側氣體被提供到在基板115和基板支撐表面203的凹陷部分之間的間隙229,其中背側氣體將基板115熱耦合到基板支撐表面203並增加其之間的熱傳遞。通常,基板支撐表面203包括從基板支撐表面203延伸的複數個檯面228,當基板115設置在基板支撐表面203上時,複數個檯面228能夠使背側氣體流到在基板115和基板支撐表面203之間的間隙229中。背側氣體通過一個或多個氣體導管147而流到基板支撐表面203及設置在基板支撐表面203的凹陷部分和設置在基板支撐表面203上的基板115之間的間隙229,一個或多個氣體導管147通過基板支撐件200設置。於此,一個或多個氣體導管147耦接到導熱惰性背側氣體源146,諸如氦氣源。
第2A圖是處理腔室100中使用的基板支撐組件205的一部分的特寫剖視圖。第2B圖是根據一個實施例的嵌入基板支撐組件205的介電材料的電極的俯視剖視圖。複數個升降銷136未在第2B圖中顯示。第2C圖是根據另一實施例的基板支撐件200的俯視圖。基板支撐件200包含第一層200A和第二層200B,其中每個層200A和200B由包含金屬氧化物或金屬氮化物的介電材料,或包含金屬氧化物或金屬氮化物的混合物的介電材料(諸如Al2 O3 、AlN、Y2 O3 或其組合)所形成。在一個實施例中,第一層200A由具有在160 μm的擊穿電壓為9kV的 99.5%的氧化鋁形成。於此,藉由將大塊的介電材料接合到第二層200B及設置在第二層200B中或第二層200B上的複數個電極,並將大塊的介電材料研磨到期望的厚度T1 ,從而形成第一層200A而形成基板支撐件200。通常,第一層200A具有在約100 μm和約300 μm之間的厚度T1 ,例如約160 μm。在其他實施例中,使用任何合適的塗佈方法(諸如CVD、PECVD、ALD、PEALD、蒸發、濺射、反應性蒸發、反應性濺射、電漿弧塗佈、氣溶膠塗佈或其組合)來形成第一層200A。
複數個電極包括:第一電極222(偏壓電極),用於將基板115電容耦合到第一電源156;及第二電極238,用於將基板115電夾持到基板支撐件200。電極222和238的每一個由一個或多個導電材料部分(諸如金屬網、箔、板或其組合)形成。在一些實施例中,第一電極222由多於一個的不連續導電材料部分(諸如複數個金屬網、箔、板或其組合)形成,不連續導電材料部分與一個或多個連接器電耦合,使得不連續材料部分包含單一電極。在一些實施例中,第二電極238由多於一個的不連續導電材料部分形成,不連續導電材料部分與一個或多個連接器電耦合以包含單一電極。在於此的實施例中,藉由沉積導電層,同時或順序地形成電極222和238;沉積方法包括CVD、PECVD、ALD、PEALD、蒸發、濺射、電漿弧塗佈、氣溶膠塗佈、電鍍或其組合,或任何合適的塗佈方法。在第2A和2B圖中,第一電極222和第二電極238平面地設置在基板支撐件200的第二層200B的介電材料上或嵌入基板支撐件200的第二層200B的介電材料中。在第2B圖中,第一電極和第二電極佈置在一個相互交叉的結構中。第一電極222包含在距基板支撐件200的中心的多個半徑處的複數個方位角部分222A和與複數個方位角部分222A接觸的複數個徑向部分222B。於此,徑向部分222B具有相同的長度,且複數個方位角部分222A圍繞基板支撐件200的中心同心地設置。在其他實施例中,第一電極222可具有方位角部分222A及/或徑向部分222B的長度及/或佈置的任何組合。在第2B圖中,第一電極222由單個材料部分或複數個電耦合材料部分形成。第二電極238包含整體的網,並藉由形成在網中的開口和設置在第二電極238與第一電極222之間的第二層200B的介電材料而與第一電極222電隔離。通常,第二電極238的表面積與第一電極222的表面積之比例大於約80:10,諸如大於約90:10,或例如約90:10。在其他實施例中,第一電極222或第一電極222的一部分比第二電極238更靠近基板支撐表面203。
第2C圖是根據另一實施例的基板支撐組件205的俯視圖。在第2C圖中,第一電極222設置在第二電極(未顯示)和基板支撐件200的表面之間的平面中。第一電極222包含整體材料部分,整體材料部分具有複數個方位角部分222A,複數個方位角部分222A連接到複數個徑向部分222B的零個或一個或多個。
於此,第一電源156向第一電極222以在約10 Hz和約100 kHZ(諸如在約500 Hz和約50 kHZ)之間的頻率而提供在約0 kV和約10 kV之間的高電壓(HV)脈衝DC電力。脈衝DC電力經由基板支撐件200的第一層200A的電容而電容耦合到基板。第二電極238(藉由在基板115和基板支撐表面203之間提供電位而在基板115和基板支撐表面203之間提供夾持力)電耦合到第二電源158,於此是靜態DC電力供應器,其提供在約-5000V和約5000V之間。
第3A圖是根據另一實施例的基板支撐組件305的一部分的特寫剖視圖。第3B圖顯示了第3A圖中所示的第一電極335(偏壓電極)的一部分。基板支撐組件305包括冷卻基座125和熱耦合到冷卻基座125並設置在冷卻基座125上的基板支撐件300。基板支撐件300包括設置在基板支撐件300中的複數個電極、子表面層300B和設置在子表面層300B上的表面層300A。複數個電極包括:第一電極335(偏壓電極),用於將基板115電容耦合到第一電源156;及第二電極338,用於利用第二電源158將基板115電夾持到基板支撐件300。
表面層300A包含在子表面層300B上形成的介電塗層(諸如Al2 O3 、AlN、Y2 O3 或其組合)及設置在子表面層300B上的複數個導電特徵342。第一電極335包括平面部分322、設置在平面部分322和表面層300A之間的複數個導電特徵342及將平面部分322電耦合到複數個導電特徵342的複數個連接器340。第二電極338與第一電極335電隔離,並與第一電極335的平面部分322平面設置。於此,第一電極335的平面部分322和第二電極338均由一個或多個導電材料部分形成,諸如金屬網、箔、板或其組合。在一些實施例中,藉由沉積導電層,同時或順序地形成電極和電極322、338和342的部分;沉積方法包括CVD、PECVD、ALD、PEALD、蒸發、濺射、電漿弧塗佈、氣溶膠塗佈、電鍍或其組合,或任何合適的塗佈方法。第一電極335的平面部分322由連續的導電材料形成,而第二電極338由多於一個的不連續的導電材料部分形成,不連續的導電材料部分藉由一個或多個電連接器彼此電連接。在其他實施例中,第一電極335的平面部分322和第二電極338各自由連續的導電材料部分形成,並藉由其結構的交叉而彼此電隔離,諸如第2B圖中所示的交叉結構。在其他實施例中,第二電極338由連續的導電材料形成,且第一電極335的平面部分322由多於一個的不連續的導電材料部分形成,不連續的導電材料部分藉由一個或多個電連接器彼此電耦合。在其他實施例中,第一電極335的平面部分322比第二電極338更靠近基板支撐表面303。在一些實施例中,第一電極335的平面部分322與基板支撐表面303藉由平面部分322與基板支撐表面303之間的一個或多個介電層而間隔開,一個或多個介電層具有在約100 μm和約300 μm之間(諸如約160 μm)的組合厚度。
複數個導電特徵342和複數個連接器340由導電材料(諸如金屬)形成。例如,在一個實施例中,複數個導電特徵342由使用物理氣相沉積(PVD)方法沉積的鈦形成,並具有在約5 μm和約15 μm之間(諸如約10 μm)的厚度,且複數個連接器340由鋁形成。於此,複數個導電特徵342與基板支撐表面303藉由表面層300A的塗層厚度T2 而間隔開。
通常,子表面層300B及/或表面層300A包含介電材料且各自由金屬氧化物或金屬氮化物,或包含金屬氧化物或金屬氮化物的混合物(諸如Al2 O3 、AlN、Y2 O3 或其組合)的介電材料形成。使用任何合適的塗佈方法(諸如CVD、PECVD、ALD、PEALD、蒸發、濺射、反應性蒸發、反應性濺射、電漿弧塗佈、氣溶膠塗佈或其組合)來沉積表面層300A的介電塗層。表面層300A的塗層厚度T2 在約2 μm和約200 μm之間,諸如在約5 μm和約100 μm之間。在一些實施例中,表面層300A藉由氣溶膠塗佈子表面層300B和設置在子表面層300B上的複數個導電特徵342形成,其中Al2 O3 具有約10 μm、約30 μm或約100 μm的塗層厚度T2 。在一些實施例中,表面層300A的介電材料具有在約100 V/μm與約200 V/μm之間的擊穿電壓。
第3C圖是根據另一實施例的基板支撐組件的俯視圖。在第3C圖中,第一電極335的平面部分322設置在第二電極(未顯示)和基板支撐件300的表面之間的平面中。於此的平面部分322包含具有複數個方位角部分的整體材料部分,每個方位角部分322A包含連接到延伸至其半徑及/和通過其半徑的複數個徑向部分322B的環。
第4圖是顯示根據於此描述的實施例的在電漿輔助處理期間偏壓基板的方法400的流程圖。在410處的方法400包括使處理氣體流到處理腔室中,且在420處包括從處理氣體形成電漿。
430處的方法400包括將基板電夾持到設置在處理腔室中的基板支撐件,諸如第2A-2B圖中描述的基板支撐件200或第3A-3B圖中描述的基板支撐件300。將基板電夾持到基板支撐件包含在基板和設置在基板支撐件中的靜電吸盤(ESC)電極之間提供電位。通常,ESC耦合到DC電力供應器,DC電力供應器在此提供在約-5000 V和約+5000 V之間(諸如在約500 V和約4500 V之間,諸如在約1000 V和約3000 V之間,例如約2500V)。
440處的方法400包括藉由經由基板支撐件的第一介電層的電容而將脈衝DC電力(從脈衝DC電力供應器提供給偏壓電極)電容耦合到基板來偏壓基板。在一些實施例中,在活動420處將處理氣體流到處理腔室中及/或在活動430處從處理氣體形成電漿之前或同時偏壓基板。於此,偏壓電極或偏壓電極的一部分是與在基板支撐件的第一介電層和第二介電層之間的ESC電極平面地設置的。通常,脈衝DC電力供應器以在約10 Hz和約100 kHZ的頻率向偏壓電極提供在約0 kV至約10 kV之間的高壓(HV)脈衝DC電力。在其他實施例中,偏壓電極或偏壓電極的一部分比ESC電極更靠近基板支撐表面。應當注意,電漿也可在操作420之後,操作430之後或操作440之後形成。
於此所述的基板支撐組件和方法在與使用靜電夾持力兼容的電漿輔助處理期間實現了電容耦合脈衝DC偏壓基板。脈衝DC偏壓允許增加對基板表面處和基板表面中形成的特徵開口中的離子能量和角度分佈的控制。這種增加的控制至少在形成高深寬比特徵及/或需要平直的蝕刻輪廓的特徵時是期望的,諸如在用於記憶體裝置(諸如非揮發性快閃記憶體裝置和動態隨機存取記憶體裝置)的介電材料中的高深寬比蝕刻;諸如在用於淺溝槽隔離(STI)應用的矽蝕刻;及諸如在FinFET裝置中使用的矽鰭片。
雖然前述內容涉及本揭露書的實施例,但是可在不背離本揭露書的基本範圍的情況下設計本揭露書的其他和進一步的實施例,且本揭露書的範圍由以下的申請專利範圍而確定。
100‧‧‧處理腔室
102‧‧‧側壁
103‧‧‧腔室蓋
104‧‧‧腔室底部
112‧‧‧噴頭
114‧‧‧氣體入口
115‧‧‧基板
118‧‧‧開口
120‧‧‧處理容積
124‧‧‧支撐軸
125‧‧‧冷卻基座
126‧‧‧傳送開口
130‧‧‧致動器
131‧‧‧升降箍軸
133‧‧‧冷卻劑源
134‧‧‧升降銷箍
135‧‧‧電漿
136‧‧‧升降銷
137‧‧‧流體管道
140‧‧‧第一致動器
142‧‧‧RF電力供應器
146‧‧‧導熱惰性背側氣體源
147‧‧‧氣體導管
152‧‧‧真空出口
156‧‧‧第一電源
158‧‧‧第二電源
200‧‧‧基板支撐件
200A‧‧‧第一層
200B‧‧‧第二層
203‧‧‧基板支撐表面
205‧‧‧基板支撐組件
222‧‧‧第一電極
222A‧‧‧方位角部分
222B‧‧‧徑向部分
228‧‧‧檯面
229‧‧‧間隙
238‧‧‧第二電極
300‧‧‧基板支撐件
300A‧‧‧表面層
300B‧‧‧子表面層
303‧‧‧基板支撐表面
305‧‧‧基板支撐組件
322‧‧‧平面部分/電極
322A‧‧‧方位角部分
322B‧‧‧徑向部分
329 335‧‧‧第一電極
338‧‧‧第二電極
340‧‧‧連接器
342‧‧‧導電特徵/電極
400‧‧‧方法
410‧‧‧活動
420‧‧‧活動
430‧‧‧活動
440‧‧‧活動
因此,可詳細地理解本揭露書的上述特徵的方式,可藉由參考實施例而獲得上文簡要概述的本揭露書的更具體的描述,其中一些實施例顯示在附隨的圖式中。然而,應注意附隨的圖式僅顯示了本揭露書的典型實施例,且因此不應視為限制本揭露書的範圍,因為本揭露書可允許其他同等有效的實施例。
第1圖是根據一個實施例的處理腔室的示意性剖視圖,處理腔室具有雙嵌入電極設置於中的靜電吸盤(ESC)基板支撐件。
第2A圖是在第1圖中所示的處理腔室中使用的基板支撐組件的一部分的特寫剖視圖。
第2B圖是根據一個實施例的基板支撐組件的俯視剖視圖。
第2C圖是根據另一實施例的基板支撐組件的俯視圖。
第3A圖是根據另一實施例的基板支撐組件的一部分的特寫剖視圖。
第3B圖顯示了第3A圖中所示的偏壓電極的一部分。
第3C圖是根據另一實施例的基板支撐組件的俯視圖。
第4圖是說明根據於此所述的實施例的在電漿輔助處理期間偏壓基板的方法的流程圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種基板支撐組件,包含: 一基板支撐件,包含:一第一介電層,用於支撐一基板,設置在一第二介電層上;一第一電極,設置在該第一介電層和該第二介電層之間,用於經由該第一介電層的一電容而將一脈衝DC電力電容耦合至該基板;及一第二電極,用於藉由在該基板和該第二電極之間提供一電位而將該基板電夾持到該基板支撐件,其中該第二電極與該第一電極電隔離。
  2. 如請求項1所述之基板支撐件,其中該第一電極的一第一表面積與該第二電極的一第二表面積的一比例小於約80比10。
  3. 如請求項1所述之基板支撐件,其中該第一電極和該第二電極具有一交叉結構。
  4. 如請求項1所述之基板支撐件,其中該第一電極的該至少一部分比該第二電極更靠近一基板支撐表面。
  5. 如請求項2所述之基板支撐件,其中該第一介電層設置在該第一電極的至少一部分與該基板支撐表面之間,並具有在約2 μm與約200 μm之間的一厚度。
  6. 如請求項1所述之基板支撐件,其中該第一電極包含:一平面部分;複數個導電特徵,設置在該平面部分和一基板支撐表面之間;及複數個連接器,將該平面部分電耦合到該複數個導電特徵。
  7. 如請求項6所述之基板支撐件,其中該第一介電層包含形成在該第二介電層上方的一介電塗層,且該複數個導電特徵設置在該第二介電層上。
  8. 如請求項7所述之基板支撐件,其中該介電塗層由Al2 O3 、AlN、Y2 O3 或其組合所組成。
  9. 如請求項6所述之基板支撐件,其中該第一介電材料層具有在約5 μm與約200 μm之間的一厚度。
  10. 如請求項7所述之基板支撐件,其中該複數個導電特徵由鈦形成。
  11. 如請求項6所述之基板支撐件,其中該第一介電材料層具有在約100 V/μm及約200 V/μm之間的一擊穿電壓。
  12. 如請求項1所述之基板支撐件,進一步包含熱耦合到該基板支撐件的一冷卻基座。
  13. 一種處理一基板的方法,包含以下步驟: 使一處理氣體流到一處理腔室中;從該處理氣體形成一電漿;藉由在一基板和設置在一基板支撐件中的第一電極之間提供一電位而將該基板電夾持到設置在一處理腔室中的該基板支撐件,該基板支撐件包含一第一介電層和一第二介電層;及經由該第一介電層的一電容而將提供給一第二電極的一脈衝DC電力電容耦合到該基板,其中該第二電極的至少一部分設置在該第一介電層和該第二介電層之間。
  14. 如請求項13所述之方法,其中該第一電極和該第二電極彼此平面地設置,且其中該第一電極的一第一表面積與該第二電極的一第二表面積的一比例大於約80比10。
  15. 如請求項13所述之方法,其中該第二電極包含:一平面部分;複數個導電特徵,設置在該平面部分和一基板支撐表面之間;及複數個連接器,將該平面部分電耦合到該複數個導電特徵。
  16. 一種處理腔室,包含: 一個或多個側壁和一底部,界定一處理容積;及一基板支撐組件,設置在該處理容積中,包含:一冷卻基座,由一導熱材料形成;一基板支撐件,熱耦接到該冷卻基座,該基板支撐件包含一第一介電材料層和一第二介電材料層;一第一電極,設置在該第一介電材料層和該第二介電材料層之間,用於通過電容的第一介電材料層將一脈衝DC電力電容耦合到一基板;及一第二電極,用於藉由在該基板和該第二電極之間提供一電位而將該基板電夾持到該基板支撐件,其中該第二電極與該第一電極電隔離。
  17. 如請求項16所述之處理腔室,其中該第一電極和該第二電極的至少一部分平面地設置。
  18. 如請求項16所述之處理腔室,其中該第一電極的至少一部分比該第二電極更靠近一基板支撐表面。
  19. 如請求項16所述之處理腔室,其中該第一電極包含:一平面部分;複數個導電特徵,設置在該平面部分和一基板支撐表面之間;及複數個連接器,將該平面部分電耦合到該複數個導電特徵。
  20. 如請求項16所述之處理腔室,其中該第一介電材料層設置在該第一電極與一基板支撐表面之間。
TW107125605A 2017-09-20 2018-07-25 基板支撐組件、用於處理基板的方法及處理腔室 TWI736785B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/710,700 US10811296B2 (en) 2017-09-20 2017-09-20 Substrate support with dual embedded electrodes
US15/710,700 2017-09-20

Publications (2)

Publication Number Publication Date
TW201933424A true TW201933424A (zh) 2019-08-16
TWI736785B TWI736785B (zh) 2021-08-21

Family

ID=65720602

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107125605A TWI736785B (zh) 2017-09-20 2018-07-25 基板支撐組件、用於處理基板的方法及處理腔室

Country Status (6)

Country Link
US (1) US10811296B2 (zh)
JP (1) JP6967656B2 (zh)
KR (1) KR102343829B1 (zh)
CN (1) CN110998783B (zh)
TW (1) TWI736785B (zh)
WO (1) WO2019060029A1 (zh)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11532497B2 (en) * 2016-06-07 2022-12-20 Applied Materials, Inc. High power electrostatic chuck design with radio frequency coupling
WO2019143474A1 (en) * 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524782B (zh) * 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
JP7418555B2 (ja) 2019-09-19 2024-01-19 アプライド マテリアルズ インコーポレイテッド ペデスタルヒータを洗浄するためのインシトゥdcプラズマ
US11043387B2 (en) 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
KR20210153003A (ko) 2021-11-29 2021-12-16 김고은 내비형 모빌리티 도난 방지기
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
JPH09252047A (ja) * 1996-03-18 1997-09-22 Hitachi Ltd 静電吸着電極
US5751537A (en) * 1996-05-02 1998-05-12 Applied Materials, Inc. Multielectrode electrostatic chuck with fuses
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6273958B2 (en) * 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6201208B1 (en) 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
AU2001224729A1 (en) 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
EP1211725A4 (en) * 2000-05-10 2003-02-26 Ibiden Co Ltd ELECTROSTATIC CHUCK
US20040066601A1 (en) * 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7601246B2 (en) * 2004-09-29 2009-10-13 Lam Research Corporation Methods of sputtering a protective coating on a semiconductor substrate
US7872292B2 (en) 2006-02-21 2011-01-18 United Microelectronics Corp. Capacitance dielectric layer and capacitor
JP2008041993A (ja) * 2006-08-08 2008-02-21 Shinko Electric Ind Co Ltd 静電チャック
EP1912266A1 (en) 2006-10-10 2008-04-16 STMicroelectronics S.r.l. Method of forming phase change memory devices in a pulsed DC deposition chamber
JP5259618B2 (ja) 2006-12-12 2013-08-07 オーツェー・エリコン・バルザース・アーゲー 高出力インパルス・マグネトロン・スパッタリング(hipims)におけるパルシング及びアーク抑制
US8422193B2 (en) 2006-12-19 2013-04-16 Axcelis Technologies, Inc. Annulus clamping and backside gas cooled electrostatic chuck
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
KR100855002B1 (ko) 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP5018244B2 (ja) 2007-05-30 2012-09-05 住友大阪セメント株式会社 静電チャック
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
KR20090024866A (ko) 2007-09-05 2009-03-10 주식회사 코미코 기판 지지유닛 및 이를 갖는 기판 가공 장치
US8133359B2 (en) 2007-11-16 2012-03-13 Advanced Energy Industries, Inc. Methods and apparatus for sputtering deposition using direct current
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
TWI390582B (zh) * 2008-07-16 2013-03-21 Sumitomo Heavy Industries Plasma processing device and plasma processing method
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US8383001B2 (en) 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
JP5960384B2 (ja) * 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US20120000421A1 (en) 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
US8757603B2 (en) * 2010-10-22 2014-06-24 Applied Materials, Inc. Low force substrate lift
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8941969B2 (en) * 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
WO2014159144A1 (en) 2013-03-13 2014-10-02 Applied Materials, Inc Uv-assisted reactive ion etch for copper
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140263182A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Dc pulse etcher
KR101905158B1 (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
JP6024921B2 (ja) * 2013-11-01 2016-11-16 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US9853579B2 (en) * 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring

Also Published As

Publication number Publication date
JP6967656B2 (ja) 2021-11-17
KR20200030642A (ko) 2020-03-20
US20190088519A1 (en) 2019-03-21
WO2019060029A1 (en) 2019-03-28
CN110998783B (zh) 2022-11-22
US10811296B2 (en) 2020-10-20
TWI736785B (zh) 2021-08-21
KR102343829B1 (ko) 2021-12-24
JP2020534667A (ja) 2020-11-26
CN110998783A (zh) 2020-04-10

Similar Documents

Publication Publication Date Title
TWI736785B (zh) 基板支撐組件、用於處理基板的方法及處理腔室
US10937678B2 (en) Substrate support with multiple embedded electrodes
TWI801409B (zh) 用於將電壓耦接到基板的部份的系統
US10904996B2 (en) Substrate support with electrically floating power supply
US20190088518A1 (en) Substrate support with cooled and conducting pins
JP6423706B2 (ja) プラズマ処理装置
TW201916091A (zh) 以可變電壓將電壓耦合至晶圓的空間分段部分的系統
JPWO2013046640A1 (ja) プラズマ処理装置及びプラズマ処理方法