JP6407271B2 - 格子転位を除去するための急速熱処理によるヘテロエピタキシャル層の形成方法および材料処理装置 - Google Patents

格子転位を除去するための急速熱処理によるヘテロエピタキシャル層の形成方法および材料処理装置 Download PDF

Info

Publication number
JP6407271B2
JP6407271B2 JP2016523884A JP2016523884A JP6407271B2 JP 6407271 B2 JP6407271 B2 JP 6407271B2 JP 2016523884 A JP2016523884 A JP 2016523884A JP 2016523884 A JP2016523884 A JP 2016523884A JP 6407271 B2 JP6407271 B2 JP 6407271B2
Authority
JP
Japan
Prior art keywords
temperature
substrate
layer
deposition
laser
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2016523884A
Other languages
English (en)
Other versions
JP2016530708A (ja
Inventor
エム ハウリルーク アンドリュー
エム ハウリルーク アンドリュー
スンダラム ガネシュ
スンダラム ガネシュ
バティア リットウィック
バティア リットウィック
Original Assignee
ウルトラテック インク
ウルトラテック インク
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ウルトラテック インク, ウルトラテック インク filed Critical ウルトラテック インク
Publication of JP2016530708A publication Critical patent/JP2016530708A/ja
Application granted granted Critical
Publication of JP6407271B2 publication Critical patent/JP6407271B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • B23K26/034Observing the temperature of the workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/073Shaping the laser spot
    • B23K26/0738Shaping the laser spot into a linear shape
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/12Working by laser beam, e.g. welding, cutting or boring in a special atmosphere, e.g. in an enclosure
    • B23K26/122Working by laser beam, e.g. welding, cutting or boring in a special atmosphere, e.g. in an enclosure in a liquid, e.g. underwater
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/12Working by laser beam, e.g. welding, cutting or boring in a special atmosphere, e.g. in an enclosure
    • B23K26/1224Working by laser beam, e.g. welding, cutting or boring in a special atmosphere, e.g. in an enclosure in vacuum
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02433Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02694Controlling the interface between substrate and epitaxial layer, e.g. by ion implantation followed by annealing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • H01L21/3245Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering of AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26
    • B23K2103/56Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26 semiconducting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Optics & Photonics (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Toxicology (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Recrystallisation Techniques (AREA)

Description

1 関連米国特許出願の相互参照
本出願は、米国特許法第119条(e)の下で、全体としてかつ全ての目的で引用することにより本明細書の一部をなす、2013年7月2日に出願された米国仮特許出願第61/842207号(整理番号第3521.337号)に対する優先権を主張する。
本出願はまた、米国特許法第119条(e)の下で、全体としてかつ全ての目的で引用することにより本明細書の一部をなす、2013年9月23日に出願された米国仮特許出願第61/881369号(整理番号第3521.388号)に対する優先権を主張する。
2 著作権表示
本特許文献の開示の一部には、著作権保護の対象である題材が含まれている場合がある。著作権所有者は、米国特許商標庁の特許ファイル又は記録に記載されている本特許文献又は特許開示の何人による複製にも異論はないが、それ以外の場合は全ていかなる著作権も留保する。以下の表示は、本文書に適用されるものとする。Copy right 2013 Ultratech Inc.
3 発明の背景
3.1 発明の分野
本明細書における例示的な実例となる技術は、熱材料処理及びソリッドステートデバイス製造に関する。より詳細には、本明細書における技術は、原子層堆積(ALD)プロセス及びそれに続く急速熱アニーリングステップを用いる、単結晶基板又はウェハの上での半導体材料のヘテロエピタキシャル及びエピタキシャル成長の改善された方法に関する。特に、III/V族窒化物膜が、ALD反応チャンバー内で単結晶シリコンウェハの上で成長し、急速熱アニーリングを用いて、転位を除去し内部応力を低減させるように堆積膜が再構成される。
3.2 関連技術
GaNは、発光ダイオード(LED)及び青色レーザーにおいて青色光又は青紫光を放出するために使用可能な重要な半導体材料である。単結晶シリコンウェハ基板の上に単結晶GaN層を成長させることが非常に望ましいが、従来のヘテロエピタキシャルGaNデバイスは、一部には、GaNの結晶格子面間隔に比較してシリコンの結晶格子面間隔の間の不整合を低減させるため、さらにGaNに比較してシリコンの熱膨張係数(TCE)の間の不整合に起因して、サファイア基板上にGaN層を成長させることによって構成される。
一般に、単結晶サファイア基板は、単結晶シリコン基板より著しくコストがかかり、それは一部にはシリコンの方が原材料のコストが低いためであるが、シリコン基板製造の方がより広く知られかつ実施されているためでもある。不都合なことに、従来の知識では、GaNデバイス及びAlNデバイスを製造するとき、単結晶シリコンは単結晶サファイアほど適していないということと、より一般的には、III−V族化合物(例えば、ホウ素、アルミニウム、ガリウム、インジウム及びタリウムを含む)及びII−VI族化合物(例えば、カドミウム及び亜鉛を含む)及びIII−N族化合物のうちのいずれかのヘテロエピタキシャル成長に対して、シリコンは最適な基板選択ではないということとが維持さ
れ続けている。代りに、サファイア基板は依然として広く使用されている。
したがって、本技術分野では、少なくとも、シリコンウェハベースのデバイスを使用することによってもたらされる、利用可能な削減された材料及び処理コストを利用するように、シリコン基板の上でのIII−V族化合物(例えば、ホウ素、アルミニウム、ガリウム、インジウム及びタリウムを含む)及び/又はII−VI族化合物(例えば、カドミウム及び亜鉛を含む)及びIII−N族のヘテロエピタキシャル成長に適している製造技法を開発することが必要とされている。
サファイア基板は、優れた安定性、低い反応性及び半導体デバイス処理の厳密さに耐える能力を提供するが、そのコスト、その誘電特性及びその大きいバンドギャップにより、裏面電気接点を備えるデバイスを製造する可能性が妨げられ、代替的な基板材料を探し求めることに新たに関心がもたれることとなり、シリコンが最も望ましい。
3.3 結晶格子面間隔の不整合
ヘテロエピタキシャル成長は、一般に、異なる材料の結晶格子構造又は格子面間隔が適度に整合する場合によりうまくいく。これが言えるのは、堆積層又は活性層の結晶格子面間隔がヘテロエピタキシャル境界の近くの基板層の格子面間隔と整合しようとするためであり、この作用により、一般に、少なくともヘテロエピタキシャル境界に近接して形成された堆積層が実質的に非結晶であるか又はよくても多結晶であるほど、堆積層の自然な格子面間隔の形成が乱される。1つのシナリオでは、堆積層成長が核を生成し、単結晶群が独立してただし異なる結晶格子方位で形成され、独立した単結晶形成の間の境界に転位が形成される。転位は、単結晶成長を更に阻害し、欠陥のある単結晶構造又は多結晶構造をもたらす。実際には、単結晶構造の欠陥により、特に急速熱サイクル中に堆積層に熱的応力がかけられたときに、堆積層に亀裂がもたらされる可能性がある。この問題に対する従来の解決法は、転位を回避し単結晶成長を促進するようにシリコン基板の上でシリコン堆積層を成長させること等により、ヘテロエピタキシャルデバイスを回避することであった。しかしながら、シリコンデバイスは、多くの用途において、特にパワースイッチ及び整流器等のパワーデバイスにおいて、所望の電気特性を提供しなかった。同様に、シリコンデバイスは、光学デバイスに対して所望の光学特性を提供しなかった。両方の場合において、堆積層又は活性層として、窒化ガリウム(GaN)等のバンドギャップがより大きい材料が望ましく、好ましくは、GaNはシリコン基板の上に最も経済的に形成される。
単結晶サファイアは、アルファアルミニウム、アルミナとしても知られるコランダム(Al)の単結晶形態である。サファイアの結晶構造は、六方晶系、偏菱形族3mであり、それにより、単結晶サファイアは、単結晶又は略単結晶III−V族化合物(例えば、ホウ素、アルミニウム、ガリウム、インジウム及びタリウムを含む)の成長に対する基板としてシリコンより適合性があるものとなる。さらに、II−VI族化合物(例えば、カドミウム及び亜鉛を含む)及びIII−N族は、II−V族化合物に対して同様の結晶構造を有し、それにより、単結晶サファイア基板は、これらの化合物のヘテロエピタキシャル成長に対して単結晶シリコン基板より適合性がある。特に、シリコン及びGaNは結晶格子不整合が16.9%である一方、サファイア及びGaNは結晶格子不整合が13.62%であって、サファイアに対してわずかに有利である。
均一な電気特性及び/又は光学特性を提供するために、活性堆積層において単結晶形成(長距離秩序)が非常に望ましい。特に、これは、層体積を通して実質的に均一な結晶格子方位を形成することを意味し、活性堆積層の結晶格子方位が均一であるほど、最終的な半導体デバイスの電気特性及び光学特性が優れたものとなる可能性が高い。窒化ガリウム活性層を含むレーザーデバイス及びレーザーダイオードデバイスの場合、結晶方位が優れていることにより、デバイス出力における発光強度が増大し、出力放射のスペクトル帯域
幅が狭くなり、実質的にすべてのスペクトル出力がデバイスの一次スペクトル応答にある。
3.4 熱サイクル及び熱膨張係数の不整合
単結晶ヘテロエピタキシャル層は、GaNの場合、少なくとも550℃であると報告されているエピタキシャル成長温度Tgでしか形成することができないと、広く認められている。例えば、非特許文献1を参照されたい。従来の有機金属化学気相成長(MOCVD)プロセスを使用して実質的単結晶サファイア基板の上にGaN層を形成することによって、半導体レーザー及びLEDを製造することが知られている。特に、堆積温度が900℃から1100℃の範囲であるときに最高品質の従来のGaNデバイスが製造されることが一般に認められている。しかしながら、最高品質デバイスであっても、ヘテロエピタキシャル境界において、結晶格子面間隔の不整合によってもたらされる幾つかの結晶格子欠陥は不可避である。
さらに、サファイア基板のTCEをGaNと整合させる努力がなされても、従来のMOCVD反応器において必要な900℃から1100℃の高い温度範囲では、TCEが整合しない材料による熱サイクルからもたらされる過剰なウェハの反り及び亀裂を回避するために、厳密な熱応力管理が必要である。通常、ウェハの反りは、ウェハが大量生産に対する従来のウェハハンドリング及び処理器具で更に処理されるために、100μm未満に制限されている。ウェハの反りは、MOCVD膜に「応力補償層」を形成することによって対処されてきたが、これらの応力補償層によって、デバイス層の性能が低下しコストが加算される。
3.5 窒化アルミニウム(AlN)遷移層の使用
近年、Pan他(非特許文献2)は、Si(111)基板の上にデバイス品質GaNを成長させる試みを報告している。この例では、Si(111)基板の上にAlN核生成層が形成され、次いで混合AlN/GaN遷移層が形成され、最後に活性GaN層が形成されることによって形成されるサンドイッチ構造は全て、GaNとシリコンとの間の結晶格子面間隔の不整合が大きく(16.9%)かつGaNの熱膨張係数(CTE)(α5.59×10−6−1)とSiのCTE(α3.77×10−6−1)との間の不整合が大きいことによる望ましくない亀裂を低減させようとして、1060℃で有機金属化学気相成長(MOCVD)によって形成された。サンドイッチ構造は表面の亀裂を軽減させることが望まれていたが、結果は期待外れであった。Pan他は、GaNエピタキシャル層はSi基板上で均一に成長したと報告しているが、Pan他が報告しているランダムに分散された亀裂がもたらされた活性層は、大部分がCTEの不整合によってもたらされる。
3.6 ヘテロエピタキシャル境界を横切る拡散
高温(約800℃を超える)での基板上の成長膜の1つの欠点は、層境界で発生する可能性がある拡散に関連する。特に、基板窒化は、高堆積温度で発生する可能性がある。さらに、極度の熱勾配及び熱サイクル範囲により、膜及び基板に幾分かの亀裂がもたらされる可能性がある。1つの特定の例では、900℃から1100℃の従来のMOCVD温度では、窒化ガリウム層が成長するとき高濃度の窒素空孔がある。窒素空孔により、デバイスにおけるバックグラウンドキャリア濃度が高くなり、したがって電気特性及び電気光学特性が劣化する。
サファイアに対してMOCVDプロセスを依然として使用しながらより低温でGaN膜を成長させる試みがなされてきたが、500℃で成長した膜は800℃(基準)で成長した膜よりフォトルミネッセンスが1000倍弱い。高反応温度MOCVD処理の別の欠点は、インジウム並びに他の幾つかのIII−V族化合物及びII−VI族化合物が800℃を超える使用を妨げる熱安定性を有し、したがって任意の基板の上に幾つかのIII−
V族化合物及びII−VI族化合物を堆積させるために高反応温度MOCVD処理を使用することができる範囲を限定する、ということである。したがって、本技術分野において、より低温の堆積技法を開発する必要がある。
実験者は、単結晶シリコン基板の上にGaNを堆積させるように試み、幾分か成功している。しかしながら、形成された膜は、概して、シリコン基板が単結晶である場合であっても、混合した結晶格子方位を含む(すなわち、それらは単結晶ではない)。これは、一部には、シリコンと窒化ガリウムとの間の結晶格子構造の不整合による。不都合なことに、結果として得られるLED及びレーザーデバイスは、単結晶サファイアの上に単結晶格子方位で製造された従来のデバイスに対して競争力はない。
3.7 原子層堆積を用いるヘテロエピタキシャル層の成長
より低い堆積温度、例えば80℃〜550℃で材料層を堆積させることができる原子層堆積(ALD)システムが入手可能であり、ALDプロセスによってシリコン基板の上にGaN及びAlNの両方を堆積させるのに適した技法及び前駆体は既知であり、以下に列挙する参照文献において開示されている。
Kim及び共同研究者は、非特許文献3において、500℃〜700℃の温度域でALDによりSi(100)基板の上でGaNを成長させた。ハロゲン化前駆体GaCl及びGaClは、シリコン基板上の共反応物としてNHとともに使用された。GaCl前駆体の露出時間は、2秒間〜7秒間の範囲にわたって変更された。結果は、GaNの混合した結晶学的方位、例えば(0002)方位GaN及び(1011)方位GaNの混合物と、膜における高いCl含有量とを示し、それはデバイス用途に対して不都合である。
図4Aのプロット(410)及び(420)に示すX線回折(XRD)の結果は、それぞれ25秒間の露出時間及び7秒間の露出時間、550℃〜650℃でALDを介して成長した膜からのものである。より長い露出時間で形成されたプロット(410)と比較して、より短い露出時間で形成されたプロット420における、混合された結晶方位及び弱い結晶度に留意されたい。比較により、図4BにおけるXRD結果は、高温MOCVD成長GaN(900℃〜1100℃で成長)からである。大部分GaN(0002)結晶構造を示す、900℃〜1100℃で成長したMOCVD膜の明確な単結晶性に留意されたい。
Ozgit及び共同研究者(非特許文献4)は、トリメチルガリウム(TMG)及びトリエチルガリウム(TEG)並びにアンモニア(NH)の前駆体を使用してTMGには250℃〜350℃、TEGには150℃〜350℃の温度域で、プラズマ(plasma-enhanced)原子層堆積によってSi(100)基板の上にGaNを成長させた。結晶度測定は行われていない。Ozgit他は、膜が、酸素含有量が19.5%から22.5%である線形成長挙動を示したことを報告している。
従来、MOCVDを介して成長するか又はデバイス品質膜を生成するようにスパッタリングされているAlNもまた、ALDを介して試みられてきたが、非常にわずかな程度である。
TMA及びNHを前駆体及び共反応物として使用するAlevli及び共同研究者からの結果(非特許文献5)は、前駆体の熱分解に起因して高温成長が実現可能ではなく、これにより、高温であるほど粗い膜になることを示している。結晶度は低温(100℃〜200℃)で主張されているが、いかなる結果も提示されていない。
ALDを介するAlNの成長に関する他の結果は、Liu及び共同研究者により(非特許
文献6)、最大400℃のプロセス温度であっても混合された結晶結果をもたらすように示されているが、温度が上昇するに従い、(101)方位は漸進的に弱くなり、所望の(002)方位が強化される(本件の図5を参照)。
Trivedi他著、「Low-temperature GaN growth on silicon substrates by single gas-source epitaxy and photo-excitation」Appl. Phys. Lett. 87, 072107 (2005) Pan他著、「Growth of GaN film on Si(111) Substrate using a AlN sandwich structure as buffer」Joun. Of Crystal Growth 318 (2011) 464-467 Kim他著、「Atomic layer deposition of GaN using GaCl3 and NH3」(J. Vac. Sci. Technol. A 27, 4, Jul/Aug 2009) Ozgit他著、Proceedings of the E-MRS Fall Meeting, Symposium H: Warsaw, Poland, September 19_23, 2011 Alevli他著、「The Influence of Growth Temperature on the Properties of AlN Films Grown by ALD」 (Proceedings of the E-MRS Fall Meeting, Symposium H: Warsaw, Poland, September 19-23, 2011) Liu他著、ECS Transactions, 2011
本技術分野において、シリコン基板の上にGaNを堆積させる必要がある。Siの上にGaNデバイスを成長させることにより、これらのデバイスのコストが大幅に削減される可能性がある。しかしながら、Siの格子構造は、転位が大量でありかつGaNの単結晶層が形成されないように、GaNの格子構造と十分に異なる。露出した表面の格子定数がGaNの格子定数により類似するように切断されるSiウェハ上に堆積させることにより、この問題を回避する多くの試みがなされてきた。Siの上にAlNバッファ層を堆積させ、その後、AlN層の上にGaN層を成長させることにより、他の試みがなされてきた。AlNは、バッファ層として作用し、GaNとSiとの間の格子定数が異なることによってもたらされるGaN膜の応力を緩和する。しかしながら、重大な問題は、堆積プロセスのすべてが高温にあるということである。窒化アルミニウム(AlN)及びGaNの熱膨張係数はSiと異なり、熱膨張係数(TCE)の不整合により膜堆積プロセス中に成長欠陥がもたらされる。
室温で若しくはその近くの温度でSi基板の上で直接GaNを成長させるか、又はSiの上にAlNバッファ層を成長させた後、AlNの上部に低温で、例えば350℃以下でGaN活性層を成長させることができることが望ましい。
4 図面の簡単な説明
本発明の特徴は、例示の目的で選択されかつ添付図面に示されている本発明の詳細な説明及びその実施形態例から最もよく理解されるであろう。
シリコン基板の上に酸化アルミニウムを堆積させる第1のALDプロセス反応の例示的な概略図である。 シリコン基板の上に酸化アルミニウムを堆積させる第2のALDプロセス反応の例示的な概略図である。 本発明によるデバイス製造に適したウェハ構造の例示的な概略図である。 異なるGaCl露光時間で熱ALDプロセスを用いてシリコン基板の上に堆積した2つの異なるGaN膜層について結晶格子特性を示すXRDプロットである。 900℃を超える堆積温度でMOCVDプロセスを用いて基板上に堆積したGaN層について結晶格子特性を示すXRDプロットである。 3つの異なる反応温度で熱ALDプロセスを用いてシリコン基板上に堆積した3つの異なるAlN膜層について結晶格子特性を示すXRDプロットである。 本発明によるレーザーアニーリングモジュールを含むALDシステムの概略表現を示す図である。 本発明によるin situレーザーアニーリングのためのレーザーアニーリングモジュールの概略図である。 本発明によるビーム方向付けモジュールの概略図である。 本発明による特定のレーザーアニーリングビーム及び滞留時間について、温度対時間のプロットを示す図である。 本発明による特定のレーザーアニーリングビーム及び滞留時間に関連する温度勾配を示すサーモグラフである。
5 本発明の幾つかの実施形態の説明
別段示さない限り、全体を通して以下の項目番号を使用する。
5.1 例示的なシステムアーキテクチャ
本発明の1つの限定しない例示的な実施形態によれば、原子層堆積(ALD)を用いて、完成した半導体電子デバイス及び電気光学デバイスの最終的な製造に対して適した方法での基板の上のエピタキシャル活性層の製造に関連するプロセスで、平面基板面及び非平面基板面の上に薄膜を堆積させる。本明細書に記載するALD膜堆積は、好ましくは、相対的に高温での活性層堆積により、例えば、900℃〜1100℃でMOCVDによって活性層膜が施される場合に通常問題である、活性層膜亀裂、ウェハの反り、及び活性層と基板との間の境界を横切る拡散等の熱サイクル欠陥を回避するために、80℃〜350℃
の範囲の反応温度で行われる。
1つの限定しない実施形態例では、実質的単結晶半導体を備えた基板が、ALD反応チャンバー内に配置され、所望のALD反応温度まで加熱される。さらに、膜堆積プロセス中に反応チャンバー内部ガス圧が1mTorr(ミリトル)〜500mTorrの範囲であるように、反応チャンバーからガスが除去される。ALD反応温度を80℃〜350℃の好ましい範囲に限定することが望ましいにも関らず、本発明から逸脱することなく、80℃〜800℃の範囲のALD反応温度を使用することができる。
本発明の1つの特定の限定しない応用では、80℃〜650℃の範囲の反応温度で、好ましくは80℃〜350℃の範囲の反応温度で、Si(100)及びSi(111)のミラー指数方位で単結晶シリコン基板の上にIII−V族化合物、II−VI族化合物及びIII−N族化合物のヘテロエピタキシャル成長のために、ALDが使用される。
本発明の更なる限定しない実施形態例では、単シリコン基板、特にSi(111)又はSi(100)のミラー指数方位を有する実質的単結晶シリコン基板の上に、1つ又は複数の異なるIII−V族化合物、II−VI族化合物及びIII−N族化合物から選択された複数の異なる材料層を堆積させるために、ALDが使用され、そこでは、ALD反応チャンバー環境は、膜堆積プロセス全体の間に800℃未満、好ましくは350℃未満で維持される。1つの特に有用な例では、膜堆積プロセス全体の間にALD反応チャンバー環境を800℃未満、好ましくは350℃未満で維持しながら、シリコン基板の上にAlNのバッファ層を成長させ、その後、AlNバッファ層の上部にGaN活性層を成長させるために、ALDが使用される。
本発明の更なる限定しない実施形態例では、各ALD膜層は急速熱アニーリングプロセスによってアニールされ、そこでは、アニーリングステップは、少なくとも堆積層の温度を、堆積膜の何らかの結晶格子再構成を可能にする温度まで短時間で上昇させる。特に、好ましいアニールステップにより、例えば、X線回折(XRD)分析によって求められるように、堆積層が単結晶格子方位を有する単結晶格子構造のより特徴的な格子結晶構造を示すように、堆積した材料が再構成される。
特に、本発明の1つの限定しない例示的な実施形態は、実質的単結晶シリコン基板、例えばウェハ上に、ALDにより、低い反応温度、例えば800℃未満、好ましくは350℃未満の温度で堆積するバッファ層として窒化アルミニウム(AlN)膜層を成長させること、及び、その後、AlN膜の改善された結晶格子構造が、X線回折(XRD)分析によって求められるように単結晶格子構造のより特徴的である格子構造を示す程度まで、結晶格子構造に改善をもたらすように、AlNバッファ層を熱アニールすることに関する。
第2の堆積ステップでは、窒化ガリウム(GaN)活性膜層が、ALDにより、低い反応温度、例えば800℃未満、好ましくは350℃未満の温度で、AlNバッファ層の上に堆積し、その後、GaN膜の改善された結晶格子構造が、X線回折(XRD)分析によって求められるように単結晶格子構造のより特徴的である格子構造を示す程度まで、GaN活性膜層の結晶格子構造に改善をもたらすように、GaN活性膜層を熱アニールする。
特定の別の限定しない実施形態例では、本発明は、実質的単結晶シリコン基板、例えばウェハの上に、バッファ層として窒化アルミニウム(AlN)膜層を成長させることに関し、そこでは、バッファ層は、ALDにより、低い反応温度、例えば800℃未満、好ましくは350℃未満の温度で堆積する。
第2の堆積ステップでは、窒化ガリウム(GaN)膜層が、ALDにより、低い反応温
度、例えば800℃未満、好ましくは350℃未満の温度でAlNバッファ層の上に堆積し、その後、GaN膜の改善された結晶格子構造が、X線回折(XRD)分析によって求められるように単結晶格子構造のより特徴的である格子構造を示す程度まで、GaN膜の結晶格子構造に改善をもたらすように、結合されたAlN層及びGaN層が熱アニールされる。
5.1.1 原子層堆積によるシリコン基板上での酸化アルミニウム層の堆積
低反応温度の熱ALD堆積サイクル例について後述する。本発明から逸脱することなく、プラズマアシストALD堆積サイクルも使用可能である。実施例1は、シリコン基板の上に酸化アルミニウム(Al)の単層を堆積させる化学反応及びプロセスステップを実証する。実際には、ALD膜成長又は堆積は、シリコン基板の上に10〜200の単層を施して、膜堆積層のその所望の機能を行うために必要であるような所望の厚さを構築することを含む。
後述する熱ALDプロセス例は、シリコン基板の上に酸化アルミニウム層の単一の単層を堆積させることに関するが、記載するプロセスは、典型的な熱ALD堆積又は膜成長プロセスであり、それを用いて、実質的に、記載するように、前駆体の一方又は両方を変更することによって、窒化アルミニウム及び窒化ガリウム等、酸化アルミニウム以外の膜を成長させることができる。同様に多くの例では、後述する熱ALDプロセスによって成長させることができる膜は、プラズマALD(PEALD)プロセスを用いて成長させることができ、そこでは、第2の前駆体の代りにプラズマ生成ラジカルが用いられる。
酸化アルミニウムは、後述する熱ALDプロセスを用いて80℃〜250℃の間の反応温度で成長し、(TMA)又は(AlMeとも略されるトリメチルアルミニウムAl(CHが、シリコン基板の表面との第1の反応で使用される第1の前駆体として導入され、水(HO)が、シリコン基板の表面との第2の反応で使用される第2の前駆体として導入される。反応は、以下のようにSi表面上における成長に対するものである。
図1は、基板の外面に存在する吸着ヒドロキシル(OH)基(120)を有するSi基板(110)の表面で発生する第1の反応(100)を示し、そこでは、ヒドロキシル(OH)基は、水素(OH)への共有結合によって水素原子に結合された酸素原子を有する様々な化学的官能基を含む。
−第1のステップにおいて、シリコン基板は反応チャンバー内に配置され、チャンバー圧力は、1mTorr〜500mTorrの範囲の圧力まで低下する。真空ポンプが、反応チャンバー内で所望の反応圧力を確立しかつそれを維持するように動作する。反応チャンバー、基板及び前駆体は、所望の反応温度、例えば80℃〜250℃まで加熱される。概して、コーティングサイクル全体の間に、反応チャンバー内に不活性ガス、例えば窒素、アルゴン等の連続流が導入され、反応チャンバー内に不活性環境が提供される。代替的に又はさらに、不活性ガスは、前駆体のパルスを反応チャンバー内に搬送するキャリアガスとして作用する。
−第2のステップにおいて、第1の前駆体(130)、例えばTMA分子を含有する蒸気が、好ましくはシリコン基板の露出面と反応するのに十分なだけのTMAを含む単一パルスとして、反応チャンバー内に導入される。TMA(130)は、全ての利用可能なサイトが占有されるまでシリコン基板(110)の表面でOH基(120)と反応し、その後反応は停止する。利用可能なOH基のすべてがTMA分子と結合すると、シリコン表面が飽和し、反応が停止するため、この反応は自己制限的であると言われる。第1の反応の後、シリコン基板表面は、後述する第2の反応のための反応サイトを提供する懸垂(dangling)メタン基(140)を提示する。
−第3のステップにおいて、反応チャンバーは、メタンを含む反応副生成物(150)を
除去し、過剰な未反応TMA(160)を除去するように、不活性ガスでパージされる。パージは、真空ポンプが、少なくとも反応チャンバー及び反応チャンバーに通じる任意の導管の容積に等しいガス体積を除去するまで続く。任意に、流出物から未反応の反応前駆体を閉じ込めるか又は除去するために、反応チャンバーと真空ポンプとの間にトラップが配置される。
ここで図2を参照すると、シリコン基板(110)は、第2の反応のためのその初期状態にあるように示されており、そこでは、TMA分子(130)がヒドロキシル基(120)に結合され、第1の反応によって提供されるようにシリコン基板の表面上に懸垂メタン基(140)が配置されている。基板表面と第2の前駆体との間で、以下のように第2の反応(200)が発生する。
−第4のステップにおいて、第2の前駆体、例えばHO分子(図示せず)を含有する蒸気が、反応チャンバー内に、好ましくは、シリコン基板の露出面と反応するのに十分なだけの水を含む単一パルスとして導入される。HOは、懸垂メチル基(140)と反応して、(Al)(170)を含むAl−Oブリッジを形成し、第1の反応において上述したようにTMAと反応するのに好適な新たなヒドロキシル(OH)表面基(180)を更に形成する。
−第5のステップにおいて、反応チャンバーは、メタンを含む反応副生成物(190)を除去し、過剰な未反応の第2の前駆体HO(図示せず)を除去するために、不活性ガスでパージされる。パージは、真空ポンプが、少なくとも反応チャンバー及び反応チャンバーに通じる任意の導管の容積に等しいガス体積を除去するまで続く。未反応HOは、任意選択のトラップに通すことができる。第2の反応の後、酸化アルミニウム(Al)の単一の単層がシリコン基板の表面に残り、その単層は、第1の単層が第1の単層の上に別の単層を成長させるために上記ステップ1〜5を繰り返すようにすでに調整されているように、TAMと反応にするのに適した水酸化物基を提示する。
上で詳述した酸化アルミニウム単層形成のためのサイクル毎の第1の単層の層厚さ又は成長速度は、およそ1.0Åである。大部分のALD堆積プロセスでは、シリコン基板は反応チャンバー内に残され、シリコン基板の上に所望の厚さの酸化アルミニウムを成長させるために必要である可能性があるほどの回数、上述した堆積サイクルを繰り返すことにより、追加の単層が追加される。典型的な用途では、約10Å〜200Åの範囲である層厚さは、10回〜200回のコーティングサイクルを用いて施される。
酸化アルミニウム単層を堆積させる上述したプロセスは、本発明の特定の態様ではないが、上述したALDプロセスは、第1の前駆体及び第2の前駆体が異なり、最終的な単層が酸化アルミニウム(Al)を含む代りに窒化ガリウム(GaN)を含むことを除き、本発明のALDプロセスと実質的に同一である。
したがって、本発明の更なる限定しない実施形態例によれば、上述した又は同様の熱ALD堆積シーケンスを行うことにより、単結晶シリコン基板の上に窒化ガリウムGaN単層が成長又は堆積し、そこでは、GANを形成する第1の前駆体は、トリメチルガリウム(TMG)、トリエチルガリウム(TEG)若しくは他の任意の金属−有機Ga含有化合物又は三塩化ガリウム(GaCl)若しくは他の任意のGa含有ハロゲン化前駆体であり、第2の前駆体は、アンモニア(NH)、ヒドラジン(N)、N、N−H比混合物、単原子窒素若しくは単原子水素、若しくは単原子窒素及び単原子水素の任意の組合せ、又は他の任意の窒素若しくは水素含有共反応物である。さらに、プラズマ原子層堆積(PEALD)プロセスを用いて、シリコンの上に窒化ガリウムGaN単層を成長させることができ、第2の前駆体はプラズマラジカルを含む。
特に、第1のステップでは、シリコン基板は反応チャンバー内に配置され、反応チャン
バー内で所望の反応圧力を確立し維持するように連続的に動作する真空ポンプにより、チャンバー圧力は1mTorr〜500mTorrの範囲の圧力まで低下する。当業者には理解されるように、反応チャンバー内部のガス圧は、ALDサイクル中に変化し、未処理前駆体及び反応副生成物を洗い流すために不活性ガスが反応チャンバー内に導入されるパージサイクル中に、最高ガス圧が発生し、圧力はまた、前駆体パルス又はプラズマガスが反応チャンバー内に導入されるパルスサイクル中に増大する。そうでなければ、真空ポンプは、反応チャンバーからガスを除去するように動作し、それにより、パージサイクルとパルスサイクルとの間で反応チャンバー圧力が低下する。
反応チャンバー、基板及び前駆体は、所望の反応温度、例えば80℃〜350℃まで加熱される。より詳細には、反応チャンバー、基板及び前駆体は、始動時、例えば、基板が反応チャンバー内に配置されたときに一度、所望の反応温度まで加熱され、例えば所望の堆積層厚さが達成されるまで、例えば10回から200回の単層コーティングサイクルにわたって、堆積サイクル全体の間に実質的に一定の反応温度で維持される。したがって、基板及び堆積層は決して、ALD反応チャンバー又はその構成要素のうちの任意のものを加熱する結果として、所望の反応温度を超えて加熱されない。好ましくは、コーティングサイクル全体の間に、不活性ガス、例えば窒素又はアルゴン等の連続流が反応チャンバー内に導入され、反応チャンバー内に不活性環境が提供される。代替的に又はさらに、不活性ガスは、前駆体のパルスを反応チャンバー内に搬送するキャリアガスとして作用する。
第2のステップでは、第1の前駆体、例えばトリメチルガリウム(TMG)分子又はトリエチルガリウム(TEG)分子を含有する蒸気が、好ましくは、シリコン基板の露出面と反応するのに十分なだけの第1の前駆体を含む単一パルスとして、反応チャンバー内に導入される。前駆体用量は、使用者可変のパルス持続時間に従って開閉するパルス弁のパルス持続時間を変更することによって変更される。室温で非常に高い蒸気圧を有するTMGの場合、10ミリ秒〜500ミリ秒の範囲のパルス弁持続時間は、シリコン基板の完全飽和を提供する。基板表面に対する前駆体露出時間は、反応チャンバー内に第1の前駆体パルスを注入することと反応チャンバーから第1の前駆体パルスを洗い流すように反応チャンバーのパージを開始することとの間の秒単位の時間を変化させることにより、使用者可変である。高い蒸気圧を有するTEGの場合、少なくとも2秒間の反応チャンバー内のTEGの露出時間により、約150℃の反応温度で実質的に飽和した堆積が可能である。この例では、TEGは、すべての利用可能なサイトが占有されるまでシリコン基板の表面と反応し、その後、反応は停止する。第1の反応の後、シリコン基板表面は、後述する第2の反応に対して懸垂反応サイトを提示する。
第3のステップでは、反応チャンバーは、反応副生成物及び未反応TEGを除去するために、不活性ガスでパージされる。パージは、真空ポンプが、少なくとも反応チャンバー及び反応チャンバーに通じる任意の導管の容積に等しいガス体積を除去するまで続く。任意に、流出物から未反応の反応前駆体を閉じ込めるか又は除去するために、反応チャンバーと真空ポンプとの間にトラップが配置される。
第4のステップでは、第2の前駆体、例えばアンモニア(NH)分子又はヒドラジン(N)分子を含有する蒸気が、例えば、シリコン基板の露出面と反応するのに十分なだけの第2の前駆体を含む単一パルスとして、反応チャンバー内に導入される。前駆体用量は、使用者可変のパルス持続時間に従って開閉するパルス弁のパルス持続時間を変更することによって変更される。アンモニア(NH)の場合、飽和を達成するために、より長いパルス持続時間及び露出時間が使用される。特に、20秒間から150秒間のNHの連続流は、温度及び他の条件に応じて望ましく、150℃で好ましいパルス持続時間は90秒間である。
第5のステップでは、反応チャンバーは、反応副生成物未反応の第2の前駆体NHを除去するために不活性ガスでパージされる。パージは、真空ポンプが、少なくとも反応チャンバー及び反応チャンバーに通じる任意の導管の容積に等しいガス体積を除去するまで続く。未反応NHは、任意のトラップに通すことができる。第2の反応の後、窒化ガリウム(GAN)の単一の単層がシリコン基板の表面に残り、第1の単層が、第1の単層の上に別の単層を成長させるように上記ステップ1〜5を繰り返すようにすでに調整されているように、TEGと反応するのに適した表面基を提示する。
同様に、シリコン上に窒化アルミニウムAlN単層を成長させることは、上述したシーケンスによって行われ、そこでは、第1の前駆体は、トリメチルアルミニウム(TMA)、TDMAA若しくは他の任意の金属−有機Al前駆体又はハロゲン化Al前駆体であり、第2の前駆体は、アンモニア(NH)、(N)、N、N−H比混合物、単原子窒素若しくは単原子水素、若しくは単原子窒素及び単原子水素の任意の組合せ、又は他の任意の窒素若しくは水素含有共反応物である。さらに、PEALDプロセスを用いて、シリコン上に窒化アルミニウムAlN単層を成長させることができる。
ここで図3を参照すると、本発明の一態様による例としての固体ウェハ構造体(300)は、Si111の実質的単結晶シリコンウェハ等のシリコン基板(310)を含む。したがって、シリコンウェハは、結晶構造欠陥がほとんどない、実質的に秩序だった単格子結晶構造を備え、本発明から逸脱することなく使用可能である。
基板は、ALD反応チャンバー内に設置され、所望の反応温度まで加熱され、反応チャンバーは、1mTorr〜500mTorr、例えば250mTorrの圧力まで低下する。第1のALD膜堆積サイクルを用いて、基板の上に第1の層(320)を成長させる。好ましくは、第1の層(320)は、80℃〜350℃の範囲の反応温度で成長する。好ましい実施形態では、第1の層(320)は、厚さが10Å〜2000Åである窒化アルミニウムバッファ層を含む。
固体窒化アルミニウム(AlN)バッファ層及び単結晶シリコン基板は、同様の結晶構造と適度に整合した熱膨張係数とを有するため、窒化アルミニウム(320)のALD適用層は、好ましくは、後述するように、例えば、X線回折(XRD)パターンによって判断することができるように、単結晶特性又は略単結晶特性を示す。バッファ層がSiとのTEM不整合が相対的に小さいAlNを含む特定の場合では、表面亀裂なしにAlNバッファ層を成長させるために、より高い反応温度が使用可能である。特に、バッファ膜(320)は、代替的に、最大約550℃までの範囲の反応温度で堆積し、それは、より低い堆積温度と比較して単結晶成長を促進するのに役立つ。しかしながら、バッファ層に対する好ましい堆積温度は、許容可能なレベルの表面亀裂、許容可能なレベルのSi基板へのAlN拡散及び許容可能なウェハの反りを含む、許容可能な熱欠陥のある略単結晶成長をもたらす。
より大まかには、以下の材料選択基準で他のバッファ材料が使用可能である。すなわち、1)バッファ材料は適切なALD前駆体を有し、2)バッファ材料とSi基板及びGaN活性層の各々との間の結晶格子面間隔の不整合は、適度に小さく、例えば18%未満、好ましくは10%未満であり、3)バッファ層とSi基板及びGaN活性層の各々との間のCTEの不整合は適度に小さく、例えば、バッファ層のCTEは、好ましくは、GaNのCTE(α5.59×10−6−1)とSiのCTE(α3.77×10−6−1)との間である。さらに、Si(100)等の他のSi方位が使用される場合、結晶格子及びCTEの不整合は異なる。より全体的には、バッファ層及び活性層の両方は、実質的に秩序立った結晶格子構造体を形成するように選択され、結晶格子の方位は、バッファ層(320)の表面全体及び活性層(330)の表面全体にわたって実質的に同様であ
る。本例では、シリコン結晶格子構造Si(111)は、面心立方ブラベー格子に従うFd3m空間群を有するダイヤモンド立方晶である。この構造は、六方晶系と同様に閃亜鉛鉱結晶構造を有する固体材料と整合することに幾分か適合性がある。窒化アルミニウムの結晶構造は、ウルツ鉱六方晶格子構造である。したがって、結晶構造のみに基づいて、バッファ層(320)として使用可能な他の材料は、ダイヤモンド立方晶と適合性がある単結晶格子構造を有している。したがって、バッファ層(320)に対する他の候補材料としては、ガリウムヒ素、β炭化ケイ素、アンチモン化インジウム、テルル化カドミウム、硝酸鉛(II)、セレン化カドミウム(CdSe)とともに、F43M(ヘルマン−モーガン記号)空間群及びウルツ鉱群からの候補が挙げられる。
第2のALD膜堆積サイクルを用いて、バッファ層(320)の上に第2の層(330)を成長させる。好ましくは、第2の層(330)は、80℃〜350℃の範囲の反応温度で成長する。好ましい実施形態では、第2の層(330)は、厚さが10Å〜2000Åである窒化ガリウム活性層を含む。
固体窒化ガリウム活性層及び単結晶窒化アルミニウムバッファ層は、同じ結晶格子構造(ウルツ鉱)を有するため、窒化ガリウム活性層(330)は、好ましくは、後述するように、例えば、X線回折(XRD)パターンによって判断することができるように、単結晶特性又は略単結晶特性を示す。いずれの場合も、本発明の窒化ガリウム活性層(330)は、窒化ガリウム活性層(330)がシリコン基板上に直接施されるサンプルに比較して、改善された略単結晶特性を示す。
窒化ガリウムは、発光ダイオード、特に405nmでの青色又は青紫色発光波長を有する発光ダイオードとして使用するのに望ましい特性を有する、重要な2元III/V族直接遷移型半導体である。窒化ガリウムの結晶構造は、窒化アルミニウム層(320)の結晶構造と直接整合するウルツ鉱構造である。本発明によれば、活性層(330)として、ウルツ鉱結晶格子構造を有する他のIII/V群化合物又はII/VI群化合物又はIII/N群化合物を使用することができ、ウルツ鉱結晶格子構造を有する他の化合物を、熱ALD又はプラズマALDによって成長させることができるならば、バッファ層(320)の代りに使用することができる。
ここで、Kim他著、「Atomic layer deposition of GAN using GaCl3 an NH3」J. Vac. Sci. Technol. A 27(4) Jul/Aug 2009から複製された図4A及び図4Bを参照すると、図4Aは、550℃と650℃との間の成長温度でALDを用いてSi(100)基板の上に堆積したGaNの2つのX線回折(XRD)プロットを示す。第1のプロット(420)は、7秒間のGaC3露出時間に関連する。第2のプロット(410)は、25秒間のGaC3露出時間に関連する。
図4Bは、650℃で化学気相成長(CVD)を用いてSi(100)基板の上に堆積したGaNの単一XRDプロットを示す。特に、CVDモードは、全ての反応物が同時に流れて行われる。各プロット(410)、(420)及び(430)において、XRD拡散エネルギー(強度(任意単位))の実質的に全てが本例では(440)に示す約34.5度である単結晶格子方位に中心が置かれる場合に、GaN層の望ましい単結晶格子方位が示される。角度(440)は、結晶格子構造が、ミラー方位指数において(0002)軸方向において主に配向されていることを示す。したがって、3つのプロット(410)、(420)、(430)のうち、650℃でCVDによってSi(100)の上に堆積したGaNに関連するプロット(430)は、XRD拡散エネルギーの略全てが、ミラー方位指数において(0002)軸方向に中心が置かれた単結晶格子方位に関連するため、最も望ましい結晶格子特性を示す。プロット(410)及び(420)に関して、各プロットは、所望の単結晶格子方位(440)で又はその近くで主なエネルギーピークを有す
るが、(450)に示すように約36.5度でも第2のエネルギーピークを含む。第2のエネルギーピークは、結晶格子の幾分かが、ミラー方位指数において異なる方位、特に1011軸方向で形成されたことを示す。
少なくとも望ましい結晶格子構造を示す図4Aに示すプロット(420)は、窒化ガリウム層が熱ALDプロセスによってシリコン基板の上に直接形成されたサンプルに基づいて生成された。特に、プロット(420)は、第1の前駆体としての塩化ガリウム(GaCl)の使用に関し、そこでは、シリコン基板は、各単層サイクル中に7秒間塩化ガリウムに露出された。
プロット(420)と比較してより望ましい結晶格子構造を示す、図4Aに示すプロット(410)は、熱ALDプロセスによってシリコン基板の上に直接窒化ガリウム層が形成されたサンプルに基づいて生成された。特に、プロット(410)は、第1の前駆体としての塩化ガリウム(GaCl)の使用に関し、そこでは、シリコン基板は、各単層サイクル中に25秒間、塩化ガリウムに露出された。
ここで図5を参照すると、X線回折(XRD)パターンプロットが、3つの異なる反応温度を用いて熱ALDプロセスによって単結晶シリコン基板の上に直接成長した3つの異なる窒化アルミニウム(AlN)膜に関連する3つの曲線(510)、(520)及び(530)を含む。各曲線は、(540)に示す約34.5度において急峻なエネルギーピークを実証しており、それは、結晶格子構造が主に、ミラー方位指数において(0002)軸方向に配向されていることを示す。曲線(510)及び(520)の各々は、2つの他のエネルギーピーク(550)及び(560)を有しており、それは、結晶格子構造の少なくとも幾分かが所望の方位に配向されていないことを示す。図に示すように、曲線(510)は、300℃のALD反応温度で成長した窒化アルミニウム膜に関連し、曲線(520)は、350℃のALD反応温度で成長した窒化アルミニウム膜に関連し、曲線(530)は、400℃のALD反応温度で成長した窒化アルミニウム膜に関連する。図5から留意すべきことは、AlN膜が、ミラー方位指数における主(0002)軸方向においてXRDエネルギーの増大を示し、それは、ALD反応温度の上昇により単結晶方位が改善されることを示すということである。さらに、曲線(540)は、400℃の反応温度でALDプロセスを用いて成長したAlN膜が実質的単結晶膜であることを示唆している。
5.2 急速熱処理
本発明の更なる限定しない態様例では、ALD堆積層は、1つ又は複数の急速熱処理ステップを行うことによって熱処理される。各急速熱処理ステップは、1つ又は複数のALD堆積単層の結晶構造を、単層の温度を上昇させることによって変化させるのに適している。単層の温度の上昇により、結晶格子転位が除去され、それにより、堆積層の結晶格子構造の内部応力を緩和することができる。さらに、急速熱処理ステップは、最終的に好ましくは10〜200の単層を含む堆積層全体の長期結晶構造の秩序を改善する役割を果たす。結果として、堆積層全体は、実質的に均一な結晶格子構造方位を有する実質的に均一な単結晶格子構造を形成する。特に、各急速熱処理ステップは、コーティング面を堆積温度からアニーリング温度まで急速に加熱することと、その後、コーティング面を冷却させることとを含む。
好ましくは、急速アニーリングステップは、ALD反応チャンバー内部で基板を除去することなくかつ真空を破壊することなく行われる。第1の限定しない急速熱アニーリングシーケンスでは、各材料単層の堆積の直後に急速熱アニーリングステップが行われる。第2の限定しない急速熱アニーリングシーケンスでは、急速熱アニーリングステップは、各選択された複数の単層の堆積後に行われ、例えば、急速熱アニーリングステップは、10
の単層各々の堆積後等に行われる。第3の限定しない急速熱アニーリングシーケンスでは、急速熱アニーリングステップは、材料層の所望の厚さの競合する堆積後に行われ、そこでは、例えば、所望材料層厚さは200の単層であり、200の単層厚さ全体は、200回のALD堆積サイクルによって施され、所望の材料層厚さに対して1回、急速アニーリングステップが行われる。
好ましい実施形態では、熱アニーリングステップは、コーティング面に形成された後の材料層の上にレーザー照射を仕向け、例えばレーザー照射を基板に対して移動させることにより、レーザー照射に対して基板を移動させることにより、又は両方により、レーザー照射とコーティング面との間に相対移動をもたらすことによって行われる。好ましい実施形態では、基板は、直線運動装置の上に取り付けられ、固定位置の照射ビームに対して移動する。結果として、コーティング面が走査速度でレーザー照射を通過して前進し、走査速度は、レーザー照射が堆積材料の所与の表面領域に突き当たっている滞留時間を規定する。
本発明による1つの限定しない例としての急速熱アニーリングプロセス実施形態では、ALD反応チャンバーとALD反応チャンバー内部でコーティングされた基板とは、ALD堆積サイクル中、実質的に一定のALD堆積温度で維持される。ALD堆積サイクルは、基板のコーティング面の上に堆積材料の10〜2000の単層を堆積させることを含む。各単層がコーティング面の上に堆積した後、1回の急速熱アニーリングステップが行われる。各1回の急速熱アニーリングステップは、コーティング面全体をレーザー照射ビームで走査方向に照射することを含み、そこでは、レーザー照射ビームは、コーティング面の表面領域を所望のアニーリング温度まで急速に加熱するように、適切なレーザー照射領域に集束されて、適切なスペクトル帯域幅にわたって十分な発光強度を有している。走査方向の移動により、レーザー照射ビームはコーティング面全体に突き当たり、それにより、別の単層が施される前に、コーティング面全体が急速に熱アニールされる。
基板の走査方向の移動により、固定レーザー照射ビームとコーティング面との間の相対運動がもたらされる。基板は、実質的に速度で移動し、レーザー照射は、コーティング面において実質的に一定の照射面積を有する。結果として、照射の領域は、コーティング面における照射ビームの面積と、照射ビームの面積に対するコーティング面の相対速度とによって決まる滞留時間、コーティング面の領域に滞留する。したがって、本発明の急速熱アニーリングステップは、所望の滞留時間、コーティング面の各領域内に向けられるレーザー照射を用いて、コーティング面を堆積温度から適切なアニーリング温度まで急速に加熱することを含む。本発明の更なる限定しない実施形態では、滞留時間、アニーリング温度及び堆積温度は、行われている堆積プロセスに従って変更することができる。さらに、本発明の態様によれば、コーティング面におけるレーザー発光強度は、入力電気出力を変更することにより、例えば電流ドライバによる変化するレーザー入力電流により、変更される。したがって、レーザー発光強度出力は、異なる堆積材料又は異なる急速熱アニーリング結果に対して要求され得るように、アニーリング温度を選択的に変化させるように変更することができる。
ここで図6を参照すると、本発明による限定しない例示的なALDシステムが概略的に示されている。ALDシステム(700)は、支持要素(715)の上にウェハ基板又は他の任意の固体基板(710)を支持する反応チャンバー(705)を含む。反応チャンバーは、真空チャンバーとして構成され、反応チャンバー(705)の前壁、後壁、側壁、上壁又は底壁を通して基板を設置しかつ取り外す必要に応じて、反応チャンバーの壁を通るゲート又はポートモジュール(745)及び対応する通路を含む。代替的に、頂部に取り付けられた蝶番式の又は取外し蓋は、基板を設置し取り外すためのアクセスを提供する。いずれの場合も、アクセス手段は、基板を設置し取り外すために、かつ堆積サイクル
中に反応チャンバーを真空封止するために反応チャンバー内へのアクセスを提供するのに適した封止可能開口部(図示せず)である。
プロセスガスモジュール(720)が、電子システムコントローラー(730)の制御の下で、ガス及び蒸気反応物の形態の不活性ガス及び前駆体を含むプロセスガスを反応チャンバー内に送り出す。コントローラー(730)は、ソフトウェア又はファームウェアプロセス管理システムを実行するのに適しているデジタルプロセッサ及び関連するメモリを含む。さらに、コントローラー(730)と電気的にインターフェースする様々なセンサー及び制御可能な要素(図示せず)が、事前設定されたALD膜堆積方法のサイクルに従って自動化堆積プロセスを行うために適しているスイッチ及び機械的装置を作動させること等により、局所温度、局所ガス圧、プロセス及び装置ステータス等のプロセスフィードバックとともにプロセス制御を提供する。
排気モジュール(725)が、反応チャンバーからガスを除去し、反応チャンバーを所望の動作圧力で維持し、かつ前駆体反応サイクルの間に反応副生成物及び未反応前駆体を反応チャンバーからパージするために適している、真空ポンプ等並びに適切なセンサー、弁及び他の制御要素を含む。反応チャンバー(705)、基板(710)及びプロセスガス(720)は、電子システムコントローラー(730)及びプロセス管理システムソフトウェア等の制御下で様々な発熱体(図示せず)によって、所望の反応温度まで加熱される。様々な実施形態では、すべての堆積コーティング材料に対して同じ反応温度が使用され、又は異なるコーティング材料に対して異なる反応温度が使用される。
本発明で使用するのに適しているALDシステム例は、「VAPOR DEPOSITION SYSTEMS AND METHODS」と題する2012年6月19日に発行されたMonsma他の本願と同一の譲受人に譲渡された米国特許第8202575号において、「PLASMA ATOMIC LAYER DEPOSITION
SYSTEM AND MEDHOD」と題する2010年7月22日に公開されたBecker他の米国特許出願公開第201000183825号において、「ALD SYSTEMS AND METHODS」と題する2012年3月15日に公開されたBecker他の米国特許出願公開第20120064245号において、「REACTION CHAMBER WITH REMOVABLE LINER」と題する2010年9月30日に公開されたCoutu他の本願と同一の譲受人に譲渡された米国特許出願公開第20100247763号において、更に「SYSTEM AND METHOD FOR THIN FILM DEPOSITION」と題する2012年6月1日に公開されたBecker他の本願と同一の譲受人に譲渡された米国特許出願公開第20100166955号において開示されており、それらは全て、引用することにより本明細書の一部をなす。
システム(700)は、基板(710)の上に堆積している堆積層の上にレーザーアニーリング放射ビーム(702)を仕向けるように配置されたレーザーアニーリングモジュール(735)を更に含む。基板(710)は、レーザーアニーリングモジュール(735)に面するコーティング面(712)を含む。代替的に、上述したように、基板(710)及びレーザービーム(702)の一方又は両方を移動させて、全コーティング面(712)にわたって放射ビーム(702)を走査する。必要に応じて光学的開口を開閉するように、例えば、堆積サイクル中にレーザーアニーリング光学部品を保護するように光学的開口を閉鎖し、レーザーアニーリングサイクル中にレーザービームがコーティング面に向けられるように光学的開口を開放するように、保護素子(740)が、任意に移動可能に配置可能である。代替実施形態では、レーザーアニーリングモジュール(735)又はレーザーアニーリングモジュールの一部は、反応チャンバー内に配置することができる。第1の限定しない実施形態例では、レーザーアニーリングビーム(702)は、コーティング面において実質的に円形又は楕円形ビーム領域で実質的にガウス発光強度分布を有する単点に精密に集束する。単点ビームは、単点ビームとコーティング面との間の相対運動の任意の組合せにより、コーティング面(712)全体にわたって走査される。
ここで図8を参照すると、レーザーアニーリングビーム(702)の第2の限定しない実施形態例は、コーティング面(910)の1つの直線軸を横切って実質的に一定の発光強度を有する集束されたレーザー照射線(905)を含む。この場合、コーティング面におけるビーム領域は、コーティング面の寸法を超える長手方向寸法を有し、レーザー照明線(905)は、レーザー照射線(905)とコーティング面(910)との間の相対運動の任意の組合せにより、コーティング面にわたって走査される。いずれの場合も、レーザーアニーリングモジュール(735)及び放射ビームとコーティング面との間に相対運動をもたらすために使用される他の任意のモジュールの要素は、電子コントローラー(730)により、又は協働するプロセス制御のために電子コントローラー(730)にインターフェースされる電子コントローラーによって制御される。
本発明の更なる限定しない実施形態例では、レーザーアニーリングモジュール(735)は、単一のプロセスビーム又は複数の異なるプロセスビームで、その1つ又は複数のレーザービーム(702)を移動させることなくかつ基板(710)を移動させることなく、コーティング面(712)全体を照射するように構成されている。この実施形態では、放射ビーム(702)は、コーティング面の領域にわたって実質的に均一な発光強度で全コーティング面(712)全体を照射するようにコーティング面(712)に突き当たる1つ又は複数の放射ビームを含み、この1つ又は複数の放射ビームは、レーザーアニーリングモジュール(735)により、レーザービーム又は基板を移動させることなくコーティング面全体を所望のアニーリング温度まで加熱することに一貫する所望の滞留時間、コーティング面を照射するように変調される。
図7を参照すると、限定しない例示的なレーザーアニーリングシステム(800)が概略図で示されている。レーザーアニーリングシステム(800)は、放射ビーム(810)を放出するレーザーモジュール(805)と、放射ビームを成形する第1のビーム形成光学サブシステム(807)と、コーティング面の上に集束された放射ビームを仕向けるビーム方向付けモジュール(815)と、所望のレーザー発光強度出力を提供するのに適しているレーザーモジュールに電気出力信号を入力する入力電力制御モジュール(820)とを含む。さらに、レーザーアニーリングシステム(800)は、発光検出器(825)上にコーティング面の像を形成するレンズ系(865)を含み、発光検出器(825)は像信号を生成し、像信号は、コーティング面における温度を監視するために使用される温度変換モジュール(830)によって分析される。電子回路インターフェース(835)が、ALD反応器電子コントローラー(730)とインターフェースして、急速熱アニーリング及び材料堆積作業を調整し、ユーザーインターフェースをレーザーアニーリングシステム(800)に提供する。任意に、予熱レーザー(840)が、放射ビーム(810)による照射の前に基板コーティング面(850)上に予熱レーザービーム(845)を仕向ける。
この例としての実施形態(800)では、基板及び関連するコーティング面(850)は、可動リニアステージ(860)に支持される加熱チャンク等の基板支持体(855)に支持される。可動リニアステージ(860)は、レーザーアニーリングサイクル中、レーザーアニーリングビーム(810)及び存在する場合は予熱レーザービーム(845)に対して基板及び基板支持体(855)を移動させるように動作可能である。動きステージは、レーザーアニーリング動作中に電子コントローラー(730)又は中間コントローラーによって制御される。様々な限定しない実施形態例では、ビーム方向付けモジュール(815)は、基板コーティング面(850)又はレーザーアニーリングビーム(835)のいずれかが直交直線軸に沿って前進する間に、基板コーティング面(850)の第1の直線軸を横切ってアニーリングビーム(835)をラスター走査する、回転走査装置等を含む。一実施形態では、単軸ラスタースキャナーが、リニアステージ(860)が垂直
直線軸に沿って基板を移動させる間に、コーティング面の1つの直線軸を横切って実質的に集束された円形レーザービームを走査する。更なる実施形態例では、ビーム方向付けモジュール(815)は、基板コーティング面(850)の2つの直交直線軸を横切ってアニーリングビーム(835)をラスター走査する2軸走査モジュールを含む。いずれの場合も、ビーム方向付けモジュール(815)による光学走査及び/又は基板コーティング面(850)の直線運動の組合せを用いて、アニールされている堆積膜とアニールされている堆積膜を支持する下にある基板面との間の境界層を横切る材料拡散を実質的にもたらすことなく、堆積膜をアニールするように、堆積膜の各領域にわたってレーザーアニーリングエネルギーを仕向ける。
したがって、様々な実施形態では、リニアステージ(860)は、1つの直線軸に沿って、例えば図7の左から右に基板を移動させる、単一直線運動ステージを含み、他の実施形態では、リニアステージ(845)は、2つの直交する直線軸に沿って基板を移動させるように配向された2つのリニアステージを含む。代替的に又はさらに、ビーム方向付けモジュール(815)は、1つ又は2つの直交直線軸に沿ってコーティング面にわたってレーザーアニーリングビーム(835)を走査するのに適した1つ若しくは複数の走査素子又はビーム形成素子を備え、又はビーム形成素子は、コーティング面の直線軸全体を照射する直線ビームを形成する。いずれの場合も、全体的にリニアステージ(860)の移動によるか、全体的に、ビーム方向付けモジュール(815)による放射ビーム(810)アニーリングビームの移動によるか、又は両方の組合せによるかに関らず、レーザーアニーリングビーム(835)は、コーティング面(850)に対してレーザーアニーリングビーム(835)の実質的に一定の相対速度でコーティング面(850)の各領域の上に、走査方向に仕向けられる。
本発明の更なる限定しない実施形態例によれば、急速熱アニーリングサイクル中にコーティング面の温度を監視するために、制御要素が設けられる。本例では、発光検出器(825)は、発光検出器(825)の上にコーティング面の像又はコーティング面の一部の像を形成するために提供されるレンズ素子(865)を含む。1つの限定しない実施形態例では、レンズ素子(865)は、任意に、発光検出器にレンズ素子(865)によって形成された像を送出する光ファイバーケーブル等を含む。
発光検出器(825)は、上に形成された像に基づいてコーティング面の温度を求めるのに適したスペクトル応答を有し、上に形成されているコーティング面の像に応答する像信号を提供する。像信号は、温度変換モジュール(830)に通信されて表面温度値に変換される。コーティング面の温度値は、コントローラー(730)及び/又は出力コントローラーモジュール(720)に通信される。コントローラー(730)又は温度変換モジュール(830)は、表面温度値を所望のアニーリング温度と比較し、温度変換モジュール(830)によって求められるように、所望のアニーリング温度とコーティング面の温度との間の差に比例した温度変動信号を生成する。温度変動信号を用いて、コーティング面(850)において実質的に一定のアニーリング温度を維持する必要に応じて、アニーリングビーム(835)の発光強度を増大又は低減させるように、レーザーモジュール(805)に送出される入力電力を変更する。他の限定しない実施形態例では、例えば、コーティング面に対してアニーリングビームの相対速度を上昇又は低下させることにより、単位時間当たりのビーム発光強度を変更するようにレーザー出力を急速に変調することにより、例えば分極減衰器を用いてレーザーをフィルタリングすることにより、コーティング面におけるビーム焦点面の位置を変化させることにより、及び既知であるような他のレーザー減衰方法により、滞留時間を変化させることを含む他の制御要素が、温度変動信号に応じて表面温度を変更するために使用可能である。
図7に更に示すように、例としてのALD反応チャンバー輪郭(870)が、本実施形
態例において、レーザーアニーリングシステム(800)全体がALD反応チャンバーの外部に配置されており、ALD反応チャンバーに入るか又はALD反応チャンバーから放出される任意の放射線が、図示しない水晶窓等、ALD反応チャンバーの壁の実質的に透明な窓を通過することを示すように、図示されている。図7に示す実施形態例では、リニアステージ(860)は、ALDチャンバー輪郭(870)の内側に配置され、基板支持要素(855)及び基板(850)の両方を移動させる。しかしながら、ALDチャンバー輪郭(870)の外側にリニアステージ(860)を配置すること、又は基板支持要素(855)と基板(850)との間にリニアステージ(860)を配置することを含む、他の実施形態が使用可能である。
図8を参照すると、限定しない例示的なビーム形成及びビーム方向付けモジュール(900)が概略図で示されている。この実施形態例では、光学系は、折返しミラー(103)によって基板表面(910)上に向けられる集束されたレーザーエネルギー(905)の実質的に固定された線を形成するように構成されている。直線レーザービーム又は集束されたレーザーエネルギー(905)の線の長手方向長さは、基板コーティング面(910)の1つの直線寸法を実質的に超え、それにより、1つの直線方向における基板と直線レーザービームとの間の相対運動により、基板(366)の領域全体が照射される。
線形レンズ(116)が、基板表面(910)上にレーザーエネルギー(905)の線を精密に集束させる。基板は、例えば、単軸直線運動ステージ(105)によって、集束されたレーザーエネルギー(905)の実質的に固定された線を通過して前進させることができ、又は、回転アクチュエータ(図示せず)によって折返しミラー及び線形レンズ(116)を回転させて、折返しミラー及び線形レンズの回転に応じて、基板表面を横切って集束されたレーザーエネルギーの線の位置を前進させることができる。代替的に、光学系は、折返しミラーを横切ってレーザーエネルギーを走査する走査素子を含み、それにより、レーザーアニーリングビームは、基板表面において、基板表面の直線軸にわたって走査される単一の集束されたビームを含む。コーティング面に対するアニーリングビームの露光時間又は滞留時間は、直線集束ビームを通過して前進する際に基板速度を変更することにより、又は走査素子の走査速度を変更することにより、変更することができる。
5.3 実施例1
限定しない実施例としての実施形態では、レーザーアニーリングモジュール(735、800)は、シリコンによって容易に吸収されるスペクトル帯域幅、例えば300nmと1000nmとの間の可視スペクトル範囲を有するレーザー放射出力を有する連続波(CW)レーザーモジュールを含む。1つの実施例としての実施形態では、レーザー(805)は、約515nmと580nmとの間及び好ましくは532nmに中心を置く狭帯域スペクトル出力を有するエルビウム及び/又はイッテルビウムファイバーレーザーを含む。レーザーCW出力は、約50ワットと500ワットとの間の範囲、好ましくは200ワットである。代替的に、レーザー805は、可視スペクトルで、例えば532nmで出力しているネオジムイットリウムアルミニウムガーネット(NdYAG)レーザーを含むことができる。
レーザービームは、基板コーティング面をアニーリング温度に急速加熱することによりアニールするように、様々なサブシステムによって制御される。特に、レーザー放射線は、コーティング層の結晶構造において局所原子緩和を熱的に活性化するために、コーティング面、特に堆積層を熱的に励起する。特定の理論によって拘束されないが、本出願人らは、コーティング層の上に向けられたレーザー放射線からもたらされる熱エネルギーが、コーティング層の原子が、ヘテロエピタキシャルコーティング層が900℃を超える温度で従来のMOCVDプロセスによって施されるときにもたらされ得るような高温ヘテロエピタキシャル成長によって通常達成される結晶格子構造と実質的に整合する、より適切な
結晶格子構造に再配置することができるように、コーティング層を十分高い温度に加熱すると考える。
図9を参照すると、上述したレーザーアニーリングビームによって照射されるコーティング面の℃の単位の温度が、光学温度検知装置によって求められるようにミリ秒での時間に対してプロットされている。プロットされた実施例では、コーティング面と接触するレーザービーム放射線の滞留時間は800μ秒であり、ビーム幅は140μmであり、レーザー出力は200Wであり、初期ウェハ又は基板温度は、本例では400℃である反応温度又は堆積温度におよそ等しい。プロットにより、レーザー照射領域の温度が約400℃(460)から約1350℃(455)まで急上昇し、滞留時間が0.8ミリ秒である場合、その後、12ミリ秒以内に600℃(465)未満まで再び冷却されることがわかる。本実施例では、ビーム幅は、ビームとコーティング面との間の相対運動の軸に沿い、それにより、半値全幅(FWHM)ビームエネルギーは、800μ秒でコーティング面の一点を通過する。この例では、ビームは、FWHM径が140μmである円形ビームを含むことができ、又はビームは、FWHM線幅が140μmである線ビームを含むことができる。図9に更に示すように、コーティング面を堆積温度からピークアニーリング温度まで加熱することに関連する温度立ち上がり時間は、約4ミリ秒である。概して、50ミリ秒未満の立ち上がり時間は、本発明から逸脱することなく使用可能である。
ここで図10を参照すると、コンピューター生成サーモグラフ(920)が、(V)方向に移動する上述したレーザービーム(930)(すなわち、200Wレーザー出力、140μmビーム幅、800μ秒滞留時間)によって照射された後のシリコンウェハ基板(925)の異なる領域における相対温度を示す。相対的に明るい濃淡が相対的に低い温度に関連して、サーモグラフは、レーザービーム(930)によって生成された熱エネルギーが、基板厚さ全体を著しく加熱することなく基板内に放散されることを実証している。図9及び図10を比較すると、図9において点(455)に示すピーク温度は1350℃であり、この温度は、図10の最も暗い領域(935)に対応する。領域(940)、(945)、(950)、(955)では、基板温度は、決してピーク温度に達しないか、又は約12ミリ秒で約600℃まで冷却される。さらに、領域(955)は、ウェハ厚さの約半分のみが、レーザーアニーリングビームの結果として400℃の基準温度を超えて上昇することを明確に実証している。
本発明は、約800℃を超える温度での従来のヘテロエピタキシャル層堆積に比較して幾つかの利点を提供する。さらに、同じ利点を使用して、例えば、ウェハの反り、境界層拡散、及び大きい熱勾配に関連する他の問題を回避するために、高温成長機構が望ましくないあらゆるエピタキシャル膜成長を改善することができる。
特に、従来のエピタキシャル成長機構より低い堆積温度での原子層堆積によりエピタキシャル層又はセミエピタキシャル層を成長させることができ、それにより、約650℃を超えて基板を加熱する必要がなくなり、好ましくは350℃を超えて基板を加熱することがなくなる。これにより、通常高温プロセスに関連するウェハの反り、堆積層亀裂、及び材料境界層を横切る材料拡散が低減する。
350℃以下で堆積したALD堆積層が、何らかの単結晶構造を有するように示したが、結晶構造の方位は、堆積層を通して確実に均一ではない。しかしながら、本発明は、急速レーザーアニーリングプロセスを使用して、堆積層を、結晶格子転位を除去しかつ場合によっては堆積層にわたって結晶構造方位を統一するために十分高いアニーリング温度に加熱する。
しかしながら、図10のサーモグラフによって実証したように、本発明は、約12ミリ
秒未満、基板のわずかな領域のみをピークアニーリング温度に加熱する方法及び装置を提供し、これにより、従来技術では対処されなかった2つの利点を提供する。第1の利点は、熱サイクルの短い持続時間により、基板材料への堆積層材料又は堆積層への基板材料の拡散が実質的に回避されることであり、それは単に、熱サイクルが、相当の材料拡散を開始し維持するほど十分に長くないためである。第2の利点は、ウェハ基板の厚さの約半分が、堆積温度を超えて更に加熱されず、加熱される残りの半分の大部分が、ピークアニーリング温度に決して達しないということである。結果として、ウェハの反りが低減し又は実質的になくなる。
本発明の更なる態様では、ピークアニーリング温度は、用途によって、堆積材料又は基板材料によって変更することができる。特に、層厚さが1原子層転位を含む、堆積層、例えば単一の単層は、550℃から1000℃の範囲のピークアニーリング温度で除去可能とすることができる。同様に、層厚さが約2から10の原子層転位を含む複数の単層は、550℃から1000℃の範囲のピークアニーリング温度で除去可能とすることができる。
本発明によれば、特に単一のシリコン基板の上にIII/V族化合物又はII/VI族化合物又はIII/N族化合物の非常に薄い材料層(例えば、最大約2000Å)をアニールすることに関して、第1のピークアニーリング温度は、550℃から1000℃の範囲であり、この第1のピークアニーリング温度は、層回復を可能にするのに十分であり、そこでは、堆積層の結晶構造の転位は除去され、結晶構造における転位による内部応力は低減する。
本発明によれば、特に単一のシリコン基板の上にIII/V族化合物又はII/VI族化合物又はIII/N族化合物の非常に薄い材料層(例えば、最大約2000Å)をアニールすることに関して、第2のピークアニーリング温度は、1001℃から1200℃の範囲であり、この第2のピークアニーリング温度は、再結晶化をもたらすのに十分であり、そこでは、転位によってもたらされる内部応力によって変形したものに置き換わるように、新たな応力のない結晶粒が形成される。
本発明によれば、特に単一のシリコン基板の上にIII/V族化合物又はII/VI族化合物又はIII/N族化合物の非常に薄い材料層(例えば、最大約2000Å)をアニールすることに関して、第3のピークアニーリング温度は1201℃から1500℃の範囲であり、この第3のピークアニーリング温度は、新たに形成された応力のない結晶粒のサイズを増大させるのに十分である。
本発明から逸脱することなくより高いアニーリング温度が使用可能であるが、1350℃以下のアニーリング温度が好ましく、GaN堆積層の特定の場合では、1200℃以下のピークアニーリング温度が好ましい。
アニーリングステップの時間的持続時間に関して、堆積層を堆積温度からピークアニーリング温度まで加熱することと堆積層を堆積温度まで再度冷却することとを含むアニーリングステップは、可能な限り短いが、好ましくは約30ミリ秒未満であることが好ましい。理想的には、アニーリングステップの持続時間は、ヘテロエピタキシャル境界を横切る相当の材料拡散をもたらすほど十分に長くはなく、コーティング面を堆積温度からピークアニーリング温度まで加熱することに関連する温度立ち上がり時間は、本発明から逸脱することなく100μ秒程度に短くすることができる。
他の実施形態では、本発明から逸脱することなく、COレーザーNdYAG又は他のレーザーを用いて、スペクトル帯域幅が9.4μm又は10.6μmのうちの一方に中心
が置かれる急速熱アニーリング放射ビームを発生させることができる。
本発明の更なる態様では、単結晶成長を促進するように基板表面を事前に凹凸化することができる。特に、リソグラフィ及び/又は異方性エッチング技法を用いて、ALD堆積により単結晶構造成長を促進するように、こうした基板表面を凹凸化する。有効であるために、エッチングされた構造の寸法は、ALD材料の成長に影響を与えるように十分小さくなければならない。大部分の場合、横寸法は100nm未満である。
本発明は、ALD堆積チャンバー及び材料堆積サイクルを用いる実施形態例で提示しているが、本発明の急速レーザーアニーリングステップは、基板及び堆積層が同じ若しくは異なる材料組成であるか、又は同じ若しくは異なる結晶構造を有するかに関らず、薄膜層が基板の上に堆積する、任意の物理気相成長(PVD)プロセス、化学気相成長(CVD)又は他の堆積プロセスで使用可能であり、堆積層を、急速熱アニーリングによってその結晶構造を変更するために急速にアニールすることが望ましい。
当業者によって、本発明は、好ましい実施形態に関して上述されているが、それに限定されないということも理解されよう。上述した発明の様々な特徴及び態様は、個別に又は合わせて使用することができる。さらに、本発明は、特定の環境における、特定の用途、例えば半導体デバイス製造に対するその実施態様に関して記載されているが、当業者は、本発明の有用性はそれに限定されず、本発明は、薄膜層の結晶格子特性を変更することが望ましいあらゆる環境及び実施態様において有利に利用することができる、ということを理解するであろう。したがって、後述する特許請求の範囲は、本明細書に開示したような本発明の全範囲及び趣旨に鑑みて解釈されるべきである。

Claims (15)

  1. 物理蒸着プロセスによって実質的単結晶基板のコーティング面の上に第1の活性材料の第1の層を堆積することであって、
    前記第1の活性材料及び前記基板は、異なる結晶格子制約を有し、それにより第1の結晶格子制約不整合がもたらされ、
    前記物理蒸着プロセスは、
    前記活性材料に関連する予測されたエピタキシャル成長温度未満の第1の堆積温度で行われることと、
    前記第1の層を、前記予測されたエピタキシャル成長温度を超えるピークアニーリング温度まで、前記コーティング面が50ミリ秒未満で前記ピークアニーリング温度まで加熱される急速熱アニーリングによって加熱することと、
    を含み、
    前記物理蒸着プロセスは、熱原子層堆積及びプラズマ原子層堆積のうちの一方であり、
    前記第1の活性材料の前記第1の層は、前記第1の活性材料の複数の単層を含み、
    各単層は、1回の原子層堆積コーティングサイクルによって堆積され、
    前記加熱するステップは、選択された数の原子層堆積コーティングサイクルの完了後に行われ、複数の前記選択された数の原子層堆積コーティングサイクルの各々の完了後に繰り返され、
    前記ピークアニーリング温度は550℃を超える、方法。
  2. 前記基板は、ミラー指数方位がSi(111)及びSi(100)のうちの一方であるシリコンを含み、
    前記第1の活性材料はGaNを含み、
    前記堆積温度は400℃未満であり、
    前記ピークアニーリング温度は1200℃未満である、請求項1に記載の方法。
  3. 前記ピークアニーリング温度は550℃〜1500℃の範囲である、請求項1に記載の方法。
  4. 前記加熱するステップは、各原子層堆積コーティングサイクルの後に行われる、請求項1ないしのいずれか1項に記載の方法。
  5. 前記加熱するステップは、前記第1の活性材料の所望の全厚さの前記堆積が完了した後に行われる、請求項1ないしのいずれか1項に記載の方法。
  6. 前記基板は、ミラー指数方位がSi(111)及びSi(100)のうちの一方であるシリコンを含み、
    前記第1の活性材料は窒化ガリウム(GaN)を含み、
    前記GaNの前記第1の層は複数の単層を含み、
    前記GaNの前記堆積は、前記GaNの各単層に対して、
    前記コーティング面を、塩化ガリウム(GaCl)、トリメチルガリウム(TMG)及びトリエチルガリウム(TEG)のうちの1つを含む第1の前駆体と反応させることと、
    次いで、前記コーティング面を、アンモニア(NH)を含む第2の前駆体と反応させることと、
    を含み、
    前記第1の前駆体及び前記第2の前駆体の各々を反応させる反応温度は、80℃から350℃の範囲である、請求項1ないしのいずれか1項に記載の方法。
  7. 前記基板は、ミラー指数方位がSi(111)及びSi(100)のうちの一方であるシリコンを含み、
    前記第1の活性材料は窒化アルミニウム(AlN)を含み、
    前記AlNの前記第1の層は複数の単層を含み、
    前記AlNの前記堆積は、
    前記コーティング面を、金属有機アルミニウム、ハロゲン化アルミニウム及びトリメチルアルミニウム(TMA)のうちの1つを含む第1の前駆体と反応させることと、
    次いで、前記コーティング面を第2の前駆体アンモニア(NH)と反応させることと、
    を含み、
    前記第1の前駆体及び前記第2の前駆体の各々を反応させる反応温度は、80℃から350℃の範囲である、請求項1に記載の方法。
  8. 物理蒸着プロセスを用いて、実質的単結晶シリコン基板のコーティング面の上に第1のバッファ材料の第1の層を堆積させることと、
    物理蒸着プロセスを用いて、前記第1の層にわたってコーティング面の上に第2の活性材料の第2の層を堆積させることと、
    を含み、
    前記物理蒸着プロセスは、熱原子層堆積及びプラズマ原子層堆積のうちの一方であり、
    前記第1のバッファ材料及び前記第2の活性材料は、異なる結晶格子制約を有し、それによりそれらの間に第1の結晶格子制約不整合がもたらされ、
    前記第1のバッファ材料及び前記基板は、異なる結晶格子制約を有し、それによりそれらの間に第2の結晶格子制約不整合がもたらされ、
    前記第2の活性材料及び前記基板は、異なる結晶格子制約を有し、それによりそれらの間に第3の結晶格子制約不整合がもたらされ、
    前記第1の結晶格子制約不整合及び前記第2の結晶格子制約不整合の各々は、前記第3の結晶格子制約不整合より小さく、
    前記第1の層を堆積させるステップは、
    前記第1のバッファ材料に関連する予測されたエピタキシャル成長温度未満の堆積温度で、前記第1のバッファ材料を堆積させることと、
    前記第1のバッファ材料を、前記第1のバッファ材料の前記予測されたエピタキシャル成長温度を超える第1のピークアニーリング温度まで加熱することと、
    を含み、
    前記第2の層を堆積させるステップは、
    前記第2の活性材料に関連する予測されたエピタキシャル成長温度未満の堆積温度で、前記第2の活性材料を堆積させることと、
    前記第2の活性材料を、前記第2の活性材料の前記予測されたエピタキシャル成長温度を超える第2のピークアニーリング温度まで加熱することと、
    を含み、
    前記加熱するステップの各々は、前記基板のコーティング面が50ミリ秒未満で前記第1のピークアニーリング温度及び前記第2のピークアニーリング温度まで加熱される急速熱アニーリングを含み、
    前記ピークアニーリング温度は550℃を超える、方法。
  9. 前記第1のバッファ材料及び前記第2の活性材料の各々は、III−V族化合物、II−VI族化合物及びIII−N族化合物のうちの任意のものから選択される、請求項に記載の方法。
  10. 基板のコーティング面の上に材料コーティング層を施す材料処理装置であって、
    上に前記基板を支持する基板支持体が内部に配置された原子層堆積反応チャンバーであって、前記コーティング面の上に前記材料コーティング層を堆積させることを含む堆積プロセスを行うように動作可能であり、前記堆積プロセスは、80℃と800℃との間の範囲の堆積温度で、かつ1mTorrと500mTorrとの間の範囲の反応チャンバー内部圧力で行われる、ALD反応チャンバーと、
    前記ALD反応チャンバー内に何回分かのプロセスガスを送出するように動作可能なプロセスガスモジュールと、
    前記ALD反応チャンバーに対してプロセスガスを除去するように動作可能な排気システムと、
    前記コーティング面のin situレーザーアニーリングを行うように動作可能なレーザーアニーリングモジュールと、
    ALD材料堆積サイクルを行い、前記材料コーティング層のレーザーアニーリングを行うように動作可能なコントローラーと、
    前記レーザーアニーリング中に前記基板を第1の直線軸に沿って搬送するように配置された直線運動ステージと、
    実質的に集束したレーザービームを形成し、前記コーティング面に該集束したレーザービームを仕向けるように動作可能なビーム形成及び偏向素子と、
    を具備し、
    前記実質的に集束したレーザービームは、半値全幅線幅が300μm未満であり長手方向線長が前記コーティング面の少なくとも1つの寸法を超える線ビームであり、
    前記線ビームの長手方向は、前記第1の直線軸の方向と交差した方向であり、
    前記線ビーム及び前記コーティング面は、前記第1の直線軸の方向において互いに対して相対的に移動し、それにより、前記コーティング面の上の前記線ビームの滞留時間は200μ秒〜2000μ秒の範囲である、材料処理装置。
  11. 前記ALD反応チャンバーの壁に配置された実質的に透明な窓を更に具備する、請求項10に記載の材料処理装置。
  12. 前記レーザーアニーリングモジュールが、前記ALD反応チャンバーの外側から前記コーティング面の上にレーザービームを仕向け、該レーザービームが前記実質的に透明な窓を通過する、請求項10または11に記載の材料処理装置。
  13. 前記レーザーアニーリングモジュールは、50W〜200Wの範囲の放射ビーム出力を有するレーザーを備え、
    前記放射ビームは、中心が350nmから1000nmの範囲の波長を有する狭スペクトル帯域幅を有する、請求項10ないし12のいずれか1項に記載の材料処理装置。
  14. 前記レーザーアニーリングモジュールは、発光検出器と関連する温度変換モジュールとを備え、
    前記発光検出器は、前記レーザーアニーリング中に前記コーティング面から放射線を収集するように配置され、
    前記温度変換モジュールは、前記コーティング面におけるピークアニーリング温度を求めるように動作可能である、請求項10ないし13のいずれか1項に記載の材料処理装置。
  15. 前記コーティング面における前記ピークアニーリング温度を変更する必要に応じてレーザー入力電力を変更するように前記温度変換モジュールと協働するように動作可能なレーザー出力制御モジュールを更に具備する、請求項14に記載の材料処理装置。
JP2016523884A 2013-07-02 2014-06-25 格子転位を除去するための急速熱処理によるヘテロエピタキシャル層の形成方法および材料処理装置 Expired - Fee Related JP6407271B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361842207P 2013-07-02 2013-07-02
US61/842,207 2013-07-02
US201361881369P 2013-09-23 2013-09-23
US61/881,369 2013-09-23
PCT/US2014/044076 WO2015002782A1 (en) 2013-07-02 2014-06-25 Formation of heteroepitaxial layers with rapid thermal processing to remove lattice dislocations

Publications (2)

Publication Number Publication Date
JP2016530708A JP2016530708A (ja) 2016-09-29
JP6407271B2 true JP6407271B2 (ja) 2018-10-17

Family

ID=52144121

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016523884A Expired - Fee Related JP6407271B2 (ja) 2013-07-02 2014-06-25 格子転位を除去するための急速熱処理によるヘテロエピタキシャル層の形成方法および材料処理装置

Country Status (10)

Country Link
US (4) US9768016B2 (ja)
JP (1) JP6407271B2 (ja)
KR (1) KR101767855B1 (ja)
CN (1) CN105518838B (ja)
DE (1) DE112014003144T5 (ja)
FI (1) FI128093B (ja)
GB (1) GB2531453A (ja)
SG (2) SG11201510292VA (ja)
TW (1) TWI614809B (ja)
WO (1) WO2015002782A1 (ja)

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN105518838B (zh) 2013-07-02 2019-11-26 雅达公司 使用快速热加工形成异质外延层以除去晶格位错
SG11201601129VA (en) * 2013-09-23 2016-03-30 Ultratech Inc Method and apparatus for forming device quality gallium nitride layers on silicon substrates
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6390472B2 (ja) * 2015-03-09 2018-09-19 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10233544B2 (en) * 2015-05-19 2019-03-19 Nutech Ventures Growth of nitride films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6526528B2 (ja) * 2015-09-11 2019-06-05 株式会社東芝 半導体装置
US20170073812A1 (en) 2015-09-15 2017-03-16 Ultratech, Inc. Laser-assisted atomic layer deposition of 2D metal chalcogenide films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9553153B1 (en) * 2015-12-02 2017-01-24 International Business Machines Corporation Post growth defect reduction for heteroepitaxial materials
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US11411169B2 (en) 2017-10-16 2022-08-09 Akoustis, Inc. Methods of forming group III piezoelectric thin films via removal of portions of first sputtered material
US11832521B2 (en) * 2017-10-16 2023-11-28 Akoustis, Inc. Methods of forming group III-nitride single crystal piezoelectric thin films using ordered deposition and stress neutral template layers
US11411168B2 (en) 2017-10-16 2022-08-09 Akoustis, Inc. Methods of forming group III piezoelectric thin films via sputtering
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR102505732B1 (ko) * 2016-03-24 2023-03-03 고쿠리쓰다이가쿠호진 규슈다이가쿠 레이저 어닐 장치
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
JP6834207B2 (ja) * 2016-07-13 2021-02-24 富士電機株式会社 半導体装置の製造方法
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11895920B2 (en) 2016-08-15 2024-02-06 Akoustis, Inc. Methods of forming group III piezoelectric thin films via removal of portions of first sputtered material
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
KR101906670B1 (ko) * 2016-09-19 2018-12-05 한양대학교 산학협력단 증착 장비 및 증착 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10269923B2 (en) * 2016-10-19 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. HEMTs with an AlxGa1-xN barrier layer grown by plasma enhanced atomic layer deposition
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
CN110691671B (zh) 2017-04-20 2023-10-10 西尔特克特拉有限责任公司 用于具有限定地定向的改性线的晶片制造的方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10504722B2 (en) * 2017-07-25 2019-12-10 United States Of America As Represented By The Secretary Of The Air Force Growth of III-nitride semiconductors on thin van der Waals buffers for mechanical lift off and transfer
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10720633B2 (en) * 2017-09-15 2020-07-21 Dyson Technology Limited Multilayer electrochemical device
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10718726B2 (en) * 2017-10-13 2020-07-21 Infineon Technologies Austria Ag Method for determining the concentration of an element of a heteroepitaxial layer
US11856858B2 (en) 2017-10-16 2023-12-26 Akoustis, Inc. Methods of forming doped crystalline piezoelectric thin films via MOCVD and related doped crystalline piezoelectric thin films
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
EP3503163A1 (en) * 2017-12-21 2019-06-26 EpiGan NV A method for forming a silicon carbide film onto a silicon substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
TWI778205B (zh) * 2018-03-13 2022-09-21 日商住友重機械工業股份有限公司 雷射功率控制裝置、雷射加工裝置及雷射功率控制方法
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10446780B1 (en) * 2018-04-19 2019-10-15 Wuhan China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Organic light emitting diode package structure and its method of manufacturing, display device
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
EP4010916A4 (en) * 2019-08-09 2023-08-09 Applied Materials, Inc. MULTI-LAYER PROTECTIVE LAYER FOR THE PROCESSING OF CHAMBER COMPONENTS
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
FI129628B (en) * 2019-09-25 2022-05-31 Beneq Oy Method and apparatus for processing a substrate surface
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
EP4081674A1 (en) * 2019-12-27 2022-11-02 Wolfspeed, Inc. Large diameter silicon carbide wafers
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11618968B2 (en) 2020-02-07 2023-04-04 Akoustis, Inc. Apparatus including horizontal flow reactor with a central injector column having separate conduits for low-vapor pressure metalorganic precursors and other precursors for formation of piezoelectric layers on wafers
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
US11486039B2 (en) 2020-05-18 2022-11-01 Ohio State Innovation Foundation Laser-assisted metal-organic chemical vapor deposition devices and methods of use thereof
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11846024B2 (en) 2021-03-15 2023-12-19 Ohio State Innovation Foundation Laser-assisted metal-organic chemical vapor deposition devices and methods of use thereof for suppressing background carbon incorporation
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20240003886A (ko) 2022-07-04 2024-01-11 세메스 주식회사 기판처리장치 및 기판처리방법
CN117488408B (zh) * 2022-08-02 2024-05-10 松山湖材料实验室 单晶氮化铝材料及其制备方法

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4477308A (en) 1982-09-30 1984-10-16 At&T Bell Laboratories Heteroepitaxy of multiconstituent material by means of a _template layer
JPS62172715A (ja) * 1986-01-27 1987-07-29 Nippon Telegr & Teleph Corp <Ntt> 半導体エピタキシヤル薄膜の製造方法
US4900372A (en) 1987-11-13 1990-02-13 Kopin Corporation III-V on Si heterostructure using a thermal strain layer
JPH0582447A (ja) * 1991-04-12 1993-04-02 Fujitsu Ltd 化合物半導体結晶の成長方法
CA2062134C (en) 1991-05-31 1997-03-25 Ibm Heteroepitaxial layers with low defect density and arbitrary network parameter
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
FR2774511B1 (fr) * 1998-01-30 2002-10-11 Commissariat Energie Atomique Substrat compliant en particulier pour un depot par hetero-epitaxie
US6329063B2 (en) 1998-12-11 2001-12-11 Nova Crystals, Inc. Method for producing high quality heteroepitaxial growth using stress engineering and innovative substrates
US20040247787A1 (en) * 2002-04-19 2004-12-09 Mackie Neil M. Effluent pressure control for use in a processing system
WO2004019391A2 (en) * 2002-08-23 2004-03-04 Amberwave Systems Corporation Semiconductor heterostructures having reduced dislocation pile-ups and related methods
JP4429586B2 (ja) 2002-11-08 2010-03-10 株式会社半導体エネルギー研究所 半導体装置の作製方法
TW200528390A (en) * 2004-02-25 2005-09-01 Toshiba Mitsubishi Elec Inc Apparatus and method of producing ozone gas
KR20070048177A (ko) 2004-06-28 2007-05-08 캠브리지 나노테크 인크. 증착 시스템 및 방법
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070277735A1 (en) 2006-06-02 2007-12-06 Nima Mokhlesi Systems for Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
JP2008013848A (ja) 2006-06-08 2008-01-24 Tokyo Electron Ltd 成膜装置及び成膜方法
TW200841393A (en) 2007-04-02 2008-10-16 Miin-Jang Chen Optoelectronic device and method of fabricating the same
US20080251812A1 (en) * 2007-04-16 2008-10-16 Woo Sik Yoo Heteroepitaxial Crystal Quality Improvement
CN100464393C (zh) 2007-05-14 2009-02-25 武汉大学 一种GaN绝缘或半绝缘外延层的制备方法
US7956370B2 (en) 2007-06-12 2011-06-07 Siphoton, Inc. Silicon based solid state lighting
US20090120924A1 (en) 2007-11-08 2009-05-14 Stephen Moffatt Pulse train annealing method and apparatus
JP2009143756A (ja) 2007-12-13 2009-07-02 Shin Etsu Chem Co Ltd GaN層含有積層基板及びその製造方法並びにデバイス
US20090181553A1 (en) * 2008-01-11 2009-07-16 Blake Koelmel Apparatus and method of aligning and positioning a cold substrate on a hot surface
US20110108800A1 (en) 2008-06-24 2011-05-12 Pan Shaoher X Silicon based solid state lighting
US9328417B2 (en) 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US20100183825A1 (en) 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
JP5731519B2 (ja) 2009-10-26 2015-06-10 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. Va族元素を含む薄膜のaldのための前駆体の合成及び使用
US8592309B2 (en) 2009-11-06 2013-11-26 Ultratech, Inc. Laser spike annealing for GaN LEDs
US8389977B2 (en) 2009-12-10 2013-03-05 Transphorm Inc. Reverse side engineered III-nitride devices
KR101080604B1 (ko) 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
JP2011198885A (ja) * 2010-03-18 2011-10-06 Mitsui Eng & Shipbuild Co Ltd 原子層堆積装置及び原子層堆積方法
US20110244663A1 (en) 2010-04-01 2011-10-06 Applied Materials, Inc. Forming a compound-nitride structure that includes a nucleation layer
WO2012044978A2 (en) * 2010-10-01 2012-04-05 Applied Materials, Inc. High efficiency solar cell device with gallium arsenide absorber layer
FR2968830B1 (fr) * 2010-12-08 2014-03-21 Soitec Silicon On Insulator Couches matricielles ameliorees pour le depot heteroepitaxial de materiaux semiconducteurs de nitrure iii en utilisant des procedes hvpe
JP2013026321A (ja) 2011-07-19 2013-02-04 Sharp Corp 窒化物系半導体層を含むエピタキシャルウエハ
WO2013035325A1 (ja) 2011-09-05 2013-03-14 日本電信電話株式会社 窒化物半導体構造及びその作製方法
US9012921B2 (en) * 2011-09-29 2015-04-21 Kabushiki Kaisha Toshiba Light emitting devices having light coupling layers
US20130099284A1 (en) 2011-10-20 2013-04-25 Triquint Semiconductor, Inc. Group iii-nitride metal-insulator-semiconductor heterostructure field-effect transistors
US8633115B2 (en) * 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US20130140525A1 (en) 2011-12-01 2013-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Gallium nitride growth method on silicon substrate
WO2014008162A1 (en) * 2012-07-02 2014-01-09 Applied Materials, Inc. Aluminum-nitride buffer and active layers by physical vapor deposition
CN105518838B (zh) * 2013-07-02 2019-11-26 雅达公司 使用快速热加工形成异质外延层以除去晶格位错

Also Published As

Publication number Publication date
US20170250070A1 (en) 2017-08-31
TWI614809B (zh) 2018-02-11
GB2531453A (en) 2016-04-20
FI128093B (en) 2019-09-13
WO2015002782A1 (en) 2015-01-08
US20170256394A1 (en) 2017-09-07
KR101767855B1 (ko) 2017-08-11
SG10201609869RA (en) 2016-12-29
US20160155629A1 (en) 2016-06-02
SG11201510292VA (en) 2016-01-28
US9929011B2 (en) 2018-03-27
CN105518838B (zh) 2019-11-26
US10090153B2 (en) 2018-10-02
US9666432B2 (en) 2017-05-30
TW201513225A (zh) 2015-04-01
KR20160027154A (ko) 2016-03-09
US20160203972A1 (en) 2016-07-14
US9768016B2 (en) 2017-09-19
CN105518838A (zh) 2016-04-20
GB201600309D0 (en) 2016-02-24
JP2016530708A (ja) 2016-09-29
DE112014003144T5 (de) 2016-03-31

Similar Documents

Publication Publication Date Title
JP6407271B2 (ja) 格子転位を除去するための急速熱処理によるヘテロエピタキシャル層の形成方法および材料処理装置
JP6419194B2 (ja) シリコン基板上にデバイス品質の窒化ガリウム層を形成する方法
KR102052287B1 (ko) 질화물 반도체 기판의 제조방법
JP2016533645A5 (ja) シリコン基板上にデバイス品質の窒化ガリウム層を形成する方法
WO2011136016A1 (ja) エピタキシャル膜形成方法、真空処理装置、半導体発光素子の製造方法、半導体発光素子、照明装置
US20110163076A1 (en) Method and apparatus for activating compound semiconductor
EP1916704A1 (en) Method for forming film of group iii nitride such as gallium nitride
JP2011246749A (ja) アルミニウム系iii族窒化物製造装置、およびアルミニウム系iii族窒化物の製造方法
WO2006088261A1 (ja) InGaN層生成方法及び半導体素子
JP2014181178A (ja) 低炭素iii族窒化物結晶
Aida et al. Growth of thick GaN layers by hydride vapor phase epitaxy on sapphire substrate with internally focused laser processing
GB2576262A (en) Formation of heteroepitaxial layers with rapid thermal processing to remove lattice dislocations
JP7296614B2 (ja) 窒化物半導体の製造方法、窒化物半導体、及び発光素子
WO2020154674A1 (en) Method for processing of semiconductor films with reduced evaporation and degradation
JP2009221056A (ja) 結晶成長方法、結晶成長装置、および半導体デバイス
JP2006140397A (ja) 窒化物系化合物半導体製造装置
JP2004099405A (ja) 窒化物半導体積層体及びその成長方法
Li MOCVD Growth and Characterization of High Quality Semi-polar (11-22) AlGaN Obtained with Overgrowth Technique
Li et al. Growth of InGaN with high indium content on ZnO based sacrificial substrates

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20161213

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20171124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20171227

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180308

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180829

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180918

R150 Certificate of patent or registration of utility model

Ref document number: 6407271

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees