JP5385706B2 - サブクオーターミクロン適用のための、メタライゼーションに先立つ予備洗浄方法 - Google Patents

サブクオーターミクロン適用のための、メタライゼーションに先立つ予備洗浄方法 Download PDF

Info

Publication number
JP5385706B2
JP5385706B2 JP2009159854A JP2009159854A JP5385706B2 JP 5385706 B2 JP5385706 B2 JP 5385706B2 JP 2009159854 A JP2009159854 A JP 2009159854A JP 2009159854 A JP2009159854 A JP 2009159854A JP 5385706 B2 JP5385706 B2 JP 5385706B2
Authority
JP
Japan
Prior art keywords
chamber
substrate
radical species
barrier layer
remote plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2009159854A
Other languages
English (en)
Other versions
JP2009224808A (ja
Inventor
スチトラ スブラーマンヤン
リーアン ユー チェン
ローデリック クレイグ モーズリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009224808A publication Critical patent/JP2009224808A/ja
Application granted granted Critical
Publication of JP5385706B2 publication Critical patent/JP5385706B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • C23C14/022Cleaning or etching treatments by means of bombardment with energetic particles or radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

本発明は、半導体装置を製造するためのメタライゼーション方法に関する。特に、本発明は、メタライゼーションの前に行われるサブミクロン構造の予備洗浄に関する。
1/2ミクロン未満の多層メタライゼーションは、次世代の超大規模集積回路(VLSI)のための重要な技術の一つである。この技術の心臓部を占める多層配線技術は、高アスペクト比の孔で形成された配線構造の平坦化を必要とする。これら配線構造を信頼性をもって形成することは、VLSIを成功させ、また回路密度ならびに個々の基板およびダイの品質を向上するための継続的な努力にとって非常に重要である。
回路密度の増大は、主にビア、コンタクトおよび他の構造における幅の減少、並びにこれら構造間の誘電体膜厚の減少からもたらされる。装置の集積度を改善するためには、これら構造を洗浄して汚染物を除去することが要求される。この構造の幅を減少させると該構造のアスペクト比が更に大きくなり、金属または他の材料で埋める前に該構造を洗浄する困難性が増大する。これら構造の洗浄に失敗すると、該構造内における空隙の形成または構造の抵抗増大が生じる可能性がある。従って、高アスペクト比(特に、高さ/幅の比が4:1以上の場合)を有する微小構造の洗浄に多大の努力が向けられている。
微小構造内における自然酸化物および他の汚染物の存在は、蒸着する金属の不均一な分布を促進することによって、典型的には空隙を生じる。自然酸化物は、典型的には露出したフィルム層/基板を酸素に曝すことの結果として形成される。酸素暴露は、大気条件において処理チャンバー間で基板を移動するとき、真空チャンバー内に残留する少量の酸素がウエハー/フィルム層に接触するとき、またはエッチングにより層が損傷したときに生じる。構造内における他の汚染物は、酸化物のオーバーエッチングからスパッタされた材料、剥離プロセスからの残留フォトレジスト、先の酸化物エッチング工程での残留ポリマー、または予備スパッタエッチング工程から再蒸着された材料である可能性もある。自然酸化物および他の汚染物は、膜成長を阻害する領域を形成することによって、膜形成を妨げる領域を基板上に形成する。成長の制限された領域に蒸着金属が充填され得る前に、成長の増大した領域が微小構造を閉じてしまう。
また、自然酸化物および他の汚染物の存在は、ビア/コンタクトの抵抗を増大する可能性があり、該微小構造のエレクトロマイグレーション耐性を低下させる可能性がある。汚染物は誘電体膜、サブ層または蒸着金属の中に拡散して、該微小構造を含む装置の特性を変化させる可能性がある。汚染物は該構造の薄い境界領域に限定され得るが、この薄い境界領域は微細構造の実質的部分である。当該構造の中の許容可能な汚染物レベルは、該構造の幅が小さくなるに伴って低下する。
スパッタエッチングプロセスを用いて構造を予備洗浄することは、大きな構造または約4:1よりも小さいアスペクトを有する微細構造においては、汚染物を減少させるために効果的である。しかし、スパッタエッチングプロセスは、物理的衝突によって、シリコン層、当該構造側壁上のスパッタ蒸着Si/SiO2、および当該構造側壁上のアルミニウムまたは銅のようなスパッタ金属サブ層を損傷する可能性がある。大きな構造の場合、このスパッタエッチングプロセスは、典型的に該構造内の汚染物の量を許容可能なレベルにまで減少させる。大きなアスペクト比を持った小さい構造の場合、スパッタエッチングプロセスは当該構造内の汚染物の除去に効果的ではなく、形成される装置の特性を損なう。
スパッタエッチングプロセスによる予備洗浄は、銅基体を伴う構造には特に不適切である。それは、誘電体側壁を通してスパッタされたCuの拡散が容易だからである。この拡散は、TEOS、熱酸化物および幾つかの低K誘電体材料の場合に特に容易である。従って、Cu予備洗浄のために、基板上に如何なるバイアスもない新規な予備洗浄プロセスが必要とされている。
スパッタエッチング法に見られる損傷または汚染を伴わずに、メタライゼーションに先立ってサブミクロン構造を洗浄するための、湿式化学洗浄プロセスが開発された。この湿式化学プロセスは、典型的には、自然酸化物を除去するために当該構造のフッ化水素酸(HF)での処理を含んでいる。当該構造の中に残留する汚染物に応じて、種々の他の湿式プロセスを使用することができる。この湿式化学洗浄プロセスは、乾式洗浄プロセスと組合せることができる。しかし、当該構造から痕跡量の汚染物を除去するために湿式化学洗浄工程を追加することは、メタライゼーションのための全体のプロセス時間を実質的に増大させる。
サブミクロン構造のためのソフトエッチング洗浄プロセスは、Sumi等によって、「安定なオーミック特性のためのソフトエッチングを用いた新規コンタクトプロセス、および0.1ミクロン装置に対するその応用」(IEDM 94-113以下参照)に記載されている。このソフトエッチングプロセスは、誘導結合されたアルゴンのプラズマを使用して、シリコン下地層から自然酸化物を除去する。このプロセスは、コンタクトホールの底から側壁への物質の再スパッタリングを回避するものではない。
サブミクロン構造のための乾式洗浄プロセスは、Taguwa等によって、「Ar/H2 ECRプラズマによる完全乾式洗浄を用いたギガビットスケールDRAMのための低コンタクト抵抗メタライゼーション」(IED M95-695以下)に記載されている。この乾式洗浄プロセスは、好ましくは、チタンの化学的気相成長に先立って、アルゴンおよび水素の混合物を含む電子サイクロトロンプラズマで当該構造を洗浄する。この洗浄プロセスはArによる衝突を低下させ、自然酸化物をシリコン下地層から除去し、シリコン下地層と蒸着チタンとの間での均一なTiSix層の形成を促進する。しかし、洗浄プロセスにおいてArにH2を添加することは、当該構造内の材料の再スパッタリングを完全に排除するものではない。
高アスペクト比を有するサブクオーターミクロンの構造について、乾式洗浄の際に当該構造の底から側壁へと材料が再スパッタリングすることは、当該構造の著しい狭窄およびこれに対応して該構造の抵抗増大をもたらし、装置特性を損なう。公知の乾式洗浄プロセスは、当該構造の側壁への材料の再蒸着を伴うことなく、該構造から汚染物を除去する上で効果的ではない。従って、汚染物を残留させることなく、微細構造を効果的に洗浄するための洗浄プロセスが未だ必要とされている。
Sumi等、「安定なオーミック特性のためのソフトエッチングを用いた新規コンタクトプロセス、および0.1ミクロン装置に対するその応用」(IEDM 94-113以下) Taguwa等、「Ar/H2 ECRプラズマによる完全乾式洗浄を用いたギガビットスケールDRAMのための低コンタクト抵抗メタライゼーション」(IED M95-695以下)
本発明は、メタライゼーションに先立って汚染物を除去するために、半導体基板上の構造を予備洗浄する方法を提供する。この方法は、下地層を損傷することなくコンタクトの底から酸化物を除去することを含み、側壁への材料の再蒸着を伴わずにビアの底からSiO2、酸化アルミニウムまたは酸化銅を除去すること、コンタクト孔の底から損傷を受けたシリコンの薄層を除去すること、および構造の側壁から汚染物を除去することを含む。この構造の予備洗浄は、反応性洗浄ガスの遠隔プラズマからのラジカルを用いて汚染物が除去される第一の工程と、水素ラジカルへの露出により構造の中に残留する自然酸化物が還元される任意の第二の工程とを含んでいる。洗浄ガスのプラズマは、当該構造の底にあるサブ層を損傷または再スパッタしないソフトエッチングを提供するように、好ましくは遠隔プラズマ源によって発生される。
第一のまたは両方の予備洗浄工程の後に、当該構造は利用可能な技術によって金属を充填される。この技術は典型的には、アルミニウム(Al)、銅(Cu)またはタングステン(W)のような金属を蒸着して構造を完全に充填する前に、物理的気相成長、化学的気相成長または他の技術を使用して、露出された誘電体表面上にバリア/ライナー層を蒸着することを含む。予備洗浄およびメタライゼーションの工程は、カリホルニア州サンタクララのアプライドマテリアルズ社から入手可能なEndura(登録商標)のような、入手可能な一貫処理プラットホーム上で行うことができる。
本発明の一つの側面において、酸素、CF4/O2の混合物またはHe/NF3の混合物のような反応ガスを含むプラズマからのラジカルを用いて当該サブミクロン構造を予備洗浄することにより、半導体基板上の誘電体層に形成されたサブミクロン構造を処理することができる。反応性ガスでの洗浄に続いて、単独の水素、または窒素(N)、アルゴン(Ar)もしくはヘリウム(He)との混合物として水素を含むプラズマからのラジカルを用いて、サブミクロン構造中に残留する自然酸化物が除去される。また、水素ラジカルは酸化物に加えて、炭素およびフッ素汚染物をも除去する。予備洗浄に続いて、好ましくはライナー層またはバリア層が露出表面に蒸着され、次いでAl、Cu、W、または他の導電性材料で構造を充填する。ライナー層またはバリア層がTiまたはTi/TiNであるときは、本発明に従って予備洗浄されたシリコン表面上における650〜750℃でのチタンの蒸着は、TiSixの均一な単一層を形成する。また、遠隔プラズマ源をチャンバーに加えることにより、予備洗浄は、銅またはアルミニウムのメタライゼーションのバリア層を蒸着するCVD TiNチャンバーの中で、インサイチューで行うこともできる。
本発明のもう一つの側面では、バリア層蒸着の前および後の両方で本発明による予備洗浄を行って、バリア層の両側の汚染物の量を減少し、且つ当該構造のエレクトロマイグレーション耐性を改善することができる。
上記の構造、本発明の利点および目的を達成する方法を詳細に理解できるように、添付の図面に示される実施例を参照して、上記で簡単に概説した本発明を更に具体的に説明する。
しかし、添付の図面は本発明の典型的な実施例のみを示しており、従ってその範囲を限定するものではなく、本発明は他の等しく有効な実施例をも許容するものであることに留意すべきである。
図1は、本発明の予備洗浄工程を行うための遠隔プラズマ源を収容した反応性予備洗浄チャンバーを示している。 図2は、本発明の予備洗浄行程を実施するためのプラズマを発生する、誘導結合されたプラズマリアクタの全体のレイアウトを示している。 図3は、図2の線3−3に沿った断面における概略頂面図であり、ガス分配システムを示している。 図4は、図2のガス分配システムを示す概略拡大図である。 図5は、本発明に従って半導体基板上の構造を予備洗浄および充填するように構成された一貫処理プラットホームを示している。
本発明は、一般に、半導体基板上の誘電体層にエッチングされた構造を洗浄する方法を提供する。この方法は、サブミクロン構造を、反応ガスを含むプラズマからのラジカルで予備洗浄する工程を具備し、前記プラズマは好ましくは遠隔プラズマ源によって発生され、中性のラジカルが前記基板を配置したチャンバーの中に供給される。遠隔プラズマで処理した後にサブミクロン構造の中に残留している自然酸化物は、好ましくは、該構造のメタライゼーションに先立って、水素との反応により還元される。より具体的には、本発明はサブミクロン構造の予備洗浄、および銅、アルミニウムまたはタングステンでの該構造の充填を手供する。これらのプロセス工程は、カリホルニア州サンタクララのアプライドマテリアルズ社から入手可能なEndura(登録商標)のような、入手可能な一貫処理プラットホームの中で組合せることができるが、本発明に従ってサブミクロン構造の洗浄および充填を行うために、非一貫的技術を使用することもできる。
明瞭化のために、銅およびアルミニウム蒸着技術の両方を参照して本発明を説明する。しかし、現在知られていても或いはまだ発見されていなくても、タングステンまたは他の金属蒸着プロセスを使用して、本発明の効果を達成してもよい。
本発明は、Ge、Si、Al、CuまたはTiN基板のような、導電体または半導体サブ層上に蒸着される二酸化ケイ素のような誘電体にエッチングされたビア、コンタクトおよび他の構造を予備洗浄するのに適した方法を提供する。該構造は典型的にはサブ層を露出させる結果、該構造に導電材料または半導体材料を充填して、サブ層とその後に前記誘電体層上に蒸着される金属配線層とを接続することができる。誘電体中に上記構造をエッチングすることにより汚染物が残されるが、この汚染物は、典型的には該構造の充填を改善し、且つ最終的には形成される装置の完全性および信頼性を改善するために除去されるべきである。
誘電体層のエッチングの後に、当該構造は、誘電体層のオーバーエッチングに由来する損傷したシリコンまたは金属残渣を該構造内に有する可能性がある。また、この構造はその表面に、フォトレジスト剥離および/またはアッシングプロセスに由来する残留フォトレジスト、または誘電体エッチング工程に由来する残留ポリマーを含む可能性がある。当該構造はまた、スパッタエッチ予備プロセスの後に該構造の表面に再蒸着した材料を含む可能性がある。これらの汚染物は誘電体層の中にマイグレートする可能性があり、或いは蒸着している金属の不均一な分布を促進することによってメタライゼーションの選択性を妨げる可能性がある。汚染物の存在はまた、当該構造の幅を実質的に狭窄して、ビア、コンタクトラインまたは他の導電性構造を形成する金属に狭隘部分を発生することにより、蒸着した金属の抵抗を増大させる可能性がある。
本発明に従って洗浄および充填されるサブミクロン構造は、半導体基板の表面を覆って誘電体材料を堆積する従来の技術により形成される。現在知られていても或いは未だ発見されていなくても、有機ポリマーおよびエアロゲルのような低誘電体材料を含む如何なる誘電体材料でも使用することができ、また本発明の範囲内にある。この誘電体層は一以上の明確な層を含み、また蒸着性を高める何れか適切なサブ層上に蒸着される。蒸着性を高める好ましいサブ層には、AlおよびCuのような導電性金属、TiNのようなバリア表面、およびドープされたシリコンが含まれる。
蒸着されたら、この誘電体層を従来の技術によりエッチングして、ビア、コンタクト、トレンチまたは他のサブミクロン構造を形成する。典型的には、この構造は急峻な側壁を伴った高アスペクト比を有する。誘電体層のエッチングは、プラズマエッチングを含む何れかの誘電体エッチングプロセスを用いて達成することができる。二酸化ケイ素をエッチングするための特定の技術は、バッファーされたフッ酸およびアセトンのような化合物を含む。しかし、当該技術で公知の何れかの方法を使用して、何れかの層上でパターンニングを達成することができる。
<好ましい予備洗浄装置>
本発明の予備洗浄プロセスは、好ましくは、カリホルニア州サンタクララのアプライドマテリアルズ社から入手可能なEtch RPSのような遠隔プラズマ源(RPS)チャンバー上で行われる。このチャンバーは、二つの主要なアセンブリー:即ち、1)好ましくは、基板をチャンバー内に支持し且つ固定する静電チャックを含む(しかし必ずしも必要ではない)チャンバー本体;および2)遠隔プラズマ源を具備する。これらのアセンブリーの間には、実際にはダイナミックな相互作用が存在することが理解されるであろうが、系統化のためにこれら主要なアセンブリーを別々に説明する。このRPSチャンバーにおいて、反応性のHラジカルは遠隔源によって形成され、主要な中性種(即ち、電荷を持たず従ってイオンではない)として基板の近傍に導入される。従って、イオンによるウエハー表面の自己バイアスおよび衝撃の発生が防止される。RPSチャンバーを用いた実験は、低周波RF源よりも2.45 GHzのマイクロ波源の方がより効率的であり、より多くの水素ラジカルを発生できることを示している。
<チャンバー本体/静電チャックアセンブリー>
図1を参照すると、基板上にエッチングされた構造が、静電チャック14を含んだ固定されたカソード12を有する遠隔プラズマ源(RPS)チャンバー10内で予備洗浄される(なお、静電チャック14は固定されたカソード12に基板(図示せず)を固定する)。チャンバー10は、スリット弁ポート18を有するチャンバー本体16を含んでおり、弁ポート18は、チャンバー10をEndura(登録商標)プラットホームのような基板処理プラットホームに連結している。
固定されたカソード12は、プロセス特性を向上させるための非粘着性外表面をもったカソードライナー20によって、処理ガスから遮蔽される。また、チャンバー本体16は、プロセス特性を高める非粘着性内表面をもったチャンバーライナー22によって、処理ガスから遮蔽される。チャンバーライナー22は、ガス分配プレート26を支持する内側環状レッジ(横桟)24を含んでいる。ガス分配プレート26は複数の離間した孔を有し、この孔は下記で説明する遠隔プラズマ源から受け取った処理ガスを分配する。処理ガスは、静電チャック14上に配置された基板の表面を覆って流れる。遠隔プラズマ源は、典型的には処理ガスの如何なるプラズマをも閉じ込め、中性のラジカルをチャンバー22に与える。ガス分配プレートは、処理ガスの中に残留するイオンを更に減少させるためにアースされる。
固定されたカソード12上の処理領域30は、チャンバー本体16上の排気ポート32に流体連通したバキュームポンプ(図示せず)によって、低プロセス圧に維持される。複数の離間した孔を有するプレナム34は、処理領域30を排気ポート32から分離して、固定されたカソード12の回りの均一な排気を促進する。処理領域30は、チャンバー本体16に取りつけられたサファイア窓36を通して外側から見ることができる。
チャンバー10は、チャンバーライナー22の上に置かれた取外し可能なチャンバー蓋40を有している。チャンバー蓋40は、以下で述べる遠隔プラズマ源50から処理ガスを受け取るための中央注入ポート42を有している。
<遠隔プラズマ源>
図1を参照すると、本発明の予備洗浄プロセスのための処理ガスは、上記で述べたRPSチャンバー10に流体連通した遠隔プラズマ源50の中へと励起される。プラズマアプリケータ52は、処理ガスを受け入れるガス入口54を有している。処理ガスはアプリケータ52を通して流れ、チャンバー蓋40における中央注入ポート42の中へと出て行く。ジャケット波ガイド56が、プラズマアプリケータ52のサファイア管部分を取囲んでおり、マイクロ波エネルギーを処理ガスに供給する。アプリケータ52の中でプラズマが発生し、典型的には該アプリケータ52の中に閉じ込められる。
マイクロ波エネルギーが、2.45 GHzで1500ワットまで与えるマグネトロン60によって発生される。マイクロ波エネルギーはマイクロ波アイソレータ62を通過し、これは反射した電力がマグネトロン60を損傷するのを防止する。アイソレータ62からのマイクロ波エネルギーは、90°波ガイド64を通して自動チューナー66へと伝達される。該自動チューナーは、アプリケータ52の中のプラズマのインピーダンスをマグネトロン60のインピーダンスに自動的に調節し、最小の反射電力およびプラズマアプリケータ52への最大の電力移動をもたらす。
処理ガスはアプリケータ52の中で充分なエネルギーを受け取って、反応性(ラジカル)種を含むプラズマを形成する。CF4およびO2を含むプラズマは、Oラジカルおよび幾らかのFラジカルを発生させる。処理ガスは、アプリケータ52を出る前に殆ど中和される。処理ガスは、分配プレート26を通過した後にラジカルの実質的な部分を保持する。ラジカルは、処理ガスがチャンバーから排気される前に基板上に供給される。Fラジカルは主にSiおよびSiO2と結合して、揮発性のSiF4を形成する。Oラジカルはフッ化炭素と結合して、CO、CO2およびCOF2を形成する。水素ラジカルは自然酸化物と反応して、水蒸気および金属を形成する。排気ガスは種々の副生成物、並びに幾らかの再結合種を含んでいる。
図1における専用の予備洗浄チャンバーについて予備洗浄を示したが、この予備洗浄は、遠隔プラズマ源を金属CVD/PVDチャンバーに連結することによっても行うことができるであろう。例えば、このようなメタライゼーションチャンバーにおける基板レベルにガス入口を設け、反応性ガスのプラズマまたは水素プラズマを遠隔プラズマ源から供給することもできるであろう。ガス供給システムを有する金属蒸着チャンバーを変更して、基板上に配置されたガス分配シャワーヘッドのような現存のガス入口を通して、予備洗浄ガスプラズマを供給することもできるであろう。
<予備洗浄プロセス>
本発明は、メタライゼーションに先立って汚染物を除去するために、半導体基板上の構造を予備洗浄するための方法を提供する。この方法は、シリコンを損傷することなくコンタクトの底から二酸化ケイ素を除去し、側壁への金属の再蒸着を伴わずにビアの底から酸化アルミニウムまたは酸化銅を除去し、コンタクトホールの底からシリコン薄層を除去し、また当該構造の側壁から汚染物を除去することを含む。
本発明によれば、半導体基板上の誘電体層に形成された構造が、酸素、CF4/O2の混合物、またはHe/NF3の混合物のような反応性ガスを含むプラズマからのラジカルで予備洗浄される。このプラズマは、好ましくは遠隔プラズマ源によって発生され、基板が配置されたチャンバーの中に供給される。好ましい反応性ガスはCF4/O2であり、これは予備洗浄プロセスの際に、酸素イオンおよびフッ素イオンの両方を与える。このプロセスは典型的には高濃度のO2を使用して、フッ素および炭素含有種を効果的に除去するための非重合性混合物を形成する。このガス混合物は、広範囲のエッチング速度(50オングストローム/分〜500オングストローム/分)および広範囲のSi:SiO2エッチング選択性を得るために変更することができる。該洗浄プロセスは当該構造内に自然酸化物を残し、該自然酸化物は、好ましくは第二の工程において、単独または反応性ラジカルを希釈するように機能するN、ArまたはHeと混合された、水素を含有するプラズマからのラジカルによって還元される。第一または両方の洗浄工程に続いて、好ましくは、TiNまたはTiのようなライナーまたはバリア層が当該構造の露出表面に蒸着され、該構造はPVD、CVDまたは電着プロセスによってAl、CuまたはWのような導電性金属で埋められる。
本発明の一つの側面において、半導体基板または金属基板上の誘電体層に形成されたサブミクロン構造は、CF4/O2を含むプラズマからのラジカルで予備洗浄することにより処理され、また該プラズマは遠隔プラズマ源により発生されて、前記基板を収容しているチャンバーの中に供給される。反応性ガスのラジカルは残留するフォトレジスト、炭化水素およびフッ化炭素を当該構造の側壁から除去する。また、この反応性ガスのラジカルは、当該構造の底にある損傷を受けたSiを除去することができる。CF4/O2のプラズマは、フッ素ラジカルおよび酸素ラジカルの両方を含む。フッ素ラジカルは、プロセス条件下で揮発性のSiF4を形成することによってシリコンを除去する。フッ素ラジカルは、プロセス条件下において揮発性のSiF4、COおよび他の酸素化合物の形成により二酸化ケイ素を除去する。また、フッ素ラジカルは、当該構造内の炭化水素またはフッ化炭素汚染物から水素を除去し、酸素ラジカルが炭化水素およびフッ化炭素を揮発性成分に分解するのを補助する。所望により、反応性ラジカルを希釈するために、ヘリウムのような不活性ガスをプラズマの中に与えることができる。シリコンサブ層(典型的には第一レベル)を有する構造については、フッ素ラジカルは薄層(例えば50オングストローム)のシリコンを除去することにより、好ましくは損傷されたシリコンを除去する。
反応性ガスのプラズマからのラジカルを用いた洗浄に続いて、サブミクロン構造の中に残留する自然酸化物を、単独またはN、ArまたはHeと混合された水素を含むプラズマからのラジカルで還元することができる。自然酸化物の還元は、当該構造とサブ層との間に酸素が存在しない界面を提供し、該構造の抵抗を低下させる。水素または水素を含む混合物を用いた自然酸化物の熱的還元は、350℃のCVD TiNチャンバーRPSチャンバーの中で達成することができる。自然酸化物の水素表面処理は、当該構造の底にあるサブ層表面に水素ダングリングボンドを残すという追加の利益を有する。この水素ダングリングボンドは、炭素ベースの前駆体から蒸着される後続の膜の還元を補助する。Siサブ層の場合の水素処理はSi表面を不活性化し、これにより大気に露出されたときの再酸化に対しる抵抗性を与える。
本発明による予備洗浄に続いて、好ましくは、当該構造の露出表面にライナー層またはバリア層が蒸着され、またAl、Cu、Wまたは他の導電性材料で当該構造が充填される。このライナー層またはバリア層がTi/TiNであるときは、本発明に従って予備洗浄されたシリコン表面上に蒸着されたチタンは、均一な単一相のTiSix層を形成する。ライナー層またはバリア層がTiまたはTi/TiNであるとき、本発明に従って予備洗浄されたシリコン表面上における650〜750℃でのチタンの蒸着は、均一な単一相のTiSix層を形成する。
本発明のもう一つの側面において、本発明による予備洗浄は、当該構造を充填する蒸着されたAl、Cu、Wまたは他の導電性金属のエレクトロマイグレーション抵抗を増大するために、バリア層を蒸着する前および後の両方で行うことができる。好ましいバリア層/ライニング層には、タンタル(Ta)、窒化タンタル(TaN)、チタン(Ti)および窒化チタン(TiN)の層、またはこれら層の組合せが含まれる。遠隔プラズマまたは熱プロセスにより発生したH2ラジカルでのバリア層/ライニング層の処理は、CVD蒸着されたAlまたはCVD蒸着されたCuに対するより良好な結合性を与えるために使用することができる。例えば、Ta、TaNまたはCVD蒸着されたTiNを、H2ガスまたはH2とHe、ArもしくはN2との混合ガスで処理すると、銅に対する優れた結合性を与える。このH2混合ガスは、好ましくは少なくとも90容量%の水素を含有する。また、このバリア層のH2処理は、CVD Cuチャンバーに遠隔プラズマ源を搭載することにより、インサイチューでも行うことができる。このインサイチュー処理はバリア表面を不活性化し、C、OおよびF化合物の吸着を防止して、Ta、TaNまたはTiN表面上のCVD Cuの良好な接合および低抵抗を導く。この技術はまた、バリア層上のCuのテクスチャ、粒子配向および粒子サイズを改善し、より良好な表面トポグラフィーおよびより緻密な粒子配向分布をもたらす。
従来のICPまたはスパッタエッチに基づく予備洗浄チャンバー内において、銅は側壁に容易にスパッタされるので、本発明の予備洗浄方法は、サブミクロン構造の底に銅のサブ層を有する構造を洗浄するために特に有用である。スパッタされた銅は誘電体材料の中に拡散して、装置故障を起こす。本発明はビアの基底のスパッタリングを伴わずにビアを洗浄する。
<仮想実施例>
以下の仮想実施例では、銅のサブ層を有する二酸化ケイ素中にエッチングされた構造の予備洗浄を記載することにより、本発明の好ましい方法を説明する。予備洗浄は、遠隔プラズマ源で発生したCF4/O2のプラズマからのラジカルに当該構造を露出させる第一の工程を含んでいる。このラジカルは、当該構造をもった基板が配置されるチャンバーの中に供給される。次いで、CVDプラズマリアクターの中で当該構造を銅で充填する前に、水素を含むプラズマがHラジカルを発生してチャンバーの中に供給し、自然酸化物、先の酸化物エッチング工程でのプラズマ損傷に由来する酸化物、または該エッチング工程後に使用した湿式化学処理に由来する酸化物を還元する。
遠隔プラズマ源においてマイクロ波を適用する前に、予備洗浄チャンバーは、200 sccmの酸素および10 sccmの四フッ化炭素(CF4)を流しながら、400 mTorrの圧力で5秒間安定化される。次いで、1000Wのマイクロ波電力(2.45 GHz)を遠隔プラズマに印加することにより、当該構造は30秒間洗浄される。次いで、300〜500 sccmの水素流および1000Wのマイクロ波電力(2.45 GHz)を遠隔プラズマに加えて、350〜450 mTorrの圧力において水素プラズマからのラジカルで30秒間処理することにより、当該構造内の自然酸化物が還元される。
<別の実施例>
次に図2を参照すると、本発明のプロセスを実施するための別のプロセスチャンバーが断面図で概略的に示されている。このプロセスチャンバーは、カリホルニア州サンタクララのアプライドマテリアル社から入手可能なプレクリーンII (preclean II)チャンバーであり得る。側壁114を有するベース部材112により真空チャンバー111が形成されており、これは、好ましくはステンレス鋼またはアルミニウムなどのような金属製である。本体部材112の基底部における開口部115は、チャンバー111の内部のガス圧を制御するために用いるターボポンプ116に接続される。石英ドーム117がチャンバー111の頂部を形成しており、その周縁にはフランジ118が設けられていて、ベース部材112の側壁の頂部周辺と合体されている。石英ドームとベース部材111との接合部分にはガス分配システム119が設けられており、これについては以下で詳細に述べる。石英またはセラミック等でできた絶縁台座120は、ウエハーをチャンバー111内に保持するために配置される導電性基台122を保持している。高周波RF電源123は基台122と容量的にカップリングされており、これに負のバイアス電圧を供給する。このバイアス電圧は、本発明の予備洗浄の際には使用されない。
螺旋形状のRF誘導コイル125は石英ドーム117の外部に巻回され、カバー117によって支持される。コイル125は中空銅管で形成される。チャンバー111には、コイル125の巻線の内側で軸方向に交番する電磁界が生じる。一般に、約350 KHz〜約450 KHzのRF周波数が用いられ、この周波数で動作する従来設計のRF電源(図示せず)がコイル125に結合されて、チャンバー111内でプラズマを発生させる。RF電磁界は基台122上のチャンバー111内でグロー放電プラズマを発生させるが、これは基台およびウエハーからプラズマを分離させるプラズマシースまたは暗黒空間を有している。
次に、図3および図4を参照すると、システム中で使用される反応性ガスのための分配システムが、やはり概略的ではあるが更に詳細に示されている。金属製の側壁部材114の頂部は、その中に刻まれたガス供給トレンチ133を有しており、ガス源から伸びる均等に(角度方向に)配置された12のチャンネルが該チャンネルを横切って、複数のガス注入孔132を形成している。石英ドーム117のフランジ118がベース部材112の側壁を覆って配置されると、溝133で与えられる空間の中に、ドーム117のフランジ118によって蓋をされた閉じたドーナツ形状の管が形成される。従って、反応性ガス(通常はフッ素等)はチャンバー111の中心点の周りに供給され、ウエハーを横切るその流れは均一である。

本発明の予備洗浄工程は、銅サブ層を有する誘電体層にエッチングされた構造を、CuまたはAlのプラグで充填する前に首尾よく洗浄するために、図2〜図4に示したチャンバーの中で組合された。チャンバーは、90%のH2および10%のHe、ArもしくはN2を用いて5〜20 mTorrで5秒間安定化された。次いで、300 Wの400 KHzのRF電力をコイルに印加し、10 WのRF電力(13.56 MHz)を基台に印加することにより、当該構造を60秒間洗浄した。これらのプロセス条件(即ち、非常に低いバイアス)は、Cuサブ層の側壁へのスパッタリングを伴うことなく、CuOを化学的に還元することができる。SiまたはTiSixサブ層についても、高温で同様の処理を使用することができる。
<一貫処理システム>
本発明の予備洗浄プロセスは、上記で述べたような専用の予備洗浄チャンバー内で行われ、或いはバリア層チャンバー内においてインサイチューで行われる。予備洗浄チャンバーは、基板の当座の汚染を回避するために、好ましくは処理プラットホーム上の他のメタライゼーションプロセスチャンバーと集積される。好ましい集積スキームは図5に示されており、これはアプライドマテリアルズInc.から入手可能否ENDURA(登録商標)プラットホーム上に搭載された種々のプロセスチャンバーを表している。
図5を参照すると、概略図は、基板を予備洗浄するためのRPSチャンバー、およびその中で一貫メタライゼーションプロセスを実施できるPVDおよびCVDチャンバーの両者を有する一貫処理システム160を示している。典型的には、該基板は、カセットロードロック162を通して処理システム160に導入され、またそこから取り出される。該システムの全体を通して基板を移動させるために、ブレード167を有するロボット164が処理システム160内に配置される。第一のロボット164は、典型的にはバッファーチャンバー168内に配置されて、カセットロードロック162、脱ガスウエハー配向チャンバー170、RPS予備洗浄チャンバー172、HP-PVD Ti/TiNチャンバー175およびクールダウンチャンバー176の間で基板を搬送する。第二のロボット178は搬送チャンバー180内に配置されて、クールダウンチャンバー176、PVD IMP Ti/TiNチャンバー182、CVD Alチャンバー184、CVD TiNチャンバー186、およびPVD HTHU Alチャンバー188へ、およびこれらチャンバーから基板を搬送する。一貫システムにおける搬送チャンバー180は、好ましくは10-8 torrの範囲の低圧または高真空に維持される。図5におけるこの特定の構成は、CVDおよびPVDの両方の処理を一つのクラスターツール内で行うことができる一貫処理システムを具備している。この特別の構成または配置は単に例示的なものに過ぎず、本発明ではより多くのPVDおよびCVDプロセスが想定される。
典型的には、処理システム160の中で処理される基板は、カセットロードロック162からバッファーチャンバー168へと通過し、そこでは、最初にロボット164が基板を脱ガスチャンバー170へと移動する。次いで、該基板はRPS予備洗浄チャンバー172、PVD HP TiNチャンバー175、そしてクールダウンチャンバー176へと搬送される。ロボット178は、典型的には基板をクールダウンチャンバー176から一以上の処理チャンバーへ、およびこれら処理チャンバーの間で移動させ、その後に基板をクールダウンチャンバー176へと戻す。サブミクロン構造にアルミニウムを充填するために、基板は、一以上のチャンバー内で何回でも、如何なる順序で処理または冷却されてもよい。処理の後、基板は処理システム160からバッファーチャンバー168を通してロードロック162へと取り出される。マイクロプロセッサコントローラ190は、シーケンスおよび基板上の層の形成を制御する。
本発明によれば、処理システム160はロードロック162を介して脱ガスチャンバー170へと基板を通過させ、そこでは基板がアウトガス汚染物に導入される。次いで、基板はRPS予備洗浄チャンバー172へと移動され、そこでは如何なる汚染物も除去し且つ自然酸化物を還元するために、サブミクロン構造が洗浄される。次に、基板はPVD HP Ti/TiNチャンバー175内で処理されて、洗浄された誘電体表面上にTi/TiNバリア層が堆積され、次いでクールダウンチャンバー176へと通される。次いで、アルミニウム蒸着のために、第二のロボットが基板を一以上のCVDおよびPVDチャンバーへと搬送する。
集積されたプラットホーム160はまた、シリコンサブ層の予備洗浄に続いて、PVD IMP TI/TiNチャンバー182の中でTiを蒸着することにより、均一で且つ単一相のチタンシリサイドの蒸着を可能にする。シリサイド化の後、温Al蒸着、冷Al蒸着、または選択的Al蒸着によって、サブミクロン構造のメタライゼーションが完成する。
図5の集積されたプラットホームのもう一つの応用は、CVD TiNチャンバー175、PVD γ-Cuチャンバー182、CVD Cuチャンバ184、PVD HTHU Cuチャンバー186、およびPVD IMP Ta/TaNチャンバー188を準備することによって、銅の蒸着を提供する。基板はCVD TiNチャンバー175またはPVD IMP Ta/TaNチャンバー188の中で処理され、CVD TiNまたはTa/TaNバリア層が洗浄された誘電体表面に蒸着され、次いで、該基板はクールダウンチャンバー176へと通される。銅蒸着に先立つサブミクロン構造の予備洗浄は、RPSチャンバー172の中またはクールダウンチャンバー176を置換する予備洗浄IIチャンバーの中で行うことができる。この予備洗浄IIチャンバーは、H2、Ar、He、およびN2配管を追加することにより、クールダウンチャンバーを変更する。次いで、第二のロボット178は、銅の蒸着のために、基板を一以上のCVDおよびPVDチャンバーへと移送する。蒸着されたCu層は、該層をCuOの形成に対してより耐性にするためにH2でアニールしてもよい。
集積されたプラットホームのもう一つの応用は、IMP Tiチャンバー、二つのCVD TiNチャンバーおよび二つの予備洗浄チャンバーを準備することによりタングステンの蒸着を与えることである。基板をIMP TiチャンバーおよびCVD TiNチャンバーの中で処理して、洗浄された誘電体層表面にTi/TiNバリア層を蒸着し、次いで該小判をクールダウンチャンバーへと通過させる。タングステンの蒸着に先立つサブミクロン構造の予備洗浄は、RPSベースの予備洗浄チャンバーの中で行うことができる。
本発明と共に使用するのに適したステージされた真空ウエハー処理方法は、1993年2月16日発行の「ステージされた真空ウエハー処理システムおよび方法」と題するTepman et al.の米国特許第5,186,718号に開示されており、この特許を本明細書の一部をなす参照として援用する。この方法は、本発明の予備洗浄方法に容易に適合する。処理チャンバーの如何なる組合せも、専用の予備洗浄チャンバーと共に使用することができる。
上記の説明は本発明の好ましい実施例に向けられているが、本発明の他の更なる実施例は、その基本的な範囲を逸脱することなく考案することができる。本発明の範囲は特許請求の範囲によって決定されるものである。

Claims (15)

  1. 基板表面上に金属相互接続を形成する方法であって、次の工程:
    前記基板表面をエッチングして、本体の周りに配置された第1のプロセスチャンバー内で1つまたはそれより多数の構造を形成する工程、
    前記形成された構造を有する基板を、真空を維持したまま本体の周りに配置された第2のプロセスチャンバーへ移送する工程、
    メタライゼーションに先立って、ラジカルに曝すことにより前記基板上の構造を洗浄する工程
    を含み、前記洗浄する工程は、
    第1のラジカル種を含む遠隔プラズマ源の中で第1のプラズマを発生させる工程、
    前記遠隔プラズマ源から前記第2のプロセスチャンバーへ、前記第1のラジカルを供給する工程、
    第2のラジカル種を含む遠隔プラズマ源の中で第2のプラズマを発生させる工程、
    前記遠隔プラズマ源から前記第2のプロセスチャンバーへ、前記第2のラジカル種を供給する工程、
    を含む、前記方法。
  2. 前記構造を洗浄した後に、バリア層を前記基板表面の上に堆積させる工程をさらに含む、請求項1に記載の方法。
  3. 前記バリア層が、化学気相成長法を用いて堆積される、請求項2に記載の方法。
  4. 前記第2のチャンバーは専用の洗浄チャンバーであり、前記バリア層は、本体の周りに配置された第3のチャンバー内で堆積される、請求項2に記載の方法。
  5. 前記バリア層は、チタン、窒化チタン、タンタル、窒化タンタル、及びこれらの組合せからなる群から選ばれる材料を含む、請求項2に記載の方法。
  6. 前記バリア層は前記第2のチャンバー内で堆積される、請求項2に記載の方法。
  7. メタライゼーションが、アルミニウム、銅またはタングステンを、前記基板表面上に堆積させる工程を含み、前記本体の周りに配置された第2のチャンバー又は第3のチャンバー内で堆積される、請求項1に記載の方法。
  8. 基板表面上に金属相互接続を形成する方法であって、次の工程:
    前記基板表面をエッチングして、本体の周りに配置された第1のプロセスチャンバー内で1つまたはそれより多数の構造を形成する工程、
    前記形成された構造を有する基板を、真空を維持したまま本体の周りに配置された第2のプロセスチャンバーへ移送する工程、
    第1のラジカル種を含む遠隔プラズマ源の中で第1のプラズマを発生させる工程であって、前記第1のラジカル種は、1種又はそれより多数の反応性ガスを含む、前記工程、
    前記遠隔プラズマ源から前記第2のプロセスチャンバーへ、前記第1のラジカルを供給する工程、
    第2のラジカル種を含む遠隔プラズマ源の中で第2のプラズマを発生させる工程であって、前記第2のラジカル種は、水素、窒素、アルゴン、及びヘリウムからなる群から選ばれる1種又はそれより多数の反応性ガスを含む、前記工程、
    前記遠隔プラズマ源から前記第2のプロセスチャンバーへ、前記第2のラジカル種を供給する工程、
    メタライゼーションに先立って、前記ラジカルに曝すことにより前記基板上の構造を洗浄する工程、
    を含む、前記方法。
  9. 第2のラジカル種は、水素、又は水素とヘリウムとの混合物を含む、請求項8に記載の方法。
  10. 前記構造を洗浄した後に、化学気相成長法を用いて、第2のチャンバー内で、バリア層を前記基板表面の上に堆積させる工程をさらに含む、請求項8に記載の方法。
  11. 前記第2のチャンバーは専用の洗浄チャンバーであり、バリア層が、本体の周りに配置された第3のチャンバー内で堆積され、前記バリア層は、チタン、窒化チタン、タンタル、窒化タンタル、及びこれらの組合せからなる群から選ばれる材料を含む、請求項8に記載の方法。
  12. メタライゼーションが、アルミニウム、銅またはタングステンを、前記基板表面上に堆積させる工程を含む、請求項8に記載の方法。
  13. 基板表面上に金属相互接続を形成する方法であって、次の工程:
    前記基板表面をエッチングして、本体の周りに配置された第1のプロセスチャンバー内で1つまたはそれより多数の構造を形成する工程、
    前記形成された構造を有する基板を、真空を維持したまま本体の周りに配置された第2のプロセスチャンバーへ移送する工程、
    第1のラジカル種を含む遠隔プラズマ源の中で第1のプラズマを発生させる工程、
    前記遠隔プラズマ源から前記第2のプロセスチャンバーへ、前記第1のラジカルを供給する工程、
    第2のラジカル種を含む遠隔プラズマ源の中で第2のプラズマを発生させる工程、
    前記遠隔プラズマ源から前記第2のプロセスチャンバーへ、前記第2のラジカル種を供給する工程、
    洗浄された構造の少なくとも一部にバリア層を堆積させる工程に先立って、前記ラジカルに曝すことにより前記基板上の構造を洗浄する工程、
    前記堆積されたバリア層を有する基板を、真空を維持したまま本体の周りに配置された第3のプロセスチャンバーへ移送する工程、
    前記構造を銅で充填する工程に先立って、前記バリア層の上に銅のサブ層を堆積させる工程、
    を含む、前記方法。
  14. 前記第2のラジカル種は、水素、窒素、アルゴン、及びヘリウムからなる群から選ばれる1種又はそれより多数ガスを含む、請求項13に記載の方法。
  15. 前記バリア層は本体の周りに配置された第4のチャンバー内で堆積される、請求項13に記載の方法。
JP2009159854A 1997-12-30 2009-07-06 サブクオーターミクロン適用のための、メタライゼーションに先立つ予備洗浄方法 Expired - Lifetime JP5385706B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/000,746 US6107192A (en) 1997-12-30 1997-12-30 Reactive preclean prior to metallization for sub-quarter micron application
US09/000,746 1997-12-30

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2000526963A Division JP4681117B2 (ja) 1997-12-30 1998-11-02 サブクオーターミクロン適用のための、メタライゼーションに先立つ予備洗浄方法

Publications (2)

Publication Number Publication Date
JP2009224808A JP2009224808A (ja) 2009-10-01
JP5385706B2 true JP5385706B2 (ja) 2014-01-08

Family

ID=21692851

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000526963A Expired - Lifetime JP4681117B2 (ja) 1997-12-30 1998-11-02 サブクオーターミクロン適用のための、メタライゼーションに先立つ予備洗浄方法
JP2009159854A Expired - Lifetime JP5385706B2 (ja) 1997-12-30 2009-07-06 サブクオーターミクロン適用のための、メタライゼーションに先立つ予備洗浄方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2000526963A Expired - Lifetime JP4681117B2 (ja) 1997-12-30 1998-11-02 サブクオーターミクロン適用のための、メタライゼーションに先立つ予備洗浄方法

Country Status (6)

Country Link
US (3) US6107192A (ja)
EP (1) EP1042795A1 (ja)
JP (2) JP4681117B2 (ja)
KR (1) KR20010033812A (ja)
TW (1) TW411497B (ja)
WO (1) WO1999034424A1 (ja)

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US7244677B2 (en) * 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US6547934B2 (en) * 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
US6303505B1 (en) * 1998-07-09 2001-10-16 Advanced Micro Devices, Inc. Copper interconnect with improved electromigration resistance
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6277733B1 (en) * 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6599829B2 (en) * 1998-11-25 2003-07-29 Texas Instruments Incorporated Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization
US6372301B1 (en) * 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
KR100322545B1 (ko) * 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6204192B1 (en) * 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
EP1081751A3 (en) * 1999-09-02 2003-03-19 Applied Materials, Inc. Methods of pre-cleaning dielectric layers of substrates
US7014887B1 (en) * 1999-09-02 2006-03-21 Applied Materials, Inc. Sequential sputter and reactive precleans of vias and contacts
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US6313042B1 (en) * 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6303518B1 (en) * 1999-09-30 2001-10-16 Novellus Systems, Inc. Methods to improve chemical vapor deposited fluorosilicate glass (FSG) film adhesion to metal barrier or etch stop/diffusion barrier layers
WO2001029282A2 (en) * 1999-10-20 2001-04-26 Cvd Systems, Inc. Fluid processing system
US6530380B1 (en) * 1999-11-19 2003-03-11 Chartered Semiconductor Manufacturing Ltd. Method for selective oxide etching in pre-metal deposition
US6727185B1 (en) * 1999-11-29 2004-04-27 Texas Instruments Incorporated Dry process for post oxide etch residue removal
KR100358045B1 (ko) * 1999-12-22 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
US6602793B1 (en) * 2000-02-03 2003-08-05 Newport Fab, Llc Pre-clean chamber
US6471913B1 (en) 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
US6780374B2 (en) 2000-12-08 2004-08-24 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece at an elevated temperature
EP1138804A3 (de) 2000-03-27 2003-06-25 Infineon Technologies AG Bauelement mit zumindest zwei aneinander grenzenden Isolierschichten und Herstellungsverfahren dazu
JP2001298028A (ja) * 2000-04-17 2001-10-26 Tokyo Electron Ltd 半導体デバイス製造方法
AU2001259119A1 (en) * 2000-04-25 2001-11-07 Tokyo Electron Limited Method and apparatus for plasma cleaning of workpieces
KR100383759B1 (ko) * 2000-06-15 2003-05-14 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
KR100407680B1 (ko) * 2000-06-20 2003-12-01 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
US6346488B1 (en) * 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100375985B1 (ko) * 2000-08-17 2003-03-15 삼성전자주식회사 반사부를 구비하는 박막 형성 장치
JP4112198B2 (ja) * 2000-09-11 2008-07-02 財団法人地球環境産業技術研究機構 クリーニングガス及びエッチングガス、並びにチャンバークリーニング方法及びエッチング方法
JP4910231B2 (ja) * 2000-10-25 2012-04-04 ソニー株式会社 半導体装置の製造方法
US6573181B1 (en) * 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6455414B1 (en) * 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
US20020106881A1 (en) * 2000-12-07 2002-08-08 Jain Manoj K. Prevention of contact failure by hydrogen treatment
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US7111629B2 (en) * 2001-01-08 2006-09-26 Apl Co., Ltd. Method for cleaning substrate surface
US6498108B2 (en) * 2001-02-12 2002-12-24 Fairchild Semiconductor Corporation Method for removing surface contamination on semiconductor substrates
US6641747B1 (en) 2001-02-15 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for determining an etch endpoint
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US6787462B2 (en) * 2001-03-28 2004-09-07 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device having buried metal wiring
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US20040200576A1 (en) * 2001-04-23 2004-10-14 Tokyo Electron Limited Method and apparatus for plasma cleaning of workpieces
US6630406B2 (en) 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
US20030027427A1 (en) * 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US6908865B2 (en) * 2001-09-28 2005-06-21 Applied Materials, Inc. Method and apparatus for cleaning substrates
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
KR100407998B1 (ko) 2001-10-09 2003-12-01 주식회사 하이닉스반도체 금속 배선의 콘택 영역 세정 방법
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6780086B2 (en) 2001-10-12 2004-08-24 Mosel Vitelic, Inc. Determining an endpoint in a polishing process
US6503824B1 (en) 2001-10-12 2003-01-07 Mosel Vitelic, Inc. Forming conductive layers on insulators by physical vapor deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6455814B1 (en) * 2001-11-07 2002-09-24 Applied Materials, Inc. Backside heating chamber for emissivity independent thermal processes
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20030116845A1 (en) * 2001-12-21 2003-06-26 Bojkov Christo P. Waferlevel method for direct bumping on copper pads in integrated circuits
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US20030194877A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Integrated etch, rinse and dry, and anneal method and system
US7169704B2 (en) * 2002-06-21 2007-01-30 Samsung Electronics Co., Ltd. Method of cleaning a surface of a water in connection with forming a barrier layer of a semiconductor device
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
US20040118697A1 (en) * 2002-10-01 2004-06-24 Applied Materials, Inc. Metal deposition process with pre-cleaning before electrochemical deposition
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6627527B1 (en) 2002-10-10 2003-09-30 Taiwan Semiconductor Manufacturing Company Method to reduce metal silicide void formation
US20040069651A1 (en) * 2002-10-15 2004-04-15 Applied Materials, Inc. Oxide treatment and pressure control for electrodeposition
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6992011B2 (en) * 2003-01-15 2006-01-31 Tokyo Electron Limited Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
US6923189B2 (en) * 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US7604708B2 (en) * 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US6913994B2 (en) * 2003-04-09 2005-07-05 Agency For Science, Technology And Research Method to form Cu/OSG dual damascene structure for high performance and reliable interconnects
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US20040219795A1 (en) * 2003-05-01 2004-11-04 Taiwan Semiconductor Manufacturing Co. Method to improve breakdown voltage by H2 plasma treat
DE10320472A1 (de) * 2003-05-08 2004-12-02 Kolektor D.O.O. Plasmabehandlung zur Reinigung von Kupfer oder Nickel
JP4413556B2 (ja) * 2003-08-15 2010-02-10 東京エレクトロン株式会社 成膜方法、半導体装置の製造方法
US6790778B1 (en) * 2003-09-10 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for capping over a copper layer
JPWO2005055305A1 (ja) * 2003-12-04 2007-06-28 東京エレクトロン株式会社 半導体基板導電層表面の清浄化方法
US20050161060A1 (en) * 2004-01-23 2005-07-28 Johnson Andrew D. Cleaning CVD chambers following deposition of porogen-containing materials
JP2005260060A (ja) * 2004-03-12 2005-09-22 Semiconductor Leading Edge Technologies Inc レジスト除去装置及びレジスト除去方法、並びにそれを用いて製造した半導体装置
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
JP2005268312A (ja) * 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc レジスト除去方法及びそれを用いて製造した半導体装置
US20050258137A1 (en) * 2004-03-24 2005-11-24 Sawin Herbert H Remote chamber methods for removing surface deposits
DE102004015865B4 (de) * 2004-03-31 2006-05-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reinigen der Oberfläche eines Substrats
US7229911B2 (en) 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
JP4503356B2 (ja) 2004-06-02 2010-07-14 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
US7378028B2 (en) * 2004-06-03 2008-05-27 Seagate Technology Llc Method for fabricating patterned magnetic recording media
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288205B2 (en) 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US20060106635A1 (en) * 2004-11-18 2006-05-18 Karl Ulrich Emission remediation
US20060144819A1 (en) * 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
KR100667561B1 (ko) * 2005-02-18 2007-01-11 주식회사 아이피에스 박막 증착 방법
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
CN101238238A (zh) * 2005-08-02 2008-08-06 麻省理工学院 使用氟化硫从cvd/pecvd腔的内部除去表面沉积物的远程腔方法
CN101278072A (zh) * 2005-08-02 2008-10-01 麻省理工学院 使用nf3除去表面沉积物的方法
US8747960B2 (en) * 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US20070099417A1 (en) * 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
US8399360B1 (en) * 2005-11-17 2013-03-19 Cypress Semiconductor Corporation Process for post contact-etch clean
US7994047B1 (en) * 2005-11-22 2011-08-09 Spansion Llc Integrated circuit contact system
US7704887B2 (en) * 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7495239B2 (en) * 2005-12-22 2009-02-24 Asml Netherlands B.V. Method for cleaning a lithographic apparatus module, a cleaning arrangement and a lithographic apparatus comprising the cleaning arrangement
US7504643B2 (en) * 2005-12-22 2009-03-17 Asml Netherlands B.V. Method for cleaning a lithographic apparatus module, a cleaning arrangement and a lithographic apparatus comprising the cleaning arrangement
US20070158181A1 (en) * 2006-01-12 2007-07-12 Seagate Technology Llc Method & apparatus for cathode sputtering with uniform process gas distribution
US9228254B2 (en) * 2006-01-12 2016-01-05 Seagate Technology Llc Cathode sputtering gas distribution apparatus
KR100978407B1 (ko) * 2006-03-06 2010-08-26 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US7780862B2 (en) * 2006-03-21 2010-08-24 Applied Materials, Inc. Device and method for etching flash memory gate stacks comprising high-k dielectric
US7759249B2 (en) * 2006-03-28 2010-07-20 Tokyo Electron Limited Method of removing residue from a substrate
US8722547B2 (en) * 2006-04-20 2014-05-13 Applied Materials, Inc. Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
WO2008008319A2 (en) * 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
KR100717374B1 (ko) 2006-07-11 2007-05-11 세메스 주식회사 자기장을 이용한 플라즈마 분사 장치
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
KR100794661B1 (ko) * 2006-08-18 2008-01-14 삼성전자주식회사 기판 처리 장치 및 그 장치의 세정 방법
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
KR100819096B1 (ko) * 2006-11-21 2008-04-02 삼성전자주식회사 Peox공정을 진행하는 반도체 제조설비의 리모트 플라즈마를 이용한 세정방법
US7628866B2 (en) * 2006-11-23 2009-12-08 United Microelectronics Corp. Method of cleaning wafer after etching process
US7977244B2 (en) * 2006-12-18 2011-07-12 United Microelectronics Corp. Semiconductor manufacturing process
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20100151677A1 (en) * 2007-04-12 2010-06-17 Freescale Semiconductor, Inc. Etch method in the manufacture of a semiconductor device
US8435379B2 (en) 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US20080289650A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Low-temperature cleaning of native oxide
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US8025269B1 (en) 2007-10-16 2011-09-27 National Semiconductor Corporation Chamber lid lifting apparatus
WO2009057838A1 (en) * 2007-11-01 2009-05-07 Eugene Technology Co., Ltd Apparatus for surface-treating wafer using high-frequency inductively-coupled plasma
CN101971298A (zh) * 2007-11-02 2011-02-09 佳能安内华股份有限公司 表面处理设备和表面处理方法
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP5596265B2 (ja) * 2007-12-27 2014-09-24 株式会社日立ハイテクノロジーズ 真空処理装置
US9502290B2 (en) * 2008-01-11 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation-free copper metallization process using in-situ baking
US7888661B2 (en) * 2008-02-13 2011-02-15 Axcelis Technologies Inc. Methods for in situ surface treatment in an ion implantation system
US7871937B2 (en) 2008-05-16 2011-01-18 Asm America, Inc. Process and apparatus for treating wafers
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8828852B2 (en) * 2009-12-10 2014-09-09 California Institute Of Technology Delta-doping at wafer level for high throughput, high yield fabrication of silicon imaging arrays
US8227344B2 (en) * 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US8420544B2 (en) 2010-06-03 2013-04-16 United Microelectronics Corp. Method for fabricating interconnection structure with dry-cleaning process
NL2007452A (en) * 2010-12-08 2012-06-11 Asml Holding Nv Electrostatic clamp, lithographic apparatus and method of manufacturing an electrostatic clamp.
US8771539B2 (en) * 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
JP2013201225A (ja) 2012-03-23 2013-10-03 Toshiba Corp 半導体装置の製造方法
US20130280442A1 (en) * 2012-04-03 2013-10-24 Gvd Corporation Adhesion Promotion of Vapor Deposited Films
KR102192281B1 (ko) 2012-07-16 2020-12-18 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 순수 환원성 플라즈마에서 높은 종횡비 포토레지스트 제거를 위한 방법
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
CN110735181A (zh) * 2013-08-09 2020-01-31 应用材料公司 于外延生长之前预清洁基板表面的方法和设备
US20150118855A1 (en) * 2013-10-30 2015-04-30 Nisene Technology Group Microwave induced plasma decapsulation
TWI546847B (zh) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 基板處理裝置及半導體裝置的製造方法
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US10192717B2 (en) 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US9412619B2 (en) * 2014-08-12 2016-08-09 Applied Materials, Inc. Method of outgassing a mask material deposited over a workpiece in a process tool
US9312121B1 (en) * 2014-10-09 2016-04-12 United Microelectronics Corporation Method for cleaning contact hole and forming contact plug therein
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
WO2017221807A1 (ja) * 2016-06-20 2017-12-28 東京エレクトロン株式会社 被処理体を処理する方法
US20180261464A1 (en) * 2017-03-08 2018-09-13 Tokyo Electron Limited Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
JP2019192892A (ja) 2018-04-18 2019-10-31 東京エレクトロン株式会社 処理システムおよび処理方法
US10483091B1 (en) 2018-05-18 2019-11-19 International Business Machines Corporation Selective ion filtering in a multipurpose chamber
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
EP3821457A4 (en) 2018-07-09 2022-04-13 Lam Research Corporation ETCHING ATOMIC LAYER ETCHING USING ELECTRON EXCITATION
JP7203531B2 (ja) 2018-08-08 2023-01-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
TWI698029B (zh) * 2018-11-28 2020-07-01 財團法人金屬工業研究發展中心 形成半導體結構之方法
CN112899615B (zh) * 2019-11-19 2023-02-21 长鑫存储技术有限公司 膜层的形成方法及半导体器件的制备方法
US11380536B2 (en) * 2020-05-05 2022-07-05 Applied Materials, Inc. Multi-step pre-clean for selective metal gap fill

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5248636A (en) * 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
DE3855636T2 (de) * 1987-08-28 1997-03-27 Toshiba Kawasaki Kk Plasma-Entschichtungsverfahren für organische und anorganische Schichten
JPH0279446A (ja) * 1988-09-16 1990-03-20 Hitachi Ltd スルーホールへの金属穴埋め方法
US5043299B1 (en) * 1989-12-01 1997-02-25 Applied Materials Inc Process for selective deposition of tungsten on semiconductor wafer
JPH03185823A (ja) * 1989-12-15 1991-08-13 Sony Corp 半導体装置の製造方法
US5236868A (en) * 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
JP2814021B2 (ja) * 1990-07-09 1998-10-22 三菱電機株式会社 半導体基板表面の処理方法
JPH04144135A (ja) * 1990-10-05 1992-05-18 Canon Inc 半導体装置の製造法および装置
GB2250036B (en) * 1990-10-26 1994-07-06 Matsushita Electric Works Ltd Timber surface improving treatment process
JP2888258B2 (ja) * 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP3038953B2 (ja) * 1991-02-28 2000-05-08 ソニー株式会社 配線形成方法
FR2677668B1 (fr) * 1991-06-14 1993-10-15 France Telecom Procede de nettoyage de surfaces metalliques oxydees dans la fabrication de reseaux d'interconnexions et plaquettes pour de tels reseaux.
JPH08153783A (ja) * 1991-06-28 1996-06-11 Sony Corp 電気的接続部形成方法及び半導体装置の製造方法
DE69216747T2 (de) * 1991-10-07 1997-07-31 Sumitomo Metal Ind Verfahren zur Bildung eines dünnen Films
JPH05139881A (ja) * 1991-11-18 1993-06-08 Sumitomo Electric Ind Ltd 分子線エピタキシヤル成長法およびその装置
JP3449428B2 (ja) * 1992-06-08 2003-09-22 富士通株式会社 半導体装置の製造方法
JPH0669168A (ja) * 1992-08-18 1994-03-11 Fujitsu Ltd 半導体装置の製造方法
US5627105A (en) * 1993-04-08 1997-05-06 Varian Associates, Inc. Plasma etch process and TiSix layers made using the process
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP3529849B2 (ja) * 1994-05-23 2004-05-24 富士通株式会社 半導体装置の製造方法
JP3533583B2 (ja) * 1994-07-25 2004-05-31 富士通株式会社 水素プラズマダウンフロー装置の洗浄方法
JP3326974B2 (ja) * 1994-07-28 2002-09-24 ソニー株式会社 多層配線の形成方法および半導体装置の製造方法
JPH08213343A (ja) * 1995-01-31 1996-08-20 Sony Corp 半導体装置およびその製造方法
US6132564A (en) * 1997-11-17 2000-10-17 Tokyo Electron Limited In-situ pre-metallization clean and metallization of semiconductor wafers
US5545592A (en) * 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
KR100208439B1 (ko) * 1995-05-04 1999-07-15 김영환 반도체 소자의 폴리실리콘층 형성방법
JP3517802B2 (ja) * 1995-09-01 2004-04-12 富士通株式会社 埋め込み導電層の形成方法
JPH09115875A (ja) * 1995-10-20 1997-05-02 Texas Instr Japan Ltd 半導体装置の製造方法及びこの方法に用いる処理液
JPH09205070A (ja) * 1996-01-25 1997-08-05 Sony Corp プラズマcvd方法、およびこれにより形成された金属膜を有する半導体装置
US5712207A (en) * 1996-02-29 1998-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Profile improvement of a metal interconnect structure on a tungsten plug
US5660682A (en) * 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US6015761A (en) * 1996-06-26 2000-01-18 Applied Materials, Inc. Microwave-activated etching of dielectric layers
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US5935874A (en) 1998-03-31 1999-08-10 Lam Research Corporation Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP

Also Published As

Publication number Publication date
US6905965B2 (en) 2005-06-14
EP1042795A1 (en) 2000-10-11
US6107192A (en) 2000-08-22
JP4681117B2 (ja) 2011-05-11
WO1999034424A1 (en) 1999-07-08
TW411497B (en) 2000-11-11
JP2009224808A (ja) 2009-10-01
JP2002500276A (ja) 2002-01-08
US6693030B1 (en) 2004-02-17
US20040248404A1 (en) 2004-12-09
KR20010033812A (ko) 2001-04-25

Similar Documents

Publication Publication Date Title
JP5385706B2 (ja) サブクオーターミクロン適用のための、メタライゼーションに先立つ予備洗浄方法
US6949450B2 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
JP4738568B2 (ja) 炭素含有層の付着強化と酸化最小限化のためのプラズマ処理
US7413990B2 (en) Method of fabricating a dual damascene interconnect structure
JP4909454B2 (ja) ウエハ上にバリア層を形成する方法
US7053002B2 (en) Plasma preclean with argon, helium, and hydrogen gases
US7462565B2 (en) Method of manufacturing semiconductor device
US6333248B1 (en) Method of fabricating a semiconductor device
US6991739B2 (en) Method of photoresist removal in the presence of a dielectric layer having a low k-value
US20090050902A1 (en) Semiconductor device having silicon carbide and conductive pathway interface
EP1081751A2 (en) Methods of pre-cleaning dielectric layers of substrates
EP0665583A2 (en) Method of etching titanium nitride and insulating oxide layers using a gas comprising carbon-fluoride and carbon-oxide.
US20040018715A1 (en) Method of cleaning a surface of a material layer
US7014887B1 (en) Sequential sputter and reactive precleans of vias and contacts
US6693040B2 (en) Method for cleaning the contact area of a metal line
US7745335B2 (en) Semiconductor device manufactured by reducing hillock formation in metal interconnects
KR100670618B1 (ko) 비아 및 컨택트의 순차적인 스퍼터 및 반응적 예비세정
WO2002046489A1 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
JPH1167766A (ja) 半導体装置の製造方法
JP2003109955A (ja) 半導体装置及び半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090805

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090805

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120808

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120816

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121116

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121121

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121217

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130403

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130801

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20130808

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130917

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131004

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term