JP4909454B2 - ウエハ上にバリア層を形成する方法 - Google Patents

ウエハ上にバリア層を形成する方法 Download PDF

Info

Publication number
JP4909454B2
JP4909454B2 JP2000051583A JP2000051583A JP4909454B2 JP 4909454 B2 JP4909454 B2 JP 4909454B2 JP 2000051583 A JP2000051583 A JP 2000051583A JP 2000051583 A JP2000051583 A JP 2000051583A JP 4909454 B2 JP4909454 B2 JP 4909454B2
Authority
JP
Japan
Prior art keywords
wafer
copper
chamber
coil
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2000051583A
Other languages
English (en)
Other versions
JP2000323436A5 (ja
JP2000323436A (ja
Inventor
ディーン・ジェイ・デニング
サム・エス・ガルシア
ブラッドレイ・ピー・スミス
ダニエル・ジェイ・ループ
グレゴリー・ノーマン・ハミルトン
モハメッド・ラビウル・イスラム
ブライアン・ジー・アンソニー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
NXP USA Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NXP USA Inc filed Critical NXP USA Inc
Publication of JP2000323436A publication Critical patent/JP2000323436A/ja
Publication of JP2000323436A5 publication Critical patent/JP2000323436A5/ja
Application granted granted Critical
Publication of JP4909454B2 publication Critical patent/JP4909454B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D46/00Filters or filtering processes specially modified for separating dispersed particles from gases or vapours
    • B01D46/66Regeneration of the filtering material or filter elements inside the filter
    • B01D46/68Regeneration of the filtering material or filter elements inside the filter by means acting on the cake side involving movement with regard to the filter elements
    • B01D46/681Regeneration of the filtering material or filter elements inside the filter by means acting on the cake side involving movement with regard to the filter elements by scrapers, brushes or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D46/00Filters or filtering processes specially modified for separating dispersed particles from gases or vapours
    • B01D46/0002Casings; Housings; Frame constructions
    • B01D46/0005Mounting of filtering elements within casings, housings or frames
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D46/00Filters or filtering processes specially modified for separating dispersed particles from gases or vapours
    • B01D46/10Particle separators, e.g. dust precipitators, using filter plates, sheets or pads having plane surfaces
    • B01D46/12Particle separators, e.g. dust precipitators, using filter plates, sheets or pads having plane surfaces in multiple arrangements
    • B01D46/121V-type arrangements
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、一般的に、半導体の製造に関し、更に特定すれば、銅相互接続部のバリア/シード堆積(barrier/seed deposition)プロセスに関するものである。
【0002】
【従来の技術】
集積回路(IC)業界において、現在、相互接続部におけるアルミニウムに代わる材料として、銅の相互接続部が開発されている。銅は、その製造がアルミニウムよりも安価であるので、銅相互接続部は全体としてアルミニウム相互接続部よりも優れている。加えて、銅相互接続部は、アルミニウム相互接続部よりも抵抗が少なく、したがって、発熱量も少ない。また、銅の抵抗が小さいために、ICが動作することができる動作周波数を高めることが可能となり、このために性能が向上する。加えて、銅は、アルミニウムと比較すると、電気移動抵抗(electromigration resistance)も改善されている。
【0003】
【発明が解決しようとする課題】
しかしながら、これらの利点にも拘らず、銅が実現可能な代替物となるためには、克服しなければならない多数の欠点がある。銅の欠点の1つに、その移動イオン汚染源となり得ることが含まれる。銅イオンは、半導体の製造に用いられる従来の誘電体物質を介して容易に拡散する。適正な含有量でないと、銅は素子のアクティブ・エリアに拡散することによって、素子の信頼性に影響を及ぼす可能性がある。加えて、銅はエッチングが容易でない。したがって、相互接続はインレイド構造(inlaid structure)として形成しなければならず、このため一層複雑化し、化学機械式研摩(CMP)プロセスの使用が必要となる。更に、銅の処理には、新たな材料や新たなプロセスの使用も必要であり、製造プロセスに適正に統合されない場合、種々の問題や合併作用が生ずる可能性がある。
【0004】
例えば、銅相互接続部を用いる場合、典型的にバリア層が必要となる。バリア層は、銅を封じ込めるためにその周囲に形成され、これによって銅が隣接する層やアクティブ・エリアを汚染することを防止する。これらのバリア層は、通常アルミニウムには不要であり、新たな製造および統合上の問題が生じ、これらに対処しなければならない。これらのバリアを形成するために用いられる材料やプロセスは、よく理解されていない。したがって、これらを形成するための材料やプロセスにおける一層の改良によって、ウエハの歩留まり、素子の信頼性、および機器のアップタイム(uptime)を格段に向上させる潜在的な可能性がある。
【0005】
銅処理においてバリアに用いられる材料(例えば、耐熱金属)の多くは、素子の信頼性に悪影響を及ぼす可能性を有する。これら信頼性の問題は、部分的に、隣接する膜に対するバリア層の応力に起因するものである。したがって、バリアの応力制御によっても、IC全体の歩留まり改善および信頼性向上の潜在的な可能性がある。
【0006】
更に、相互接続部において銅を堆積する際に用いられているプロセスやチャンバは、厚さおよび均一性制御に関しては、最適化されていない。制御の欠如は問題である。堆積された銅膜の均一性が大きくばらつく場合、歩留まりに悪影響を及ぼす可能性があり、非均一に堆積された膜を補うために調節が必要になることによって、後続の処理が更に複雑化する虞れがある。
【0007】
加えて、銅および銅バリア材料のチャンバ構成部品に対する接着性欠如が、堆積の間およびウエハ運搬の間に、問題を生ずる可能性がある。これらの材料は、潜在的な粒子源となる。堆積プロセスを最適化し、これらの材料の接着性を高めることができれば、歩留まりを改善し、処理チャンバ内における粒子汚染を減少させるという利点が得られよう。
【0008】
多くの銅プロセスには、ステップ・カバレッジ(step coverage)の問題があり、ビアやトレンチの側壁は、平坦な表面よりも銅膜の被覆が少ない。加えて、開口上部の堆積膜が余りに高いレートで堆積された場合、その結果銅のボイディング(voiding)の問題も生ずる可能性がある。これは、開口を完全に充填する前に、フィルムの最上部が挟み取られ(pinch off)、その結果開口内部にボイドが形成される可能性がある。ステップ・カバレッジを改善し、ボイディングを極力抑えるプロセスは、銅相互接続部を有する素子の歩留まり改善および信頼性向上をもたらす潜在的な可能性を有する。
【0009】
更に、予備金属堆積プロセスの間、アルミニウムでは必ずしも問題とはならない、材料のバック・スパッタリング(back-sputtering)が、先に引用した移動イオンの懸念のため、銅では気掛かりとなる。露出したウエハ表面上にアルミニウムがバック・スパッタされても、それを除去する化学物質およびプロセスが存在する。加えて、このアルミニウムは、種々の層を介して容易に拡散することはない。逆に、バック・スパッタされた銅は、化学的にもそれ以外の方法でも除去するのは容易ではない。バリアによって封じ込めない限り、隣接する膜を通過して拡散し、歩留まりおよび信頼性に影響を及ぼす可能性が高い。したがって、下地の銅層を露出させるあらゆる相互接続プロセスは、露出領域からの銅の移転を最少に止めることを保証するように設計しなければならない。
【0010】
このように、メタライゼーション処理の改良によって、銅相互接続部を大量に、低コストで製造し、しかも歩留まりおよび信頼性を向上させることが、当業界では求められている。
【0011】
【発明の実施の形態】
本発明の特徴および利点は、添付図面と関連付けた以下の詳細な説明から一層明確に理解されよう。図面において、同様の参照番号は同様の部分および対応する部分を示すこととする。
【0012】
図面におけるエレメントは、簡略化および明確化のために、示されており、必ずしも同一拡大率で描かれている訳ではないことを、当業者は認めよう。例えば、図面におけるエレメントの中には、本発明の実施例の理解の促進を助けるために、その寸法が他のエレメントよりも誇張されているものもある。
【0013】
全体的に、図1ないし図14は、銅インレイド相互接続構造を形成するための、改良された方法を教示するものである。概して言えば、本方法は、インレイドまたはデュアル・インレイド構造の予備金属堆積処理の改良,インレイド構造内部における銅バリアの堆積処理の改良,およびバリア層上のシード層の堆積処理の改良を教示する。
【0014】
具体的には、従来技術のバリア堆積チャンバでは、電気的にバイアスされた構成部品が、他の導電性構成部品に密接して共に配置されている。場合によっては、これらは偶発的に回路を短絡し、印加されたバイアスを変化させ、その結果処理の一貫性を損なうことになる。電気的にバイアスされたウエハ・ペデスタル(支持部材)および他の導電性チャンバ部分間でこれが発生するのを防止するために、ペデスタルおよびその他の導電性チャンバ構成部品間に、誘電体またはセラミック性絶縁リングを配置する。ウエハをアークおよび短絡回路から保護することに加えて、絶縁リングは、印加したバイアスが、ウエハに近接するチャンバの他の導電性部分ではなく、ウエハに向かうことを保証するのにも役立つ。これによって、更に、ウエハが正しく効率的に処理され、一貫性のある結果が得られることを保証する。
【0015】
タンタル(Ta)を用いてバリアを形成する場合、これはセラミック性絶縁リングにはよく接着しないことがわかった。この結果、タンタル粒子がセラミック絶縁リングから剥離し、ウエハ上に付着する。これらの粒子は、集積回路の歩留まりに重大な影響を及ぼす。粒子のレベルを低下させるために、セラミック絶縁リング全体にアルミニウム・コーティングをフレーム溶射(flame spray)またはアーク溶射(arc spray)する設計が組み込まれた。アルミニウム・コーティングは、タンタルの絶縁リングへの接着性を高め、粒子数を大幅に減少させることがわかった。
【0016】
加えて、銅シード層堆積の間ウエハを固着するために用いていた従来のクランプは、当技術では不適当であることがわかった。クランプの隆起影領域(elevated shadow region)が、ウエハの表面上に余りに高くなるように設計されたために、影領域の下で、銅がウエハ上に大量に堆積する可能性がある。このために銅の小結節(nodule)が形成され、更にウエハ上に、ウエハの周囲に向かうに連れて銅の厚さが徐々に減少するエリアが生じた(傾斜銅領域(graded copper region))。続くめっきおよび/または化学機械式研摩(CMP)処理の間、これら銅小結節や傾斜銅領域は粒子源となり、ウエハ表面から剥がれ易いために、ダイの歩留まりを低下させることがわかった。加えて、銅をスパッタしたエリアにおいてクランプをウエハに接触させると、スパッタした銅がクランプ表面およびウエハ表面双方に付着する可能性があり、クランプおよびウエハを互いに分離すると、これらの表面上でこのスパッタした銅が裂けたり剥ぎ取られる可能性がある。これらの問題を回避するために、改良したクランプが開発され、前述の望ましくない現象を防止することによって、歩留まりが大幅に改善されることをここに記載する。
【0017】
加えて、窒化タンタル(TaN)コーティングをバリア堆積チャンバの構成部品上に塗着すると、チャンバ・メンテナンスの後、粒子のためのチャンバ・ダウン・タイムが大幅に短縮することもわかった。チャンバにTaNを被覆しなかった場合、チャンバの内部コンポネント上にスパッタした残留タンタルは、容易に剥がれ、チャンバ内部およびウエハ上に粒子を発生させた。この結果、チャンバ浄化の頻度を高める必要性が生じ、対応して機器のダウンタイムが増大した。周期的にTaNをチャンバに被覆/乾燥させることによって、タンタルの内部チャンバ構成部品に対する接着性を高め、粒子を減少させ、これによってチャンバのダウン・タイムを短縮し、半導体素子のダイの歩留まりが改善することが発見された。
【0018】
従来技術の処理では、ビア・エッチングおよび予備金属堆積プロセスは、典型的に、露出した下地のアルミニウム移転(removal)を減少させることを保証するようには最適化されていなかった。典型的に、再度堆積したアルミニウムは隣接した層を介して容易に拡散せず、しかもアルミニウムは後続の化学処理によって容易に除去されるので、アルミニウム移転の減少は懸念ではなかった。しかしながら、銅の膜ではそうはいかない。この場合、銅の移動イオンによる汚染を生ずる潜在的な可能性のために、エッチングおよび予備金属堆積プロセスを進める際、その目的とする作業を遂行する間に、露出した銅を大量に移転させないことが有利である。したがって、ここでは、バック・スパッタリングおよび露出した相互接続領域からの銅の移転に起因する銅関連汚染に伴う問題を抑えることにより、歩留まり改善および信頼性向上を図る、新たなビア処理技術を教示する。加えて、予備金属堆積処理の間に移転する銅の量は、大幅に減少し、しかも開口の角部は十分に予備浄化され、輪郭を明確にし、即ち、丸くすることができるため、接触抵抗が改善し、ステップ・カバレッジが改善し、後続の金属堆積処理の間ボイドの形成が減少する。
【0019】
加えて、銅バリア層を形成するために用いられる耐熱金属の多くは、その膜応力特性が、上下に位置する導電層および誘電体層に関して、大幅に変動する可能性がある。これらの応力差のために、重大な信頼性および歩留まりの問題が生ずる虞れがある。ここでは、複合タンタル・バリア層の堆積を教示する方法を記載する。この場合、互いに対して、層の一部分の張力(tensile)を大きくし、層の他の部分の張力を小さくすることによって、応力に関連する合併作用(complication)を減少させる。この張力設計複合層(tensile-engineered composite layer)を形成するには、バリア・チャンバのコイルに供給する電力のデューティ・サイクルを、バリア・チャンバのターゲットに供給する電力に対して変化させる。加えて、ターゲットと共に、ウエハ上に物質をスパッタするためのソースとしてコイルも利用し、複合膜(例えば、コイルから1つの材料、ターゲットから別の材料)を形成し、ウエハ全体にわたる堆積層の全体的な均一性を改善することができる。
【0020】
したがって、前述の改善を統合することにより、銅相互接続部に用いるバリアおよびシード層プロセスの著しい改善がもたらされる。前述の統合的改善は、図1ないし図14を具体的に参照することにより、一層理解を深めることができよう。
【0021】
図1は、マルチ・チャンバ集積回路堆積システム1を示す。システム1は、ウエハを一地点から別の地点に移動させるように設計された、2つのロボット制御転送チャンバを含む。第1ロボティック・チャンバはバッファ・チャンバ3であり、第2ロボティック・チャンバは転送チャンバ2である。
【0022】
図1に示すロード・ロック(load lock)7の1つにウエハを配置することによって、システム1に入れる。ロード・ロック7が適切な温度,圧力等において安定化した後、バッファ・チャンバ3がウエハをロード・ロック7から脱気および整合チャンバ5に移動させる。脱気および整合チャンバ5は、半導体ウエハ内に形成されているフラット(flat)またはノッチを用いて、システム1内部での処理のために、回転によりウエハを整合させる。加えて、ウエハを種々の処理チャンバの1つに導入する前に、脱気および整合チャンバ5はウエハに熱またはエネルギを加え、有機的な汚染,水,またはその他の望ましくない物質をウエハから除去する。かかる除去は、これらの物質がシステム1内のチャンバのいずれかを汚染するという可能性を低下させるために行われる。
【0023】
チャンバ5内部での処理の後、バッファ・チャンバ3を介して、図1に示す(図2も参照)高周波(RF)予備浄化チャンバ10の1つに、ウエハを移動させる。RF予備浄化チャンバ10は、インレイド・ビアおよび/またはトレンチ開口の角部分を丸めるために用いられる。加えて、予備浄化チャンバでは、後続の銅バリアおよび銅シード層形成の準備として、半導体ウエハの露出した導電面を浄化する。
【0024】
チャンバ10によって処理された後、転送チャンバ9を介して、転送チャンバ2にウエハを転送する。次いで、転送チャンバ2は、ウエハをバリア堆積チャンバ40(図3も参照)に導入する。転送チャンバ2を介した処理チャンバ間のウエハの転送は、制御された状態の下で、制御された環境で行われ、これによってウエハ転送の間ウエハ上の汚染が減少する。バリア堆積チャンバ40は、ウエハ上に銅を堆積する前に、半導体ウエハ上に銅バリア層を堆積する。バリアは、好ましくは、タンタル,あるいはその他の何らかの耐熱金属または耐熱金属窒化物である。あるいは、バリア層の形成に有用な他の種類の単一材料または複合材料を用いて形成することも可能である。
【0025】
バリア層を形成した後、シード層堆積チャンバ70(図4も参照)にウエを移送する。チャンバ70において、銅シード層を形成し、その上に、電気めっき、無電解めっき、堆積、スパッタ等で銅を形成することができる。銅シード層を形成した後、チャンバ9を介してオプションの冷却チャンバ(図示せず)にウエハを移送し、バッファ・チャンバ3に移動させる前にウエハを冷却する。次に、バッファ・チャンバ3は、チャンバ9からロード・ロック7に逆にウエハを転送することにより、ウエハをシステム1から取り出す。取り出す時点で、処理された半導体ウエハは、その露出表面上に、導電性バリア層および銅シード層が形成されており、バルク銅堆積およびCMPの準備が整っている。
【0026】
マルチ・チャンバ堆積システム1内部の個々のチャンバおよびそれらの副構成部品については、図3ないし図7において更に詳しく論ずる。システム1が、先に論じたシーケンスによって移送される半導体ウエハに対して有する効果については、ここの図8ないし図11に詳しく示す。加えて、図1のシステム1が半導体ウエハ上で行う工程については、ここの図12ないし図14を参照しながら、更に例示し論ずることにする。したがって、図2ないし図14の論述によって、先に論じたプロセスを一層深く理解することができよう。
【0027】
図2は、図1に示したRF予備浄化チャンバ10を更に詳しく示す。チャンバ10は、ドーム12を含み、これを用いてRF予備浄化チャンバ10内部にRF予備浄化環境を封じ込める。通常、ドーム12は、ビーズ噴射クオーツ(bead blasted quartz)で作られ、粒子の接着を促進する。加えて、クオーツは誘電体材料であり、外部電界(例えば、以下で論ずるコイル16からの電界)が、予備浄化処理環境に影響を及ぼし、ウエハの処理を行うことを可能にする。したがって、クオーツが好ましい材料ではあるが、外部電界の通過を妨げないのであれば、他の材料も使用可能である。
【0028】
ドーム12は、シールド14によって側面および上面に沿って密封(encase)され、更にベース・プレート18によって底面に沿って密封されている。エレメント14,18は通常アルミニウム,または無線周波数(RF)を遮蔽可能な同様の金属材料で作られる。シールド14およびドーム12間に位置するのはコイル16である。コイル16は、その形状が円筒状であり、クオーツ・ドーム12を包囲する。コイル16には、コイル電源26によって、低周波RF電力が供給される。
【0029】
図2に示すように、半導体ウエハ22がウエハ・ペデスタル20(ウエハ・チャック)上に置かれ、ここで続いて処理される。ウエハ・ペデスタル20には、ペデスタル電源24によって、高周波RF電力が供給される。ウエハ22は、真空,機械式クランプ,静電力等を用いて、ペデスタル20に固着することができる。あるいは、システムによっては、ウエハを固着せずに放置してもよい。図2は、ガス供給ライン28を示す。ガス供給ライン28は、クオーツ・ドーム12によって封じ込められている内部チャンバ環境にガスを供給する。内部チャンバ環境に供給されるガスは、通常不活性スパッタリング・ガスであり、典型的に、アルゴン,窒素,またはキセノンが含まれる。加えて、図2は、排気ポート30を示す。排気ポート30は、反応後の副産物および未反応の副産物をチャンバ10から除去し、ウエハ処理の間圧力を維持する。通常、チャンバ10は、ウエハ22の予備処理および予備浄化を行い、バリア層およびシード層を堆積する前に、インレイド開口の角部を丸め、開口内部の露出されている導電面を浄化する。予備浄化プロセスについては、図9および図12を参照しながら更に詳しく説明する。
【0030】
図3は、図1に示したバリア堆積チャンバ40を更に詳細に示す。図3のバリア堆積チャンバ40は、アルミニウムまたはアルミニウム・アーク溶射ステンレス鋼で作られたシールド42を含む。シールド42の上面上には、トップ・プレート44がある。トップ・プレート44は、回転磁気アセンブリ46を収容即ち支持する。回転磁気アセンブリ46は、ターゲット48からウエハ22上にバリア材料をスパッタする間、原子をスパッタリング・ターゲット48に放射する。スパッタリング・ターゲット48は、トップ・プレート44の底面に取り付けられており、好ましくはタンタル(Ta)で作られる。あるいは、バリア層ターゲットは、窒化タンタル(TaN),窒化チタン(TiN),タンタル・タングステン(TiW)等のような、その他の材料で構成することも可能である。ターゲット48には、典型的に、図3に示すようなターゲット電源50によって供給される、直流(DC)を給電する。
【0031】
筐体42の内周は、コイル52を支持する。コイル52は、コイル電源54に接続されており、これによって、ウエハ処理の間コイル52にバイアスをかけることができる。ウエハ22は、チャンバ40のウエハ・ペデスタル56(ウエハ・チャック)上に置かれる。真空,機械式クランプ,静電力等を用いて、ウエハをウエハ・ペデスタル56に固着することができる。しかしながら、ここに記載中の実施例では、ウエハ・ペデスタル56にウエハを固着しない。ペデスタル56には、ペデスタル電源58によってバイアスがかけられる。具体的なバイアス条件については、後に図14を参照しながら詳細に論ずることにする。
【0032】
ベース・プレート60内には開口(群)があり、図3に示すように、これを通じて入力ガス・ソース62がチャンバ内に供給される。入力ガス・ソース・ポート62は、窒素,アルゴン,および/またはキセノンというような種々のガスを、処理チャンバ40内に導入し、スパッタリング処理を一層効果的に行うことを可能にする。加えて、図3は、排気ポート64も示す。排気ポート64は、スパッタリング処理の残留副産物を除去するため、およびウエハ22のスパッタ処理の間チャンバ内の圧力を規制するために用いられる。
【0033】
ウエハ22がペデスタル電源58によって効率的にバイアスされることを保証するために、図3に示す誘電体絶縁リング53を用いて、ウエハ・ペデスタルおよびその他の導電性チャンバ構成部品間の電気的接触を防止する。誘電体絶縁リング53は、セラミック材料で作ることが好ましい。しかしながら、タンタル・ターゲット48からセラミック絶縁リング53上に本来スパッタされるタンタル(Ta)は、強く接着せず、このため、タンタルのセラミック絶縁リング53からの剥離が頻繁に生じ、ウエハ22上の粒子汚染を増大することがわかっている。この粒子汚染は、ダイの歩留まりを著しく低下させる。したがって、本発明の一実施例によれば、セラミック即ち誘電体絶縁リング53の上面の露出面に、アーク溶射アルミニウムまたはフレーム溶射アルミニウムの層を被覆する。このようにセラミック絶縁リング53上に表面を追加することにより、タンタル堆積の間、タンタルの絶縁リング53への接着性が高くなり、これによって、従来技術において用いられていた絶縁リングに対して、チャンバ内の粒子制御が大幅に改善される。
【0034】
加えて、ターゲット48からスパッタされるタンタル(Ta)はチャンバ40内部の他のコンポネント上にも容易に堆積することがわかっている。一例として、タンタルは、シールド42,クランプ55,またはチャンバ40内部にあるその他のコンポネント上に堆積することができる。通常、タンタルは、時間が経過しても、これらのコンポネントに直接適当に接着しない。スパッタされたタンタルがこれらのコンポネントに強く接着しない場合、剥離して粒子量の増大を招き、歩留まりに悪影響を及ぼし、システムのダウンタイムが増大する。
【0035】
これらの問題を回避するために、チャンバ40を浄化する際に、ウエハをチャンバ40に再度導入する前に、チャンバ40にコンディショニング工程を実行するとよいことがわかっている。このコンディショニング工程は、ガス入力ライン62を通じてチャンバ40に窒素を導入しつつ、窒素雰囲気内でターゲット48からTaを反応的にスパッタすることから成る。一例として、一実施例では、これは、ターゲット48に約1300ないし1700ワット(W)の範囲の電力を供給し、コイル52に約1300ないし1700Wの範囲の電力を供給し、電源50,54および/または58によってペデスタル56に電力を供給することによって、チャンバ40の内面部分およびその構成部品全体に、窒化タンタル膜の堆積が形成されるようにすることによって、反応性スパッタリング堆積システムにおいて行われる。窒化タンタル膜は、約0.25ないし0.75マイクロメートルの範囲の厚さに堆積される。コンディショニング工程の間、ペデスタル上に金属ディスクを配置し、スパッタされた物質がヒータ上に堆積するのを防止する。このコンディショニング工程によって、クリティカルな内部チャンバ構成部品にTaNを被覆することによって、ウエハ処理の間にチャンバ構成部品上に続いて堆積されるタンタルの接着性を高める。チャンバ40によって指定数のウエハを処理し終えた後、別のチャンバ・メンテナンス浄化過程,および内部チャンバ構成部品上に窒化タンタル(TaN)を堆積する別のコンディショニング処理を再度行う。代替実施例では、窒素環境においてターゲットからのTaをスパッタしTaN層を形成する代わりに、複合TaNターゲットを代わりに用いて、内部チャンバ構成部品上に窒化タンタル層を堆積することも可能である。
【0036】
図4は、図1に示したバリア堆積チャンバ70を更に詳細に示す。図3と同様に、チャンバ70は、これまでに論じたエレメントと同様のシールド72,トップ・プレート74,回転磁気アセンブリ76,銅ターゲット78,ターゲット電源80,コイル82,コイル電源84,ウエハ・ペデスタル86,ペデスタル電源88,ボトム・プレート90,入力ガス・ソース92,排気ポート94を備えている。しかしながら、図4のウエハ支持およびクランプ構造は、図3のチャンバ40に示すそれとは異なる。図3におけるウエハはチャンバ40内において自由放置状態であったのに対して、図4におけるウエハは、改良クランプ85を用いて、図4のウエハ・ペデスタル86にクランプされている。
【0037】
動作の間、電源80、84、88は、アルゴン(Ar)または同様の不活性ガスを入力92を通じてチャンバ70に供給する間、システムに給電する。この結果、銅がターゲット78からウエハ22上にスパッタされる。ここに述べる改良は、プロセスにおいては、図4において用いられている具体的な改良クランプ85における程多くない。したがって、図5ないし図7によって、クランプ85の詳細な論述を行うことにする。
【0038】
図4に示すクランプ85は、ウエハの周辺領域における銅の剥離を減少させることによってIC製造の間における粒子および粒子に関連する歩留まりの問題を減ずるために、設計し直されたものである。図5は、図4に示すクランプの内周部分の拡大断面図を示し、更にウエハ22に対するその位置および機能を示す。クランプ85の接触部分100は、基礎となるウエハ・ペデスタル即ち支持部材(図示せず)にウエハを固着するために用いられる。クランプの内径に位置する隆起領域を、シャドウ部分(shadow portion)と呼ぶ。これは、ウエハ22の周辺部分の領域102上に位置する。図5は、クランプ85のシャドウ部分が、ウエハ22の表面から距離104だけ上に位置することを示す。図5に示すクランプの設計における重要な点は、クランプ85がウエハ22に接触する、または近接する点105にある。通常、点105がクランプの他の寸法に対して適正に設計されていない場合、スパッタされた銅の望ましくない堆積が生ずる。これは、ウエハを外す(unclamp)ときに問題となり得る。ウエハを外す際、点105付近でウエハおよびクランプ双方に連続して形成された銅は、これらの表面双方から剥がれ易い。これは、粒子を発生する可能性があり、更に後の処理の間にウエハから銅膜が後から剥がれる原因ともなり得る。
【0039】
図5の設計における改良(群)は、図7に示す従来技術のクランプ99に関して、最良に理解し論述することができる。クランプ99がウエハ22を固着している間、銅または銅シード層108が上に位置するターゲットからスパッタされる。シャドウ部分の高さ114が高過ぎる場合、銅は、経路116のような経路に沿ってスパッタされ、ウエハのシャドウ部分の下の領域に形成される可能性がある。これらの領域に形成される銅は、(厚い層から薄い層へ)段階的な厚さを有し、最終的にシャドウ部分115の下の最外側エリアにおいて銅の小結節として終結する可能性がある。このシャドウ部分は、ウエハ22の周辺部分に向かって位置する。銅小結節110および傾斜銅部分112は、後続の銅めっき処理において非均一にめっきされるため、問題である。加えて、銅めっきおよび化学機械式研摩(CMP)処理が銅小結節110および傾斜銅部分112上で行われるために、時が経つに連れてウエハからかなり剥離する潜在的な可能性があり好ましくない。したがって、当技術分野では、可能な限り常に銅小結節110および傾斜銅領域112の形成を減少させる必要性がある。
【0040】
加えて、従来技術のクランプは、図7に示すように、十分に広くないシャドウ領域115を生ずる場合がある。この領域115が狭すぎ、高さ114が大きすぎると、典型的にクランプ99をウエハ22に接触させる点117も、その上およびその周囲に銅を堆積させる潜在的な可能性がある。その結果、十分な銅が点117上に形成し、クランプをウエハから分離するときに、点117において、銅層108が裂けたり剥ぎ取られることになる。これは、ウエハ22のエッジから粒子が発生することによって、歩留まりに影響を及ぼす可能性がある。したがって、銅または銅シード層の形成に用いるために改良された機能的なクランプを開発するためには、寸法115,114は、当技術分野に現在存在するものよりも注意深く設計しなければならない。
【0041】
図5は、かかる改良されたクランプ85を示す。寸法104は、図7の高さ寸法114よりも小さい。即ち、従来技術は、寸法114が8ミル(203マイクロメートル)未満のシャドウ部分を有するクランプを作成していない。ウエハ22から離れたかかる「高い」シャドウ部分は、少なくとも部分的には、クランプ99に対して先に論じた問題の原因となる。図5では、クランプ85の寸法104は、8ミル(203マイクロメートル)未満と設計されている。好適実施例では、寸法104は5ミル(127マイクロメートル)未満であり、場合によっては3ミル(76マイクロメートル)未満とする。通常、図5の寸法104は、殆どの場合、おおまかに2ないし5ミル(127マイクロメートル)の範囲にあるいずれかの値である。寸法104を小さくすると、シャドウ部分の下に形成される銅の量が減少し、これに対応して、シャドウ部分の下に生ずる傾斜した銅や銅の小結節に伴う問題も減少する。図5のクランプの内周107とウエハを接触させないことは重要である。これは重要であり、そうでないと図7の点117に関して先に論じた引裂の問題が生ずる。ただし、この場合は、かかる銅の蓄積および引き裂きは、図5の点105ではなく、107において発生する。したがって、スタンドオフ寸法104を、ウエハ・トポグラフィ(wafer topography)および堆積したバリアの厚さ,シードおよび/または銅層に依存するなんらかのスレシホルド未満の点に下げないことには、正当な理由がある。
【0042】
加えて、図5における寸法102は、概略的に20ミル(508マイクロメートル)以上に設定し、対角線堆積経路116(図7に示す)による銅材料の好ましくない蓄積が点105において生じないことを保証する。この寸法104に対する102の相対的な寸法の延長によって、更に、シード層の周辺部分の引裂が回避されるか、あるいは大幅に減少することを保証する。要約すると、改良クランプ85は、図7に示す、銅の傾斜112および銅の小結節110を減少させることによって、周辺の銅剥離および粒子を大幅に減少させる。加えて、新たに設計したクランプ85は、ウエハに接触するクランプ85の表面付近に銅の蓄積が発生せず、銅シード層の形成の間に、意に反してウエハ22の表面から銅が引裂かれることがないように保証する。
【0043】
本質的に、図7に示す問題は、寸法115,114によって既定される矩形二次元形状によるものである。図5に既定する矩形領域の形状を、寸法102,104によって変更することによって、銅シード層の形成に改良が得られる。したがって、前述の具体的な寸法102,104によるクランプの改良について説明する代わりに、寸法102、即ち、オーバーハングは、ウエハの表面から上に、シャドウ部分の寸法104即ち高さの少なくとも2.5倍となるようにしなければならないことを代わりに述べることができよう。内輪に見積もって、距離102は、寸法104の少なくとも4.0倍とすべきであろう。かかる幾何学的関係により、図7の傾斜した銅112の形成および小結節110の形成を減少させるかまたは回避することを保証し、同時に図5の接触点105または外周点107においてウエハから銅シード層が引裂かれないことも保証する。
【0044】
図6は、図4のチャンバ70において用いられるクランプ85を上から見た図を示す。殆どの半導体ウエハ22は、英数字識別領域106を含み、典型的にウエハの表面を横切ってレーザで刻印された文字を含む。図3のチャンバ40では、これらの英数字を処理し、Taバリア層で被覆した。バリア層は非常に薄く、これに比して、英数字は非常に深く形成されているので、英数字は、英数字のトポグラフィ内のバリア層の堆積によって、歪んだり、埋まったり、事実上消去されることはない。しかしながら、銅シード層は、続いて潜在的に0.4マイクロメートル以上の厚さに形成され得るので、英数字識別領域106を完全に隠すか、あるいは実質的に歪める可能性がある。したがって、図4および図5に示すクランプ85は、図6において、クランプがウエハ上に位置する場合に、英数字識別領域106を覆う部分を有するリング形状に加工される。こうすることによって、銅シード層は、英数字識別領域を除いた領域に形成され、これによって銅めっきを行った後でも、これら識別記号は保存される。
【0045】
したがって、図5および図6双方によって、図4のシード層堆積チャンバ70内部で用い、銅相互接続部の処理を改良する、改良クランプ構造を示す。
【0046】
図8ないし図11は、図1ないし図6に既に示したシステムを用いて、デュアル・インレイド銅相互接続構造を形成する方法を断面図で示す。
【0047】
図8は、基板上に形成された誘電体領域200を示す。好適な形態では、基板はシリコン・ウエハである。しかしながら、シリコン・カーバイド,ゲルマニウム・シリコン,ゲルマニウム,ガリウム砒素,その他のIII−V化合物、絶縁物上シリコン(SOI)基板,および同様の半導体材料のような、その他の基板も、本願の教示によれば使用可能である。この基板の上面上には、種々の導電層および誘電体層が形成される。これらの層は、金属,耐熱金属,シリサイド,ポリシリコン,窒化物,酸化物等のような材料を含むが、これらに限定されるという訳ではない。基板上面上のこれらの層は、種々の能動素子,受動素子,および基板表面上の電気素子間の相互接続領域を形成する。
【0048】
図8において、かかる相互接続領域の1つを相互接続部202として示す。好適な形態では、相互接続部202は銅材料で作られ、適切なバリア層(図8には具体的に示されていない)を有するデュアル・インレイドまたは単一インレイド構造であることが好ましい。相互接続部202の上面上には、窒化シリコン,シリコン濃厚窒化シリコン,酸窒化シリコン,プラズマ・エンハンス窒化物,および/または同様の材料あるいは複合材のような、エッチ・ストップ層204を形成する。エッチ・ストップ層204上には、1つ以上の誘電体層206を形成する。誘電体層206は、1つ以上のテトラエチルオルトシリケート(TEOS:tetraethylorthosilicate),ボロフォスフォシリケート・ガラス(BPSG:borophosphosilicate glass),フォスフォシリケート・ガラス(PSG:phosphosilicate glass),フッ素ドープTEOS,低誘電率誘電体(low-k dielectrics),酸窒化物,および/または同様の誘電体あるいはその複合体を含む。層206上には、第2エッチ・ストップおよび反射防止コーティング(ARC:anti reflective coating)層208を形成する。層208を形成するために用いる材料は、層204を形成するために用いる材料と同様である。層208の上面上には、別の誘電体層210があり、誘電体層206について先に論じたのと同様の材料および処理によって形成する。
【0049】
層204ないし210の形成後、フォトリソグラフ・プロセスをエッチング・プロセスと共に用いて、図8に示すように、層204ないし210を貫通する単一インレイドまたはデュアル・インレイド開口を形成する。図8では、トレンチ部212aおよびビア部212bを有するデュアル・インレイド開口が示されている。開口212は、「ビア最初/トレンチ最後」、「トレンチ最初/ビア最後」、または図8に示す構造全体を結果的に形成するその他のいずれかの方法で形成可能である。
【0050】
図8に示す構造の形成後、図1に示すように、システム1のロード・ロック7内にウエハ22を配置する。ここで教示したように、RF予備浄化チャンバ10にウエハを転送する。RF予備浄化チャンバ10内において、図9に示すように、アルゴンまたはキセノンのような不活性ガスを電界の存在の下でイオン化し、イオン化粒子214をウエハ表面に向かわせることによって、ウエハ22をエッチングする。イオン化粒子214は、図9に示すように、層210,206,202の表面上で衝突する。しかしながら、露出表面202aから露出された銅層202の部分を大量にスパッタまたは除去することなく、丸い角部210a,206aが形成されるように、イオン化粒子214に給電する。深さに基づいてかかる選択的除去を行うには、コイル電源26を用いてコイル16に高レベルのRF電力を給電し、ペデスタル電源24を用いてウエハ・ペデスタルに比較的低いレベルのRFパワーを給電する(図13も参照)。この電力差によって、下側の露出表面部分に対して、上側の露出表面部分に沿った方に、イオン衝撃からの高いエッチング・レートが得られることを保証する(例えば、角部210aは、角部206よりも大きく丸められる。何故なら、表面210は表面206よりも高いレートでエッチングされるからである)。更に、開口底面における露出表面202は、受けるイオン衝撃量が最も少ないので、開口の部分からは最少量の材料が除去即ちスパッタされる。更に、角部210a,206aの端部から除去される材料の量は、表面202aから除去される材料の量よりも多い。角部を丸めることによって、その後に堆積するバリアおよび導電膜のステップ・カバレッジを改善し、開口内においてこれらの膜を一層均一に堆積可能とすることによって、開口底面におけるボイド発生の低減に供することになる。
【0051】
従来技術では、典型的に、コイル電力およびウエハ・ペデスタル電力(ウエハ電力)は、200ワットのような等しいレベルに設定されていた(例えば、図13参照)。このように等しいレベルが用いられていたのは、アルミニウム相互接続部における露出アルミニウムの移転即ちスパッタリングが、集積回路の歩留まりおよび信頼性に対して有害ではないからである。しかしながら、図9において表面202aからバック・スパッタされ移転され、更に誘電体層210,206上に再度堆積される銅は、従来技術のアルミニウムとは異なり、歩留まりに悪影響を及ぼす可能性がある。層210,206上に堆積されたいずれの銅も、層210,206を介して容易に拡散し、潜在的に素子の汚染および歩留まりの低下を招く可能性がある。加えて、銅の汚染は、アルミニウムの場合のように、化学処理やエッチングによって容易に除去することができない。したがって、図9のプロセスによって、相互接続部202の表面202aからの銅の移転率を減少させることが、素子の信頼性にとって有効である。
【0052】
要約すると、図2のチャンバ10内で行われる図9のプロセスは、丸めた角部210a,206aを形成し、ステップ・カバレッジを改善し、その後の銅相互接続部のボイディングを減少させる一方、同時に表面202aからの銅のスパッタリングの割合を低下させることによって、歩留まり低下を招く銅の汚染を発生する可能性を低下させる。
【0053】
図9を用いて説明した予備浄化処理を実行した後、ウエハ22を図1のチャンバ10から図1のバリア堆積チャンバ40に移動させる。尚、図1のチャンバ40は、図3にも更に詳しく示されていることを注記しておく。図10は、図1および図3に示すチャンバ40を用いて、図9に以前に示したウエハの表面上に、バリア層220を堆積する工程を示す。典型的に、層220は、厚さ約200オングストロームないし750オングストロームに形成し、タンタル(Ta)層であることが好ましい。好適な形態では、複合層220の応力を設計する際、層210の部分は張力が徐々に減少する層として堆積し、一方層210の他の部分は張力が徐々に増大する層として堆積する。言い換えると、図10において、層220の張力が高い部分を少なくとも1箇所形成し、層220の張力が低い部分を少なくとも1箇所形成し、完全なTaバリア層を形成する。かかるバリア層220の形成によって、IC製造における、ストレスに関連する信頼性の懸念が減少し、全体的にICの歩留まりが改善する。高張力および低張力複合層220を形成するために使用可能な具体的な方法については、以下で図12および図14を参照しながら更に具体的に明記する。
【0054】
図1のチャンバ40内におけるバリア層220の形成の後、ウエハをチャンバ40からチャンバ70に移動させる。尚、チャンバ70は、本明細書の図4に更に詳細に示されていることを注記しておく。図4では、図5および図6に示し先に論じた改良クランプを用いて、図10のバリア層220上に、改良された銅シード層222を形成する。通常、層222は銅層として形成し、通常厚さ100オングストロームないし2000オングストロームの間に形成する。時として、特にほぼ垂直なビア側壁では、シード層の厚さは、平坦面の方が側壁表面よりも大きくなる場合がある。しかしながら、先に図9に示した丸い角部210a,206aのために、かかるステップ・カバレッジを改善することができる。加えて、図10のプロセスにおける図5および図6の改良クランプの使用により、特にウエハ周囲において、従来技術において用いられていたハードウエアおよびプロセスに対して、歩留まりが大幅に改善する。
【0055】
更に、チャンバ・コイルおよびチャンバ・ターゲット双方からシード層および/またはバリア層をスパッタすることによって、均一性が格段に改善することがわかった。加えて、50マイクロメートル未満の粒度(grain size)を有する銅シード層のコイルは、銅シード層の品質を高め、電気移動および信頼性に対して潜在的に効果があることがわかった。通常、従来技術では、コイルの粒度は、銅シード層の品質には殆ど影響を及ぼさないと考えられていた。
【0056】
加えて、銅シード層の均一性は、更に、意図的にコイルおよびターゲット双方から物質をスパッタすることによって、制御され改善される。これは、コイルから偶発的に生ずる残留スパッタリングと同一ではない。当技術分野では、コイルから物質をスパッタすることは望ましくない。何故なら、当技術分野では、かかるスパッタリングには何ら利点が見出されず、しかもかかるスパッタリングはコイルの寿命を縮めるだけに過ぎないからである。しかしながら、あらゆるスパッタリング・システムにおいても、常にチャンバ構成部品から物質に対して、微量のスパッタリングおよび取るに足らないスパッタリングがある。ここに教示するプロセスは、コイルからのスパッタリングの割合を意図的に高めるによって、堆積した層の大部分を、コイルから移転された材料とすることを教示する。例えば、本発明の実施例は、銅シード層における材料全体の少なくとも最少5%がコイルから得たものであるとするが、一方、従来技術では、典型的に、銅シード層内の材料の内、コイルから得るのは1ないし2%以下である。加えて、コイルおよびターゲットは、いずれも、銅,銅合金,または異なる材料で形成し、ウエハ上に複合層を形成することも可能である。要約すると、故意的に給電しコイルからスパッタすることによって、堆積される層の均一性に対する制御性を更に高めるという予期しない効果が得られた。
【0057】
図11は、更に、銅充填材を堆積し、デュアル・インレイド相互接続構造を形成した後の図10のデュアル・インレイド開口を示す。図11では、無電解,電気めっき,またはCVDプロセスを用いて、シード層222上およびデュアル・インレイド開口内部に、銅膜224を堆積した。したがって、銅層224は、ほぼ開口を充填し、ボイディングが減少または排除され、シード層22上に形成される。典型的に、銅層224の厚さは、5000オングストロームないし1.2マイクロメートルの間である。層224の形成後、化学機械式研摩(CMP)プロセスを実行し、デュアル・インレイド開口内に含まれない銅層224,シード層222,およびバリア220の部分を除去する。この研磨プロセスによって、図10に示すような、デュアル・インレイド相互接続構造が形成される。
【0058】
図12は、図1のシステム1にウエハを配置する時点から、図1のシステム1からウエアを取り出す時点までの統合化プロセスを、フロー・チャートで示す。第1ステップ400において、図1のロード・ロック7にウエハ22を配置する。ステップ402において、ロード・ロック7からバッファ・チャンバ3を介して脱気チャンバ5にウエハを転送する。チャンバ5において、ウエハを加熱し有機物や水分をウエハの表面から除去し、他のチャンバにおける後続処理のために準備しておく。加えて、チャンバ5は、ウエハをウエハ・ノッチまたはウエハ・フラットと空間的に整合する。
【0059】
ステップ406において、チャンバ5からバッファ・チャンバ3を介してチャンバ10にウエハを転送する。チャンバ10を用いて、ウエハ22をRF予備浄化する。ステップ408の具体的な予備浄化条件を、従来技術の予備浄化条件と、図13において比較する。このプロセスおよびその効果について、更に図9の断面図に示す。図13において、従来技術の予備浄化プロセスは、コイルおよびウエハをおおまかに同じ電力レベルに給電する。これらの電力設定は、スループットの理由のために行われる。コイルおよびウエハの電力が双方共高い電力(例えば、200ワット)に設定された場合、予備浄化プロセスによって、高速でウエハの全表面から物質を除去する。したがって、スループットの理由からは、高電力プロセスは望ましいものであり、これによって、開口内部で、露出した下地のアルミニウムが大量に、偶然にウエハの表面上にスパッタされた。しかしながら、従来技術のプロセスでは、アルミニウムのスパッタリングを問題にしていない。何故なら、スパッタされたアルミニウムは除去することができ、アルミニウムの汚染は懸念されなかったからである。
【0060】
しかしながら、図12のステップ408は、図9に示す表面202a上に露出した銅が存在する状態での予備浄化プロセスである。本発明の一実施例によれば、この目的のためにコイル電力を300ワット以上に高め、一方ウエハ電力は100ワット以下に落とし、電力勾配を形成した。これらの電力は概算値(conservatve number)であり、単にコイル電力からウエハ電力に対しておおまかに2:1の電力比を維持するために必要であるに過ぎない。この電力勾配によって、層210の露出上面からの物質のスパッタリング即ち移転が、層206の露出表面からの物質の移転またはスパッタリングよりも多いことを保証する。一方、これら双方は、図9における開口の底面の露出銅表面202aからスパッタされる物質の量よりは多い。したがって、図9における角部210a,206aの有利な丸めが、この場合にも得られ(角部210aは角部206aよりも多少丸みが大きい)、かかる丸みによってステップ・カバレッジが改善し、ボイディングが減少する。利点である丸め形状(rounded profile)が得られることに加えて、電力勾配によって、図9の表面202aからの銅の移転が最少に抑えられるので、従来技術のアルミニウム処理では全く問題にならなかった汚染が、銅接続部についても最小となる。
【0061】
図13から図12に戻り、ステップ410の後にステップ408が続く。ステップ410では、ウエハ22を図1および図2の予備浄化チャンバ10から、図1および図3のチャンバ40に移動させる。ステップ412では、図3のチャンバ40が、図10に示すバリア層220を堆積する。バリア膜の堆積は、図14に示しかつ説明したプロセス・シーケンスにしたがって行う。
【0062】
図14において、チャンバ40内にウエハを配置し、チャンバを安定化させた後、図3のターゲット48に1000ワット(ターゲット・バイアス)の電力を印加する。図10のバリア層220の堆積の間、電力を継続的に印加する。ターゲット電力は、具体的に100ワットの印加電力を有するものとして示すが、所望の処理結果,および使用する堆積機器の種類に応じて、他のいずれの電力設定でも使用可能である。バリア堆積プロセスの処理期間の間、ターゲット・バイアスに印加する電力は1000ワットであり、図3のペデスタル電源58によってウエハ22に印加する電力(ウエハ・バイアス)は、低い値即ち0ワットに設定する。初期期間の後、ウエハ・バイアスを約0ワットから450ワットに変化させ、相互接続開口の底面から開口の側壁にバリア物質をバック・スパッタし、開口内部のバリア膜のカバレッジ全体を改善する。ウエハ・バイアスに印加する波形は、図14に示すものとは別にすることができる。更に、所望のプロセス結果および使用する機器の種類に応じて、450ワット以外の他の電力レベルに傾斜させることも可能である。システムの中には、バリア堆積プロセスの間、ウエハには全くバイアスしない場合もある。
【0063】
図14は、好適なターゲット・バイアス電力波形およびウエハ・バイアス電力波形と共に、3つの可能なコイル電力波形600,602,604の1つを使用可能であることを示す。第1コイル電力波形600は、図3のコイル52にターゲット48とほぼ同じ時点に電源を入れることを示す。したがって、波形600は、ターゲット48に最初に約1000ワット給電するのとほぼ同時に、コイルに約1500ワット給電することを示す。波形600では約1500ワットを示すが、必要であれば、種々のプロセスおよび機器に対処するために、他の電力レベルも使用可能である。指定した時間期間が過ぎた後、図14における波形600で示すように、バリア堆積プロセスを終了する前に、コイル電力を停止するかまたは低下させる。言い換えると、高コイル電力処理シーケンスの間に図10のバリア膜220の初期部分を堆積し、低コイル電力またはゼロ・コイル電力処理シーケンスの間に、膜220の別の部分を堆積する。コイルに給電している初期時間期間の間に形成したタンタル・バリアの部分は、比較的低い電力量をコイルに印加したときに形成されたタンタル・バリアの部分と比較すると、異なる応力特性を有する。コイルに給電している間では、低張力のタンタル・バリア膜が堆積される。コイル電力を停止または低下させた時間では、張力が高いタンタル・バリア膜が堆積される。したがって、バリアの堆積の間、コイルへの電力を選択的に制御することによって、上側および下側の層の各応力に対処して、バリアの応力を設計することができ、これによって接着性およびIC全体の歩留まりを改善する。
【0064】
先に論じた異なるバリア部分の応力特性の差は、堆積したバリア部分へのアルゴン(または同様の不活性ガス)の合体の割合が、コイルに印加する電力上昇の結果として、異なることに起因すると考えられる。即ち、コイルに給電している場合、チャンバ内のアルゴンはイオン化される度合いが大きく、バリア膜内に堆積される量も多くなる。コイルに給電しない場合、チャンバ40(図3参照)においてイオン化されるアルゴンは減少し、したがってバリア膜内に合体するアルゴンも減少する。したがって、この膜の厚さによるアルゴンの差/勾配は、コイルのデューティ・サイクル曲線(図14における曲線600,602,または604の1つ)に比例する。最終的なバリア膜におけるこれらアルゴンの勾配が、図10のバリア膜220の応力特性の改善に寄与すると考えられる。
【0065】
図14は、別の可能なコイル電力波形602を示す。このコイル電力波形602では、図3のコイル52を最初にオフにしておき、一方ターゲット電力をイネーブルする。コイル電力を印加しない初期バリア堆積期間の後、図14の曲線602に示すように、コイル電力をイネーブルする。したがって、波形602は、基本的に、波形600の逆であり、これによって、波形602は、曲線600によって形成される膜と比較して、逆の応力特性を有するバリア膜を生成する。波形602の処理シーケンスを用いる場合、堆積プロセスの第1段階の間に張力の高いタンタル膜が最初に堆積され、堆積プロセスの第2段階の間に張力の低いタンタル膜が堆積される。
【0066】
更に、図14は、図10のバリア層220の形成に使用することができる、第3の可能な波形604も示す。波形604は、コイル52にパルス状電力(周期的または非周期的のいずれか)を印加することを示す。図14におけるようなパルス状コイル電力波形を用いる場合、ウエハ22上には、張力が低いタンタルの部分および張力が高いタンタルの部分の交互層を徐々に堆積し、図10のバリア層220を形成することができる。したがって、堆積プロセスの間に少なくとも1回選択的にコイルの電源をオンおよびオフすると、バリア層の応力を調整し、複数の異なる制約または条件に対応することが可能となることがわかる。加えて、図14は主にステップ・カバレッジ波形を示すが、コイル,ターゲット,および/またはウエハ上で使用可能な波形は、経時的にステップ関数曲線である必要はない。例えば、三角形(鋸歯)波形,正弦波形,対数電力曲線,指数電力曲線,その組み合わせ,あるいは他のあらゆる種類のアナログ,連続,量子化波形を用いて、図10のタンタル(または耐熱金属系)バリア層220の異なる種類の応力特性を形成することができる。あるいは、この処理方法論は、金属,耐熱金属,および耐熱金属窒化物のように、応力に関連する問題を生じ易いその他の種々の導電性膜と共に用いることも可能である。加えて、この明細書全体を通じて張力の高低を用いて物質の相対的な応力を説明したが、張力減少および圧縮増大は、相互交換可能に使用できることを、当業者は認めよう。
【0067】
図12に戻り、図14に示し先に論じたように、一旦ステップ412を完了したならば、ステップ414においてウエハ22をチャンバ40からチャンバ70に転送する。チャンバ70は、図1に示され、更に図4に一層詳細に示されている。ステップ414によってウエハ22をチャンバ70に転送した後、ステップ416を用いて、図10の銅シード層222をウエハ22上に堆積する。この堆積プロセスは、図4ないし図6に関して論じた、改良クランプ85を利用する。したがって、銅シード層が堆積され、CMPおよび/または銅めっき処理の間、ウエハの周辺付近において後になって剥離する銅層が減少することにより、半導体素子の歩留まりが改善する潜在的な可能性がある。
【0068】
ステップ418において、銅シード層416の堆積後、チャンバ70からチャンバ2を介してチャンバ3にウエハ22を転送し、更に図1にロード・ロック7に戻す。この時点で、ロード・ロック7を大気圧状態に安定化させ、ウエハ22をシステム1から除去する。次いで、銅電気めっき,無電解めっき,またはCVDチャンバ(ここでは図示せず)にウエハを転送することによって、銅相互接続メタルルジを堆積する。かかるメタルルジ処理が完了した後、化学機械式研摩(CMP)プロセスを用いて、図11に示すような、インレイドまたはデュアル・インレイド相互接続構造を形成する。
【0069】
以上具体的な実施例を参照しながら本発明について説明したが、更に別の変更や改良も当業者には想起されよう。したがって、本発明は、特許請求の範囲に既定した本発明の精神および範囲から逸脱しない変更を全て包含することは、理解されるものとする。
【図面の簡単な説明】
【図1】本発明によるマルチチャンバ集積回路堆積システムを上から見た図。
【図2】本発明による、図1に示した無線周波数(RF)予備浄化チャンバを示す断面図。
【図3】図1に示した、本発明によるバリア層堆積チャンバを示す断面図。
【図4】図1に示した、本発明による銅シード層堆積チャンバを示す断面図。
【図5】本発明による図4の具体的なクランプを更に詳細に示す、図4のクランプ部分の拡大断面図。
【図6】本発明による図5のクランプを上から見た。
【図7】不適当な幾何学的形状のクランプを用いた場合の好ましくない波及を示す断面図。
【図8】本発明にしたがって、図1ないし図6に示したシステムを用いて銅相互接続部を形成する方法を示す断面図。
【図9】本発明にしたがって、図1ないし図6に示したシステムを用いて銅相互接続部を形成する方法を示す断面図。
【図10】本発明にしたがって、図1ないし図6に示したシステムを用いて銅相互接続部を形成する方法を示す断面図。
【図11】本発明にしたがって、図1ないし図6に示したシステムを用いて銅相互接続部を形成する方法を示す断面図。
【図12】本発明による銅接続部に用いるバリア層およびシード層を形成する方法を示すフロー・チャート。
【図13】従来技術のアルミニウム予備浄化方法と、本発明にしたがって用いられる新たな銅予備浄化技法との比較を示す表。
【図14】本発明にしたがってバリア層の形成を可能とするために、バリア層堆積チャンバ内においてコイル,ターゲット,およびウエハに用いる給電シーケンスを示すXYプロット。
【符号の説明】
1 マルチ・チャンバ集積回路堆積システム
2 転送チャンバ
3 バッファ・チャンバ
5 整合チャンバ
7 ロード・ロック
9 チャンバ
10 高周波(RF)予備浄化チャンバ
12 ドーム
14 シールド
16 コイル
18 ベース・プレート
20 ウエハ・ペデスタル
22 半導体ウエハ
24 ペデスタル電源
26 コイル電源
28 ガス供給ライン
30 排気ポート
40 バリア堆積チャンバ
42 シールド
44 トップ・プレート
46 回転磁気アセンブリ
48 ターゲット
50 ターゲット電源
52 コイル
53 誘電体絶縁リング
54 コイル電源
55 クランプ
56 ウエハ・ペデスタル
58 ペデスタル電源
60 ベース・プレート
62 入力ガス・ポート
64 排気ポート
70 シード層堆積チャンバ
72 シールド
74 トップ・プレート
76 回転磁気アセンブリ
78 銅ターゲット
80 ターゲット電源
82 コイル
84 コイル電源
85 改良クランプ
86 ウエハ・ペデスタル
88 ペデスタル電源
90 ボトム・プレート
92 入力ガス・ソース
94 排気ポート
99 従来技術のクランプ
106 英数字識別領域
108 銅または銅シード層
110 銅小結節
112 傾斜銅部分
202 相互接続部
204 エッチ・ストップ層
206 誘電体層
208 第2エッチ・ストップおよび反射防止コーティング層
210 誘電体層
210a,206a 丸い角部
214 イオン化粒子
220 バリア層
222 シード層
224 銅膜
600,602,604 コイル電力波形

Claims (4)

  1. ウエハ(200)上にバリア層(220)を形成する方法であって
    前記ウエハ(200)上に形成された誘電体層内に開口(212)を形成する段階であって、該開口(212)が下地の相互接続部(202)を露出させ、前記開口(212)は、当該開口(212)の側壁部分が該側壁部分と垂直な前記誘電体層の表面と交差する領域に形成された角部分を有する、段階と、
    処理チャンバ(10)内に前記ウエハ(200)を配置する段階と、
    前記開口(212)をエッチングする段階であって、第1のコイル(6)に印加する第1電力を、ウエハ・ペデスタル(2)に印加する第2電力の少なくとも2倍とし、前記開口(212)をエッチングすることによって前記角部分(206a,210a)を丸める、段階と、
    別の処理チャンバ(40)内に前記ウエハ(200)を配置する段階と、
    第1時間期間にわたりスパッタリング・ターゲット(48)に対して、前記バリア層(220)の堆積の間に継続的に給電する段階と、
    前記第1時間期間よりも短い第2時間期間にわたり第2のコイル(52)に対して給電する段階と、
    前記バリア層(220)の堆積の間、前記スパッタリング・ターゲット(48)および前記第2のコイル(52)双方に対する電力を制御する段階と
    から成り、前記スパッタリング・ターゲット(48)に対する給電は、前記第2のコイル(52)に対する給電の前に起こり、前記スパッタリング・ターゲット(48)に対する給電のみが行われている間に形成されるバリア層の第1部分は、前記スパッタリング・ターゲット(48)に対する給電および前記第2のコイル(52)に対する給電の双方が行われている間に形成されるバリア層の第2部分よりも高い張力を有することを特徴とする方法。
  2. 前記第2のコイル(52)に対する給電が、パルス状の波形となるように行われる請求項1に記載の方法。
  3. 前記第2時間期間中に前記ウエハ(200)に対する給電を開始する段階を更に備える請求項1又は2に記載の方法。
  4. 前記バリア層(220)はタンタル・バリア層であり、
    前記タンタル・バリア層(220)が形成された後、前記タンタル・バリア層(220)上に銅シード層(222)を形成する段階を更に備える請求項1〜3の何れか1項に記載の方法。
JP2000051583A 1999-03-02 2000-02-28 ウエハ上にバリア層を形成する方法 Expired - Lifetime JP4909454B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/261,879 US6451181B1 (en) 1999-03-02 1999-03-02 Method of forming a semiconductor device barrier layer
US261879 1999-03-02

Publications (3)

Publication Number Publication Date
JP2000323436A JP2000323436A (ja) 2000-11-24
JP2000323436A5 JP2000323436A5 (ja) 2007-04-26
JP4909454B2 true JP4909454B2 (ja) 2012-04-04

Family

ID=22995276

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000051583A Expired - Lifetime JP4909454B2 (ja) 1999-03-02 2000-02-28 ウエハ上にバリア層を形成する方法

Country Status (8)

Country Link
US (2) US6451181B1 (ja)
EP (1) EP1033745B1 (ja)
JP (1) JP4909454B2 (ja)
KR (1) KR100761226B1 (ja)
CN (1) CN1169199C (ja)
AT (1) ATE342580T1 (ja)
DE (1) DE60031191T2 (ja)
TW (1) TW465016B (ja)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7045454B1 (en) * 1999-05-11 2006-05-16 Micron Technology, Inc. Chemical mechanical planarization of conductive material
US6458251B1 (en) * 1999-11-16 2002-10-01 Applied Materials, Inc. Pressure modulation method to obtain improved step coverage of seed layer
JP4419237B2 (ja) * 1999-12-22 2010-02-24 東京エレクトロン株式会社 成膜装置及び被処理体の処理方法
JP3676983B2 (ja) * 2000-03-29 2005-07-27 株式会社日立国際電気 半導体製造方法、基板処理方法、及び半導体製造装置
JP4856308B2 (ja) * 2000-12-27 2012-01-18 キヤノンアネルバ株式会社 基板処理装置及び経由チャンバー
JP2002203885A (ja) * 2000-12-27 2002-07-19 Anelva Corp インターバック型基板処理装置
US6566242B1 (en) * 2001-03-23 2003-05-20 International Business Machines Corporation Dual damascene copper interconnect to a damascene tungsten wiring level
KR100413481B1 (ko) * 2001-06-12 2003-12-31 주식회사 하이닉스반도체 반도체 소자의 구리 박막 증착 장비
WO2003008660A1 (en) 2001-07-19 2003-01-30 Trikon Holdings Limited Depositing a tantalum film
US6620727B2 (en) * 2001-08-23 2003-09-16 Texas Instruments Incorporated Aluminum hardmask for dielectric etch
US6908865B2 (en) * 2001-09-28 2005-06-21 Applied Materials, Inc. Method and apparatus for cleaning substrates
US6778258B2 (en) * 2001-10-19 2004-08-17 Asml Holding N.V. Wafer handling system for use in lithography patterning
US6656535B2 (en) * 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
KR100440261B1 (ko) * 2001-12-22 2004-07-15 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
US6989579B2 (en) * 2001-12-26 2006-01-24 Lucent Technologies Inc. Adhering layers to metals with dielectric adhesive layers
JP2003218201A (ja) * 2002-01-24 2003-07-31 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6664166B1 (en) * 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US6926390B2 (en) 2003-02-05 2005-08-09 Hewlett-Packard Development Company, L.P. Method of forming mixed-phase compressive tantalum thin films using nitrogen residual gas, thin films and fluid ejection devices including same
US6893116B2 (en) 2003-04-29 2005-05-17 Hewlett-Packard Development Company, L.P. Fluid ejection device with compressive alpha-tantalum layer
US6955835B2 (en) * 2003-04-30 2005-10-18 Hewlett-Packard Development Company, L.P. Method for forming compressive alpha-tantalum on substrates and devices including the same
US7045455B2 (en) * 2003-10-23 2006-05-16 Chartered Semiconductor Manufacturing Ltd. Via electromigration improvement by changing the via bottom geometric profile
DE102004015865B4 (de) * 2004-03-31 2006-05-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reinigen der Oberfläche eines Substrats
CN100345276C (zh) * 2004-05-19 2007-10-24 上海宏力半导体制造有限公司 降低铜双镶嵌工艺线间漏电流的方法
US7091088B1 (en) * 2004-06-03 2006-08-15 Spansion Llc UV-blocking etch stop layer for reducing UV-induced charging of charge storage layer in memory devices in BEOL processing
US20060014378A1 (en) * 2004-07-14 2006-01-19 Sanjeev Aggarwal System and method to form improved seed layer
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
US7348672B2 (en) * 2005-07-07 2008-03-25 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnects with improved reliability
DE112007000697B4 (de) * 2006-03-22 2013-11-07 Mitsubishi Electric Corp. Leistungshalbleitervorrichtung
US20080078326A1 (en) * 2006-09-29 2008-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-cleaning tool and semiconductor processing apparatus using the same
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US8791018B2 (en) * 2006-12-19 2014-07-29 Spansion Llc Method of depositing copper using physical vapor deposition
US7723012B2 (en) * 2007-06-28 2010-05-25 Eastman Kodak Company Radiation-sensitive compositions and elements with solvent resistant poly(vinyl acetal)s
US8084862B2 (en) * 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US8618663B2 (en) 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US7709370B2 (en) * 2007-09-20 2010-05-04 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
JP2009194195A (ja) * 2008-02-15 2009-08-27 Panasonic Corp 半導体装置及びその製造方法
JP5590113B2 (ja) * 2010-03-02 2014-09-17 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクおよびその製造方法
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
US20160208377A1 (en) * 2014-03-27 2016-07-21 Jx Nippon Mining & Metals Corporation Tantalum sputtering target and method for producing same
CN105990227B (zh) * 2015-02-27 2019-11-08 中芯国际集成电路制造(上海)有限公司 金属连线的制作方法及半导体器件
CN106158732B (zh) * 2015-04-16 2019-02-12 中芯国际集成电路制造(上海)有限公司 金属互连层的金属化工艺
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
CN111421426A (zh) * 2020-04-20 2020-07-17 台州市椒江南屯电子有限公司 一种金属导体电镀装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5832229B2 (ja) 1978-09-22 1983-07-12 日本真空技術株式会社 金属窒化物を被覆した真空容器及び真空機器用部品
JPS59208071A (ja) 1983-05-13 1984-11-26 Hitachi Ltd 成膜方法および装置
JPS63303064A (ja) 1987-05-30 1988-12-09 Matsushita Electric Ind Co Ltd スパッタリング装置
JP2602276B2 (ja) * 1987-06-30 1997-04-23 株式会社日立製作所 スパツタリング方法とその装置
US5175608A (en) 1987-06-30 1992-12-29 Hitachi, Ltd. Method of and apparatus for sputtering, and integrated circuit device
JPH06196437A (ja) * 1992-12-25 1994-07-15 Sumitomo Metal Ind Ltd チタンまたはチタン化合物の薄膜形成装置
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5707498A (en) * 1996-07-12 1998-01-13 Applied Materials, Inc. Avoiding contamination from induction coil in ionized sputtering
SG54602A1 (en) 1996-11-26 1998-11-16 Applied Materials Inc Coated deposition chamber equipment
JP3624628B2 (ja) 1997-05-20 2005-03-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US6139699A (en) * 1997-05-27 2000-10-31 Applied Materials, Inc. Sputtering methods for depositing stress tunable tantalum and tantalum nitride films
US6080285A (en) * 1998-09-14 2000-06-27 Applied Materials, Inc. Multiple step ionized metal plasma deposition process for conformal step coverage

Also Published As

Publication number Publication date
EP1033745A2 (en) 2000-09-06
EP1033745A3 (en) 2001-12-19
TW465016B (en) 2001-11-21
ATE342580T1 (de) 2006-11-15
US6451181B1 (en) 2002-09-17
DE60031191T2 (de) 2007-08-23
KR100761226B1 (ko) 2007-09-28
DE60031191D1 (de) 2006-11-23
CN1266279A (zh) 2000-09-13
EP1033745B1 (en) 2006-10-11
CN1169199C (zh) 2004-09-29
US20020092763A1 (en) 2002-07-18
KR20000062671A (ko) 2000-10-25
JP2000323436A (ja) 2000-11-24

Similar Documents

Publication Publication Date Title
JP4909454B2 (ja) ウエハ上にバリア層を形成する方法
JP4681117B2 (ja) サブクオーターミクロン適用のための、メタライゼーションに先立つ予備洗浄方法
US7244344B2 (en) Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
US7186648B1 (en) Barrier first method for single damascene trench applications
US6562715B1 (en) Barrier layer structure for copper metallization and method of forming the structure
US20020068458A1 (en) Method for integrated in-situ cleaning and susequent atomic layer deposition within a single processing chamber
US20020029093A1 (en) Method and apparatus for depositing a tantalum-containing layer on a substrate
JP2002511654A (ja) 基体上に多層化されたアルミニウムからなる構造を形成する方法
US6200433B1 (en) IMP technology with heavy gas sputtering
US5227337A (en) Interconnection forming method
WO2002046489A1 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
WO2006083929A2 (en) A physical vapor deposition plasma reactor with rf source power applied to the target
JP2001085331A (ja) バイア及びコンタクトのシーケンシャルスパッタ及び反応性プリクリーニング
JPH09171976A (ja) 高アスペクト比フィーチャの側面と底部に膜厚制御可能な被膜を付着する方法および装置
JP3120513B2 (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20040927

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070228

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070228

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100218

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100713

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101013

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101018

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101027

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110920

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111130

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111220

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120116

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150120

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4909454

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term