JP4540847B2 - 高密度プラズマシステムを用いた半導体デバイスの平坦化方法 - Google Patents

高密度プラズマシステムを用いた半導体デバイスの平坦化方法 Download PDF

Info

Publication number
JP4540847B2
JP4540847B2 JP2000548912A JP2000548912A JP4540847B2 JP 4540847 B2 JP4540847 B2 JP 4540847B2 JP 2000548912 A JP2000548912 A JP 2000548912A JP 2000548912 A JP2000548912 A JP 2000548912A JP 4540847 B2 JP4540847 B2 JP 4540847B2
Authority
JP
Japan
Prior art keywords
layer
sacrificial layer
etching
oxygen
metal wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000548912A
Other languages
English (en)
Other versions
JP2002515647A (ja
Inventor
トーマス アブラハム,
ジェイムズ, エー. ボンダー,
ジェイムズ, ピー. ガルシア,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002515647A publication Critical patent/JP2002515647A/ja
Application granted granted Critical
Publication of JP4540847B2 publication Critical patent/JP4540847B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

【0001】
(技術分野)
本発明は、一般に、半導体デバイスの製造に関する。さらに詳細には、本発明は、高密度プラズマシステムを用いて半導体デバイス上の材料層を平坦化する方法に関する。
【0002】
(背景技術)
半導体回路でのデバイスの高密度化に伴い、半導体回路の製造がますます複雑になっている。回路の密度が高いと、メタル配線や特徴部(feature)の間隔を狭くして、さらに材料と構造を多層化し、すべてをミクロンまたはサブミクロンで処理する必要が生じる。層の表面は、一般に下層に実質的に合う外形をもつことになる。前の構造および層は、凹凸状の高さ、谷等の領域をもつ表面外形を作り出す。多層になるにつれ、凹凸がよりはっきりとしてくる。このような外形は、リソグラフィに必要な微細パターンの分解能や焦点深度制限、膜の堆積、配線のエッチング、全体的な歩留り、さらには集積回路の特性に悪影響を及ぼす。従って、このような表面の外形の凹凸を最小限に抑えるように層を平坦化することが望まれる。
【0003】
平坦化とは、ウェーハ上に滑らかで平坦な層を作り出すために用いるプロセスである。多層メタル配線からなる半導体の製造に必要な平坦化には2つのタイプのものがあり、すなわち、局所的平坦化と大域的平坦化である。局所的平坦化は、高密度の配線メタルアレイに堆積した誘電体膜または層を平坦化することを含む。大域的平坦化は、全ウェーハにある誘電体層を平坦化する場合のものである。
【0004】
大域的平坦化では、化学的機械研磨(CMP)が最も広く用いられている平坦化技術であり、研磨パッドをウェーハに当てて擦り表面層を研摩する。研摩パッドは、平坦化を促すための研摩材スラリー溶液で浸されたものであることが多い。広く使用されているスラリーは、水性KOH溶液中のコロイドシリカである。CMP器具は、当業者に公知のものである。この器具は、ウェーハに取り付ける研磨ホイールを含む。ホイールが回転すると、ウェーハが濡れた研摩表面に押し付けられて、ウェーハ表面が平坦化される。
【0005】
CMPには、多くの制限が伴う。これは、特定の目的で使用され、高価な場合が多い装置が必要となる独立したステップである。CMPの実行中、膜の除去速度を測定する方法がない。CMPの速度と均一性は、パッドの条件とウェーハにかかる圧力の影響を受ける。さらに、メタル配線または特徴部の段の高さの点で、CMPで達成される全平坦化は制限される。デバイス外形の縮小化に伴い、このように小さな外形を得るために用いるリソグラフィステッパの焦点深度が減少するため、大域的平坦化への需要が高まっている。
【0006】
最近、このようなギャップ充填酸化物等の誘電体膜や他の層を堆積するために使用する高密度プラズマ(HDP)化学気相堆積(CVD)プロセスが、平坦化層を得る過程で使用可能なものであることが分かってきた。米国特許第5,494,854号に、このような方法の一例が記載されている。’854特許は、HDP二酸化シリコンのギャップ充填誘電体層を導電体に堆積して、高アスペクト比の導電体を平坦化するステップが開示されているが、この方法では、必ずしも低アスペクト比の導電体層が平坦化されない。次いで、犠牲(sacrificial)研摩層が堆積され、CMPプロセスが用いられて、この犠牲層が平坦化される。’854特許では、平坦化プロセスを完了するために、CMPプロセスを用いる必要がある。上述したように、CMPプロセスには多くの制限があり、このように追加して独立したステップを実行することからコストもかかる。従って、平坦な層を提供し上述した制限を解消する、半導体デバイスまたはウェーハ上の材料層を平坦化する改善方法を提供することが望まれる。さらに詳しく言えば、CMPやスピンオングラス技術等、さらなるステップおよび/または装置を必要とせず、現場の平坦化を行うことが可能な平坦化方法を提供することが望まれる。
【0007】
(発明の開示)
従って、本発明の目的は、半導体デバイス上の材料層を平坦化する改善方法を提供することである。
【0008】
さらに詳しく言えば、本発明の目的は、高密度プラズマシステムを用いて半導体デバイス上の層を平坦化する現場方法を提供することである。
【0009】
本発明の別の目的は、ギャップ充填層と比較して異なる所望のエッチング速度を有する犠牲層を用いて、層を平坦化する方法を提供することである。
【0010】
本発明のさらなる目的は、半導体製造プロセスに容易に組込み可能な層を平坦化する方法を提供することである。
【0011】
本発明のさらなる別の目的は、局所的および大域的平坦化を達成可能な平坦化方法を提供することである。
【0012】
rfバイアスを印加してスパッタリングエッチングを行うことによりバイアスされるウェーハ支持体を有する高密度プラズマCVDリアクタにおいて、配線特徴部を有する半導体デバイス上に平坦層を形成する本願明細書に開示した方法により、上記および他の目的を達成する。この方法は、配線特徴部および基板上にギャップ充填酸化物層を堆積するステップを具備し、配線特徴部の上方にあるギャップ充填酸化物に角度を有する面が形成される。次に、ギャップ充填酸化物層上に犠牲層が堆積される。犠牲層は、所与のrfバイアスでギャップ充填酸化物に等しいかまたは大きいエッチング対堆積比を有し、この第2の堆積ステップ中に、層の残りの部分よりも高速に角がある面がエッチングされることにより、面が実質的に後退する。次いで、実質的に犠牲層を除去するように、犠牲層がエッチングされ、下地メタルの上方に特定の厚みを有するデバイスを実質的に平坦な層にする。一実施形態では、犠牲層は、適切なスパッタエッチング種またはスパッタエッチング種の組合せによりスパッタエッチングされる。第2の実施形態では、犠牲層は、適切なスパッタエッチング種および化学エッチャントをそれぞれ用いるスパッタエッチングおよび科学的エッチングの組合せを用いてエッチングされる。
【0013】
代替実施形態では、犠牲層のエッチング後、さらに平坦化するために、半導体デバイス上に「トップコート」を堆積してもよい。
【0014】
本発明の他の目的および利点は、以下に記載する本発明の詳細な説明と図面を参照して明らかになる。
【0015】
(発明を実施するための最良の形態)
本発明は、高密度プラズマ化学気相堆積(HDP CVD)技術を用いて、半導体デバイス上の材料層の現場平坦化方法を提供する。メタル配線全体にギャップ充填誘電体層が堆積され、その後に犠牲膜が堆積され、その犠牲膜をエッチバックして実質的に平坦な表面を得る。エッチバックステップは、スパッタエッチングまたはスパッタエッチングと化学的エッチングとの組合せにより実行されるものであってよい。代替実施形態では、表面上にオーバーコート層を堆積して、さらに平坦化を行うものであってよい。本発明の方法は、当業者に公知のHDP CVDリアクタで実行されることが好ましいが、rfバイアス可能なウェーハ支持体で低圧動作する他のプラズマアシストCVDリアクタを用いていもよい。本発明を実行するために用いてもよいHDP CVDリアクタの一例が、係属特許出願第08/909,580号に記載されており、その内容全体を本願明細書に援用する。一般に、HPD CVDプロセスは、高密度プラズマ源を用いて、1011イオン/cm3よりも大きいオーダで高密度イオンを用いてプラズマを発生させる比較的新しい技術である。HDP CVDリアクタは、rfバイアスパワーを好適な周波数で支持体に印加して、イオン衝撃から生じるスパッタ速度を高めることによりバイアスをかけてもよいバイアスされたウェーハ支持体を用いる。これにより、ウェーハにバイアス電圧がかかり、ウェーハ支持体により固定されたウェーハまたは基板の表面にイオンを加速させる。ウェーハは、ウェーハの背面にヘリウムを供給して冷却される(「背面ヘリウム」と呼ばれることも多い)。ギャップ充填層等の膜の堆積中、一般に、ウェーハ支持体にバイアスをかけることにより、表面へのイオン打込みを行い、堆積されている材料をスパッタエッチングして除去する。このプロセスにより、ボイドを形成することなく高アスペクト比でギャップの充填が可能な高品質ギャップ充填層が得られる。この現象は、1つにエッチング対堆積比(E/D)で特徴付けられる。E/Dは以下の等式で求められる。
【0016】
E/D=(UB速度−B速度)/UB速度
ここで、UB速度は、ウェーハ支持体がバイアスされていないときのウェーハ表面上の膜の堆積速度であり、B速度は、ウェーハ支持体がバイアスされているときのウェーハ表面上の膜の堆積速度である。従って、HDP CVDプロセスには、スパッタエッチング部分と堆積部分がある。本願発明者等により、E/D比が、堆積プロセスの平坦化精度の1つの基準となることが分かった。さらに、イオンが層の表面に衝撃を与えるときの層のスパッタエッチング速度は、面形成時にある役割を果たす。スパッタエッチング速度は、ウェーハの外形、特に、層の外形の角度の関数として変化するもので、さらにスパッタエッチング速度は、図4に示すように、約45〜60度の範囲の角度で最も大きくなることが知られている。その結果、面20および22のエッチング速度(以下図1Aに記載する)は、基板の表面に対して90度の層のエッチング速度、すなわち、イオンが表面に入射してスパッタリングする場合の速度の2〜3倍増大することがある。また、本願発明者等は、スパッタエッチング速度が異なる物質毎に変化し、堆積する誘電体膜の組成(または化学当量)によることを発見した。また、プラズマに化学エッチングガスを用いて、前述した面の横方向のエッチング成分を容易にする化学成分をエッチングに生成することも可能である。このような化学エッチング成分により、より大きな特徴部(すなわち、>1μm)の上方にあるトップハットの除去を促進して、大域的平坦化をより完全にし、かつエッチング速度を高めて平坦化時間を短縮することができる。
【0017】
図面において同一の部品を同一の参照番号で示した図面を参照すると、図1A〜1Cは、基板12と酸化物層(プリメタル堆積層として呼ばれることもある)14と、酸化物層14の下側のデバイス構造とを含む半導体デバイスを示す。酸化物層14は、二酸化シリコンからなることが好ましく、複数の配線または回路特徴部16および17が、酸化物層14上に形成される。配線は、その幅およびアスペクト比が異なることがある。これらの図には、狭い幅の配線16と広い幅の配線17が示されている。配線は、特徴部の底面から上面までの段の高さである段19を含む。回路の特徴部16および17は、ポリシリコンゲート、ドレイン、メタルプラグ、低濃度ドープドレイン(LDD:Lightly Doped Drain)スペーサ、配線等、当業者に公知の任意のタイプのものであってもよい。回路の特徴部は、当業者に公知の製造ステップを用いて形成される。例示的実施形態では、回路の特徴部16および17は、メタル配線である。
【0018】
配線16および17の製造後、基板と配線16および17上にギャップ充填誘電体酸化物層18が堆積される。ギャップ充填誘電体層18は、HDP化学気相堆積(CVD)で形成されることが好ましい。ギャップ充填酸化物層18は、配線16および17が被覆されるまでか、もしくは配線の上方で層18が所望の厚みを有するまで形成される。ギャップ充填酸化物は、図1Aに示すように、下地の配線16および17の上方に凹凸状の表面をもつ平坦でない表面外形を有するものとなる場合がある。特に、ギャップ充填酸化物層18の表面は、配線16および17の上方に高くなっている。ギャップ酸化物層18がHDP CVDを用いて堆積される場合、層は、配線16および17の上方にそれぞれ面20および22を形成する傾向にある。幅が狭い配線16では、面20は角のある形状で、配線16の段の縁で45度の角度をなす三角形である。この形状は、HDP CVDプロセスと関連するスパッタエッチング成分によりHDP CVD中に生じるものと考えられる。
【0019】
多層配線および/または回路特徴部を有する半導体デバイスを組み立てるために、本発明の方法は、次のメタル配線層を堆積する前に平坦化表面を提供する。本発明の方法では、図1Bに示すように、ギャップ充填酸化物層18上に犠牲層24を堆積することも特に利点となる。本発明により、犠牲層24は、ギャップ充填酸化物層18よりも所与のバイアスのE/D比が等しいかまたは大きい(すなわち、スパッタエッチング速度がより速い)。これにより、堆積プロセスの平坦化精度の一基準であるE/D比は、ウェーハ支持体に印加される同じrfバイアスパワーのギャップ充填酸化物18よりも犠牲層24の方が2倍高くなるという利点がある。犠牲層に使用される材料は、所望のE/D比を示すようなものが選択される。犠牲層24は、シリコンリッチな酸化物からなることが好ましい。シリコンリッチな酸化物層は、非化学当量のシリコンと酸素含有ガスを反応させて形成される。代替実施形態では、犠牲層24として純非晶質シリコン層が用いられていもよい。約0.0〜1.0の範囲にある比率が好ましく、1.2よりも小さな酸素対シリコン含有ガスの比率を用いてガスを反応させることが好ましい。犠牲層24は、約0〜2ミクロンの範囲にある厚みをもつように堆積されることが好ましい。さらに、犠牲層は、低密度酸化物、酸窒化物および低誘電率材料を含む他の適切な材料からなるものであってよい。これらの層はそれぞれ、線の幅がより広い特徴部を選択的に平坦化する能力を高める化学エッチングプロセスと異なるエッチング応答を示す。
【0020】
犠牲層24が堆積されると、図1Bに示されるように、角のある面20は後退する。この現象は、図3および図5を参照してより詳細に示される。上述したように、堆積中に層の表面をエッチングイオンがスパッタリングするにつれ、層の角のある面部分は、基板に平行な層の残りの部分よりも高速にエッチングされる。これが生じると、面は両側から内側に広がることによって、図3および図5に示すように、面の大きさと高さが低減する。幅が狭い配線16では、第2の堆積ステップ中に面は実質的に除去され、これらの配線の上方に実質的に平坦な平面が残る。幅が広い配線17では、面はかなり減少するが、完全には除去されない。高E/D比により面上に犠牲層の堆積が生じないため、面が低減される。従って、面は、プラズマのスパッタリング効果を完全に受けている。
【0021】
さらに平坦化を行うために、本発明の方法は、図1Cに示すように、層24の表面をエッチングすることにより、犠牲層24をエッチバックする第3のステップを行う。好適な実施形態では、犠牲層は、スパッタエッチングによりエッチバックされる。このステップでは、堆積は生じない。スパッタエッチングイオンが、HDP CVDリアクタに導入され、rfバイアスパワーを印加することによりウェーハ支持体がバイアスされて、イオンが表面をスパッタエッチングする。本発明の方法に適したスパッタエッチングイオンは、酸素、窒素および不活性ガス、およびそれらの任意の混合物を含む。エッチングイオンは、アルゴン(Ar)であることが好ましいが、他の適切なエッチング種を用いてもよい。例えば、ネオンやArとネオンの混合物をスパッタエッチングガスとして用いてもよい。例示的実施形態では、1W/cm2〜12W/cm2の範囲にあるrfバイアスパワーで、およそ1〜2分間、Arイオンでスパッタエッチングを行って犠牲層24を除去する。除去する材料および適切な平坦化に必要とされるエッチング/スパッタリングの化学的性質に応じて、パワー密度は変化させてもよい。
【0022】
本発明の別の実施形態では、犠牲層は、スパッタエッチングおよび化学エッチングとを組み合わせてエッチバックされる。スパッタエッチングガスと共に適切な化学エッチャントが導入される。適切な化学エッチャントは、フッ素含有ガスと、酸素を付加したフッ素含有ガスを含む。例えば、エッチバックステップの化学成分として、CF4、CHF3、NF3、SF6およびそれらの酸素付加物を用いてもよい。
【0023】
本発明の代替実施形態では、半導体デバイスをさらに平坦化するために、第4のステップが用いられる場合がある。図2に示されているように、ギャップ充填タイプの酸化物であることが好ましい「トップコート」層28がウェーハ上に堆積される。例示的実施形態では、トップコート層28は、約0.5〜0.8ミクロンの厚みをもつように堆積される。
【0024】
従来の技術によると、幅が広い配線(6ミクロンよりも大きい)を平坦化することは困難であり、CMPおよび他の取り扱いにくい平坦化技術を用いる必要があった。本発明は、CMPおよび他の従来の平坦化技術の補助を必要とせずに、この問題を解決し、幅の広い配線をインシチュウ(in situ)で平坦化するものである。図5を再度参照すると、6ミクロン幅よりも大きな幅広の配線17の場合、面22は低減するが、犠牲層24の堆積中に完全には広がらないことが示されている。この問題を解決するために、本発明は、「スロット形成」法を用いる。さらに詳しく言えば、図6に示すように、長さおよび/または幅に沿って周期的な位置にある配線の一部を除去することにより、幅広の配線17にスロット30が形成される。スロット30の寸法は、約0.3×0.3ミクロン(または最も小さく分解可能なスロット)であり、配線17に沿って約2.5μm以上の間隔をおいて配置される。正確な寸法は、デバイスのデザインとプロセスによる。スロットは、配線デバインの一部であるため、メタルリソグラフィステップ中にマスク上に現れる。次いで、メタルエッチングプロセス中にこれらはエッチングされる。
【0025】
図7に示されているように、スロット30は、堆積している誘電体部分を一連の段が付いた特徴部に効果的に分解し、1つの長く延びた面22とは異なり、面20に類似した一連の個々の角のある面32を生じさせる。次いで、個々の角をもつ面32は、図1A〜1Cに示した本発明のステップおよび図1A〜1Cと図2に示した代替実施形態を用いて、容易に平坦化される。
【0026】
実験
本発明の方法を用いて、配線を含む基板上の層を平坦化するさまざまな実験を行った。0.8ミクロンの高さと5ミクロンまでの幅を有するさまざまな配線が使用された。本発明の方法の例示的実施形態が、以下の表1に示すプロセス条件を用いてHPD CVDリアクタで実行された。
【0027】
【表1】
Figure 0004540847
ここで、ステップ1とラベル付けしたプロセス条件は、図1Aに示すギャップ充填酸化物堆積ステップのプロセス条件であり、ステップ2とラベル付けしたプロセス条件は、図1Bに示す犠牲酸化物堆積ステップのプロセス条件であり、ステップ3とラベル付けしたプロセス条件は、図1Cに示すエッチバックステップのプロセス条件であり、本発明のスパッタエッチングの実施形態を用いている。ステップ4は、図2に示すトップコート堆積ステップに相当するものである。
【0028】
表1に示す本発明の方法の例示的実施形態によると、ギャップ充填酸化物層18は、表1のステップ1のプロセス条件を用いてHPD CVDにより配線上に堆積される。さらに詳しく言えば、層18は、ウェーハ支持体に印加されるバイアスパワー密度を10.5W/cm2にして、上述したタイプのHDP CVDリアクタに堆積された。良好なギャップ充填酸化物を得るために、シランおよび酸素の流量は、それぞれ200sccmおよび490sccmである。本発明の例示的実施形態では、この堆積ステップは約80秒間行う。
【0029】
次に、表1のステップ2に示されているように、10.5W/cm2のバイアスパワー密度をウェーハ支持体に印加して、犠牲層24が堆積される。犠牲層は、シリコンリッチな酸化物層であることが好ましい。シリコンリッチな酸化物層を得るために、酸素の流量を低減し、例示的実施形態では、シランと酸素の流量は、それぞれ200sccmおよび150sccmである。犠牲層は、110秒間堆積される。
【0030】
次いで、犠牲層24は、表1のステップ3のプロセス条件を用いて、40秒間堆積され、犠牲層を実質的に除去して、表面を実質的に平坦にする。このステップ中はエッチングのみであるため、堆積物は生じることがなく、従って、シランと酸素の流量は零である。この例では、アルゴンの流量が520sccmで、アルゴンイオンが犠牲層の表面をスパッタリングする。また、このステップ中、リアクタの圧力は5mtorrに低減する。
【0031】
さらに平坦化を行うために、本発明は、表1のステップ4のプロセス条件を用いて、ウェーハ上にトップコート28を堆積する。トップコートは、ギャップ充填酸化物のタイプのものが好ましく、シランと酸素の流量が、それぞれ200sccmと490sccmのものを用いて60秒間堆積される。
【0032】
上記に一例を挙げたが、本発明の方法では他のプロセス条件を用いてもよいことに留意されたい。例えば、堆積にかける時間は、平坦化する層の下地である配線(または特徴部)の大きさにより変えてもよい。例えば、線幅が5ミクロンよりも小さい配線や、本発明の代替実施形態により配線がスロット状にされる場合では、ステップ2およびステップ3の時間は短縮されるであろう。
【0033】
特定の実施形態と関連させて本発明を記載してきたが、上述した記載を考慮してさまざまな変更、代用、代替および修正が当業者には明らかであることは明白である。従って、本発明の記載は、このような変更、代用、代替および修正をすべて含むものであり、特許請求の範囲内のものである。
【図面の簡単な説明】
【図1A】 パターン化された配線を有し、本発明の方法の一実施形態による処理ステップを示す半導体ウェーハの断面図である。
【図1B】 パターン化された配線を有し、本発明の方法の一実施形態による処理ステップを示す半導体ウェーハの断面図である。
【図1C】 パターン化された配線を有し、本発明の方法の一実施形態による処理ステップを示す半導体ウェーハの断面図である。
【図2】 本発明の代替実施形態によるさらなる処理ステップを示す半導体ウェーハの断面図である。
【図3】 本発明の方法によるもので、1つの配線または特徴部を有し、配線または特徴部上に形成された酸化物層にある角をもつ面の平坦化を示す半導体ウェーハの部分的断面図である。
【図4】 スパッタエッチング速度を、半導体デバイス上の配線全体に堆積された層の地形の依存角の関数として示したグラフである。
【図5】 本発明の方法によるもので、配線上に酸化物層を堆積した1つの幅広の配線を有し、酸化物層の面の平坦化を示す半導体ウェーハの部分的断面図である。
【図6】 本発明の方法の別の実施形態によるスロット領域を有する幅広の配線の平面図である。
【図7】 スロット領域を有する幅広の配線を有し、幅広の配線上に形成された面を示す半導体ウェーハの部分的断面図である。

Claims (27)

  1. RFバイアスがかけられるウェーハ支持体を有する高密度プラズマ化学気相堆積リアクタを用いてスパッタエッチングを行い、メタル配線を有する半導体基板上に平坦な誘電体層を形成する方法であって、
    前記基板の面に対して角度をもつ凸状の面であって互いに分離された複数の凸状の面を生じさせるために、前記メタル配線の一部を除去することにより、前記メタル配線をその長さ方向に沿って、2.5〜4ミクロン毎に間隔をおいてスロットを形成するスロット形成ステップと、
    スロットが形成されたメタル配線および基板の上にギャップ充填酸化物層を堆積して、前記基板の面に対して角度をもつ凸状の面を有する酸化物層を該メタル配線の上方に形成する堆積ステップと、
    前記酸化物層の凸状のエッチングされて除去されるプロセス条件下で、犠牲層を該ギャップ充填酸化物層の上に堆積する堆積ステップと、
    前記犠牲層をエッチングして除去し、前記半導体基板上の層を平坦にするエッチングステップと
    を含む方法。
  2. 前記半導体上の前記平坦な層上にトップコート酸化物を堆積するステップをさらに含む請求項1記載の方法。
  3. 前記犠牲層が、シリコンリッチな酸化物層からなる請求項1記載の方法。
  4. 前記犠牲層の堆積ステップが、 酸素対シリコン含有ガスの比率を1.2よりも小さくして、シリコン含有ガスと酸素含有ガスを反応させるステップをさらに含む請求項1記載の方法。
  5. 酸素対シリコン含有ガスの比率が、0〜1.0の範囲にある請求項4記載の方法。
  6. 酸素対シリコン含有ガスの比率が、0.5〜1.0の範囲にある請求項4記載の方法。
  7. 前記メタル配線の幅が、0.1〜5.0ミクロンの範囲にある請求項1記載の方法。
  8. 前記エッチングステップが、前記犠牲層の化学エッチングとスパッタエッチングとの組合せを含む請求項1記載の方法。
  9. 前記エッチングステップが、前記犠牲層のスパッタエッチングを含む請求項1記載の方法。
  10. 前記化学エッチングステップが、フッ素含有ガスおよび酸素を付加したフッ素含有ガスからなる群から選択される化学エッチャントを用いる請求項記載の方法。
  11. 前記スパッタエッチングが、酸素、窒素、不活性ガスおよびそれらの混合物からなる群から選択されるスパッタエッチングガスを用いる請求項記載の方法。
  12. 前記スパッタエッチングが、アルゴンを用いる請求項記載の方法。
  13. 前記犠牲層のエッチング対堆積比が、少なくとも75:1である請求項1記載の方法。
  14. 前記犠牲層が、酸有機材料、ポリシリコン、低密度酸化物、酸窒化物および低誘電率を有する材料からなる群から選択される請求項1記載の方法。
  15. 前記リアクタに化学エッチャントを注入して、犠牲層を化学的にエッチングするステップをさらに含む請求項1記載の方法。
  16. メタル配線を有する半導体基板上に平坦な誘電体層を形成する方法において、RFバイアスを用いたスパッタエッチングを含むプラズマ強化形CVDにより前記層が形成されことを含む方法であって、
    前記基板の面に対して角度をもつ凸状の面であって互いに分離された複数の凸状の面を生じさせるために、前記メタル配線の一部を除去することにより、前記メタル配線を長さ方向に沿って、2.5ミクロン毎に間隔をおいてスロットを形成するスロット形成ステップと、
    スロットが形成されたメタル配線および基板の上にギャップ充填酸化物層を堆積して、前記基板の面に対して角度をもつ凸状の面を有する酸化物層を該メタル配線の上方に形成する堆積ステップと、
    前記酸化物層の凸状のエッチングされて除去されるプロセス条件下で、シリコンリッチな酸化物犠牲層を前記ギャップ充填酸化物層の上に堆積する堆積ステップと、
    前記犠牲層をエッチングして、前記半導体基板上の層を平坦にするエッチングステップと
    を含む方法。
  17. 前記リッチな酸化物犠牲層を堆積するステップが、酸素対シリコンの比率を1.2よりも小さくしてシリコン含有ガスと酸素含有ガスを反応させて、ガス充填酸化物の上にシリコンリッチな犠牲層を堆積するステップをさらに含む請求項16記載の方法。
  18. 酸素対シリコン含有ガスの比率が、0.0〜1.0の範囲にある請求項17記載の方法。
  19. 酸素対シリコン含有ガスの比率が、0.5〜1.0の範囲にある請求項17記載の方法。
  20. 前記メタル配線の幅が、0.1〜6.0ミクロンの範囲にある請求項16記載の方法。
  21. 前記メタル配線の幅が、6ミクロンよりも大きい請求項16記載の方法。
  22. 前記エッチングステップが、犠牲層の化学エッチングとスパッタエッチングとの組合せを含む請求項16記載の方法。
  23. 前記エッチングステップが、犠牲層のスパッタエッチングを含む請求項16記載の方法。
  24. 前記化学エッチングステップが、フッ素含有ガスおよび酸素を付加したフッ素含有ガスからなる群から選択される化学エッチャントを用いる請求項22記載の方法。
  25. 前記スパッタエッチングが、酸素、窒素、不活性ガスおよびそれらの混合物からなる群から選択されるスパッタエッチングガスを用いる請求項23記載の方法。
  26. 前記スパッタエッチングが、アルゴンを用いる請求項23記載の方法。
  27. 化学エッチャントを前記リアクタ内に注入して、犠牲層を化学的にエッチングするステップをさらに含む請求項16記載の方法。
JP2000548912A 1998-05-11 1999-03-15 高密度プラズマシステムを用いた半導体デバイスの平坦化方法 Expired - Fee Related JP4540847B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/075,854 US6593241B1 (en) 1998-05-11 1998-05-11 Method of planarizing a semiconductor device using a high density plasma system
US09/075,854 1998-05-11
PCT/US1999/005551 WO1999059194A1 (en) 1998-05-11 1999-03-15 A method of planarizing a semiconductor device using a high density plasma system

Publications (2)

Publication Number Publication Date
JP2002515647A JP2002515647A (ja) 2002-05-28
JP4540847B2 true JP4540847B2 (ja) 2010-09-08

Family

ID=22128404

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000548912A Expired - Fee Related JP4540847B2 (ja) 1998-05-11 1999-03-15 高密度プラズマシステムを用いた半導体デバイスの平坦化方法

Country Status (6)

Country Link
US (1) US6593241B1 (ja)
EP (1) EP1088339A4 (ja)
JP (1) JP4540847B2 (ja)
KR (1) KR100583607B1 (ja)
AU (1) AU3086999A (ja)
WO (1) WO1999059194A1 (ja)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6759306B1 (en) * 1998-07-10 2004-07-06 Micron Technology, Inc. Methods of forming silicon dioxide layers and methods of forming trench isolation regions
US6531384B1 (en) 2001-09-14 2003-03-11 Motorola, Inc. Method of forming a bond pad and structure thereof
TWI220058B (en) * 2002-08-05 2004-08-01 Macronix Int Co Ltd Method of removing HDP oxide deposition
US7300595B2 (en) 2003-12-25 2007-11-27 Tdk Corporation Method for filling concave portions of concavo-convex pattern and method for manufacturing magnetic recording medium
JP3881350B2 (ja) 2004-08-03 2007-02-14 Tdk株式会社 磁気記録媒体及び磁気記録再生装置
KR100607820B1 (ko) * 2004-12-29 2006-08-02 동부일렉트로닉스 주식회사 반도체 소자의 층간 절연막 형성 방법
JP3924301B2 (ja) 2005-02-01 2007-06-06 Tdk株式会社 磁気記録媒体及び磁気記録再生装置
JP4254862B2 (ja) * 2005-06-07 2009-04-15 パナソニック株式会社 細胞電気生理測定デバイスおよびその製造方法
US20070029283A1 (en) * 2005-08-02 2007-02-08 Micron Technology, Inc. Etching processes and methods of forming semiconductor constructions
KR100856325B1 (ko) * 2005-12-29 2008-09-03 동부일렉트로닉스 주식회사 반도체 소자의 절연막 및 그 형성 방법
JP2009009652A (ja) * 2007-06-28 2009-01-15 Toshiba Corp 磁気記録媒体の製造方法
JP4357570B2 (ja) * 2008-01-31 2009-11-04 株式会社東芝 磁気記録媒体の製造方法
TWI435386B (zh) * 2009-07-21 2014-04-21 Ulvac Inc 被膜表面處理方法
JP2012015292A (ja) * 2010-06-30 2012-01-19 Japan Science & Technology Agency NdFeBのエッチング方法
US10879108B2 (en) * 2016-11-15 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Topographic planarization method for lithography process

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4007103A (en) * 1975-10-14 1977-02-08 Ibm Corporation Planarizing insulative layers by resputtering
JPS6091645A (ja) * 1983-10-25 1985-05-23 Nec Corp プラズマ気相成長によつて薄膜を堆積する方法
US4690746A (en) * 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4952274A (en) 1988-05-27 1990-08-28 Northern Telecom Limited Method for planarizing an insulating layer
JPH03177022A (ja) * 1989-12-06 1991-08-01 Oki Electric Ind Co Ltd 半導体装置の製造方法
US5128279A (en) * 1990-03-05 1992-07-07 Vlsi Technology, Inc. Charge neutralization using silicon-enriched oxide layer
US5602056A (en) * 1990-03-05 1997-02-11 Vlsi Technology, Inc. Method for forming reliable MOS devices using silicon rich plasma oxide film
JPH0417331A (ja) * 1990-05-11 1992-01-22 Sony Corp ドライエッチング方法
US5378318A (en) * 1992-06-05 1995-01-03 Vlsi Technology, Inc. Planarization
US5365104A (en) 1993-03-25 1994-11-15 Paradigm Technology, Inc. Oxynitride fuse protective/passivation film for integrated circuit having resistors
JPH07135252A (ja) * 1993-09-17 1995-05-23 Hitachi Ltd 半導体集積回路装置の製造方法
US5496774A (en) * 1993-12-01 1996-03-05 Vlsi Technology, Inc. Method improving integrated circuit planarization during etchback
US5494854A (en) * 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
JPH0955376A (ja) * 1995-08-15 1997-02-25 Sony Corp プラズマcvd方法
US5728631A (en) * 1995-09-29 1998-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a low capacitance dielectric layer
US5679606A (en) * 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
US5814564A (en) * 1997-05-15 1998-09-29 Vanguard International Semiconductor Corporation Etch back method to planarize an interlayer having a critical HDP-CVD deposition process

Also Published As

Publication number Publication date
AU3086999A (en) 1999-11-29
EP1088339A4 (en) 2005-04-20
KR100583607B1 (ko) 2006-05-26
JP2002515647A (ja) 2002-05-28
US6593241B1 (en) 2003-07-15
WO1999059194A1 (en) 1999-11-18
EP1088339A1 (en) 2001-04-04
KR20010081956A (ko) 2001-08-29

Similar Documents

Publication Publication Date Title
JP4540847B2 (ja) 高密度プラズマシステムを用いた半導体デバイスの平坦化方法
KR100403630B1 (ko) 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
JP3092185B2 (ja) 半導体装置の製造方法
USRE38914E1 (en) Dual damascene patterned conductor layer formation method without etch stop layer
EP0545263B1 (en) Method of forming trench isolation having polishing step and method of manufacturing semiconductor device
US6417109B1 (en) Chemical-mechanical etch (CME) method for patterned etching of a substrate surface
JP3174049B2 (ja) 半導体集積回路表面の全体的平面化方法
KR100555539B1 (ko) 고밀도 플라즈마 화학기상증착 공정에 의한 갭 충전방법및 그 충전방법을 포함하는 집적 회로 소자의 제조방법
KR100221347B1 (ko) 층간 절연막의 평탄화를 위한 화학적-기계적 연마법에 의한 반도체 장치 제조방법
US6114253A (en) Via patterning for poly(arylene ether) used as an inter-metal dielectric
JP3362397B2 (ja) ポリッシュによる平坦化工程を含む電子装置の製造方法
US6503848B1 (en) Method of forming a smooth polysilicon surface using a soft etch to enlarge the photo lithography window
JPH11150116A (ja) 半導体装置のコンタクトプラグ形成方法
JPH03295239A (ja) 半導体装置の製造方法
JP3636887B2 (ja) 半導体基板の平坦化方法
JPH1140669A (ja) 多層配線構造とその製造方法
JPH0969495A (ja) 半導体装置の製造方法
KR100874429B1 (ko) 반도체소자 제조시의 갭 매립방법
KR100252692B1 (ko) 폴리쉬공정을 구비한 트렌치아이솔레이션의 형성방법 및 반도체장치의 제조방법
US5920791A (en) Method of manufacturing intermetal dielectrics for sub-half-micron semiconductor devices
JPH0265256A (ja) 半導体装置の製造方法
JPH07297193A (ja) 集積回路平坦化方法
JPH0758104A (ja) 半導体装置の製造方法
KR0124783B1 (ko) 반도체 장치의 제조방법
US6960496B2 (en) Method of damascene process flow

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060307

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081211

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090106

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090323

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090323

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100223

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100521

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100615

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100623

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130702

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130702

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees