JPH07135252A - 半導体集積回路装置の製造方法 - Google Patents

半導体集積回路装置の製造方法

Info

Publication number
JPH07135252A
JPH07135252A JP6126235A JP12623594A JPH07135252A JP H07135252 A JPH07135252 A JP H07135252A JP 6126235 A JP6126235 A JP 6126235A JP 12623594 A JP12623594 A JP 12623594A JP H07135252 A JPH07135252 A JP H07135252A
Authority
JP
Japan
Prior art keywords
wiring
film
integrated circuit
semiconductor integrated
circuit device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP6126235A
Other languages
English (en)
Inventor
Tadashi Terasaki
正 寺崎
Hide Yamaguchi
日出 山口
Hiroki Nezu
広樹 根津
Nobuo Owada
伸郎 大和田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP6126235A priority Critical patent/JPH07135252A/ja
Priority to KR1019940022170A priority patent/KR950009951A/ko
Publication of JPH07135252A publication Critical patent/JPH07135252A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers

Abstract

(57)【要約】 【目的】 下層配線の段差に依らない平坦な層間絶縁膜
を形成する技術を提供する。 【構成】 CVD法による成膜とスパッタエッチングと
を同時に進行させながら配線17上に酸化シリコン膜2
0aを堆積し、配線17の段差に起因して酸化シリコン
膜20aの表面に形成される突起形状の裾部分の寸法
(l') を配線の線幅(l)よりも小さくする。その後、
酸化シリコン膜20a上にスピンオングラス膜を被着
し、次いでスピンオングラス膜の少なくとも一部をエッ
チバックすることにより平坦な層間絶縁膜を得る。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、半導体集積回路装置の
製造技術に関し、特に、配線上に堆積される層間絶縁膜
の平坦化に適用して有効な技術に関するものである。
【0002】
【従来の技術】LSIの高集積化、高速化、高機能化に
伴い、半導体素子上の配線段差が増大の一途を辿ってい
ることから、下層の配線と上層の配線とを絶縁する層間
絶縁膜の平坦化技術がLSIの製造歩留り、信頼性を確
保する上で必須の技術となっている。
【0003】層間絶縁膜の平坦化技術には種々のものが
開発されているが、通常、一層の酸化シリコン膜のみで
は充分な平坦化は期待できないことから、スピンオング
ラス(Spin On Glass) 膜のような回転塗布膜を積層した
り、エッチバックを行ったりするなど、他の技術を併用
して平坦化を図っているのが現状である。
【0004】特開平3−72693号公報には、プラズ
マCVD法で酸化シリコン膜を堆積した後、その上に中
間層のスピンオングラス(Spin On Glass) 膜を回転塗布
し、これを熱処理によって緻密化した後、エッチバック
によりその表面を平坦化し、さらにこのスピンオングラ
ス膜の上に第2の酸化シリコン膜をプラズマCVD法で
堆積する技術が記載されている。
【0005】特開平4−192522号公報には、配線
層の上に酸化シリコン膜と有機膜(ポリスチレンやフォ
トレジストなど)とを順次堆積した後、有機膜をエッチ
バックで除去して平坦な層間絶縁膜を形成する技術が記
載されている。
【0006】また、上記公報には、配線が太く、かつ長
いような場合でも上記有機膜のエッチバックを良好に行
えるようにするため、配線層の上にバイアスECR(電
子サイクロトロン共鳴)を利用したプラズマCVD法で
酸化シリコン膜を堆積し、配線の側壁に対応する酸化シ
リコン膜の側壁に緩やかな傾斜角を設けることによっ
て、有機膜の表面を平滑化する技術が記載されている。
【0007】
【発明が解決しようとする課題】しかしながら、酸化シ
リコン膜とスピンオングラス膜などの回転塗布膜とを組
み合わせる従来の平坦化技術は、配線のスペースが10
μm以下と微細になってくると、配線のスペース領域で
酸化シリコン膜同士のブリッジが発生し、このスペース
領域での酸化シリコン膜の膜質やスピンオングラス膜の
埋込み特性が劣化するという問題が生じる。
【0008】また、一般に単位面積あたりに含まれる回
転塗布膜の総量は、下地のパターン形状に依らずに一定
であるという法則が成り立つため、微細な配線が密集し
た領域と配線が疎な領域とが存在すると、これらの領域
間で回転塗布膜の膜厚に差が生じ、配線段差の解消が困
難となる。
【0009】層間絶縁膜の平坦性が局所的に低下する
と、配線段差上に引き回された上層配線の配線長が長く
なり、信号伝達速度が低下する。また、配線の応力集中
が起こり易くなり、ストレスマイグレーション(SM
D)耐性やエレクトロマイグレーション(EMD)耐性
が低下する。
【0010】また、配線段差が解消できないと、高段差
部に開孔される接続孔のアスペクト比が大きくなり、接
続孔の内部で断線が生じ易くなる。逆に段差の低い領域
では、下層配線と上層配線との距離が短くなり過ぎるた
め、配線間容量が増大して信号伝達速度の低下を招く危
険性がある。
【0011】本発明の目的は、下層配線の段差に依らな
い平坦な層間絶縁膜を形成することのできる技術を提供
することにある。
【0012】本発明の前記ならびにその他の目的と新規
な特徴は、本明細書の記述および添付図面から明らかに
なるであろう。
【0013】
【課題を解決するための手段】本願において開示される
発明のうち、代表的なものの概要を簡単に説明すれば、
次のとおりである。
【0014】(1) 本発明の半導体集積回路装置の製造方
法は、半導体集積回路の下層配線と上層配線とを絶縁す
る層間絶縁膜を堆積するに際し、次の工程(a),(b) を含
むものである。
【0015】(a) 下層配線を形成した半導体基板上に、
CVD法による成膜とスパッタエッチングとを同時に進
行させながら酸化シリコン膜を形成する工程、(b) 前記
酸化シリコン膜の上に塗布膜を形成する工程。
【0016】(2) 本発明の半導体集積回路装置の製造方
法は、前記酸化シリコン膜をECR−CVD法、ヘリカ
ル−CVD法またはヘリコン−CVD法のいずれかによ
って形成するものである。
【0017】(3) 本発明の半導体集積回路装置の製造方
法は、前記塗布膜をスピンオングラス膜またはレジスト
で構成するものである。
【0018】(4) 本発明の半導体集積回路装置の製造方
法は、前記工程(b) の後、前記塗布膜をエッチバックす
るものである。
【0019】(5) 本発明の半導体集積回路装置の製造方
法は、前記塗布膜をエッチバックした後、第2の酸化シ
リコン膜をプラズマ−CVD法で形成するものである。
【0020】(6) 本発明の半導体集積回路装置の製造方
法は、前記酸化シリコン膜を前記下層配線の膜厚よりも
厚く形成するものである。
【0021】(7) 本発明の半導体集積回路装置の製造方
法は、半導体集積回路の下層配線と上層配線とを絶縁す
る層間絶縁膜を堆積するに際し、次の工程(a),(b) を含
むものである。
【0022】(a) 下層配線を形成した半導体基板上に、
CVD法による成膜とスパッタエッチングとを同時に進
行させながら酸化シリコン膜を形成する工程、(b) 前記
酸化シリコン膜の表面をCMP法で研磨する工程。
【0023】(8) 本発明の半導体集積回路装置の製造方
法は、半導体基板上の下層配線と上層配線とを絶縁する
層間絶縁膜を堆積する際、まず、CVD法による成膜と
スパッタエッチングとを同時に進行させながら下層配線
上に酸化シリコン膜を堆積することによって、前記下層
配線の段差に起因して前記酸化シリコン膜の表面に形成
される突起形状の裾部分の寸法が前記下層配線の線幅よ
りも小さくなるようにし、続いて、前記酸化シリコン膜
上にスピンオングラス膜を被着した後、前記スピンオン
グラス膜の少なくとも一部をエッチバックするものであ
る。
【0024】(9) 本発明の半導体集積回路装置の製造方
法は、前記酸化シリコン膜の成膜をECR−CVD法、
ヘリカル−CVD法またはヘリコン−CVD法によって
行うものである。
【0025】(10)本発明の半導体集積回路装置の製造方
法は、前記下層配線の段差を反映していない領域のスピ
ンオングラス膜をエッチバックによって完全に除去する
ものである。
【0026】(11)本発明の半導体集積回路装置の製造方
法は、前記下層配線が配置された配線層の低段差部にス
ピンオングラス膜を残すようにするものである。
【0027】(12)本発明の半導体集積回路装置の製造方
法は、前記スピンオングラス膜をエッチバックした後、
第2の酸化シリコン膜を堆積するものである。
【0028】(13)本発明の半導体集積回路装置の製造方
法は、前記下層配線が配置された配線層には、前記下層
配線が密に配置された領域と疎に配置された領域とが存
在しているものである。
【0029】(14)本発明の半導体集積回路装置の製造方
法は、前記下層配線の配線幅が10μm 以下とされてい
るものである。
【0030】(15)本発明の半導体集積回路装置の製造方
法は、前記下層配線の配線幅が5μm以下とされている
ものである。
【0031】(16)本発明の半導体集積回路装置の製造方
法は、前記半導体基板上に3層以上の配線層が設けられ
ているものである。
【0032】(17)本発明の半導体集積回路装置の製造方
法は、前記半導体基板上に5層以上の配線層が設けられ
ているものである。
【0033】(18)本発明の半導体集積回路装置の製造方
法は、前記下層配線の配線スペースが、その配線膜厚の
1.5倍以下とされているものである。
【0034】(19)本発明の半導体集積回路装置の製造方
法は、前記下層配線の配線スペースが、その配線膜厚の
1.2倍以下とされているものである。
【0035】(20)本発明の半導体集積回路装置の製造方
法は、前記半導体基板上にはメモリ機能部と論理機能部
とが形成され、下層配線は、前記メモリ機能部のメモリ
セルを構成する半導体素子間の結線に用いられるもので
ある。
【0036】(21)本発明の半導体集積回路装置の製造方
法は、前記下層配線が論理機能用の信号配線とされてい
るものである。
【0037】(22)本発明の半導体集積回路装置の製造方
法は、前記(21)の製造方法において、論理機能用の信号
配線が自動配置配線システムによって配置されるもので
ある。
【0038】(23)本発明の半導体集積回路装置の製造方
法は、前記(21)の製造方法において、論理機能用の信号
配線が配置される配線層には、前記信号配線が密に配置
された領域と疎に配置された領域とが存在しているもの
である。
【0039】(24)本発明の半導体集積回路装置の製造方
法は、前記(21)の製造方法において、論理機能用の信号
配線の配置を組み替えることによって、複数種の異なる
論理機能を持たせるようにしたものである。
【0040】
【作用】上記した手段によれば、成膜とスパッタエッチ
ングとを同時に進行させながら酸化シリコン膜を形成す
ることにより、下層配線の段差に起因して下層配線上の
酸化シリコン膜に生じる成膜のカドが45度に削られる
ので、下層配線の配線スペース領域の成膜速度がこのス
ペースの大小によらず一定になる。その後、この酸化シ
リコン膜の上に流動性を有するスピンオングラス膜を形
成し、下層配線上の酸化シリコン膜に生じた突起を平坦
化することにより、下層配線の段差に依らない平坦な層
間絶縁膜を形成することができる。
【0041】また、上記した手段によれば、酸化シリコ
ン膜の表面に形成される突起形状の裾部分の寸法を下層
配線の線幅よりも小さくすることにより、下層配線の配
線スペースが微細な場合でも、このスペース領域へのス
ピンオングラス膜の流入量を充分に確保することが可能
となり、これにより、配線の密度が高い領域と低い領域
との間のスピンオングラス膜の膜厚差を低減することが
できる。
【0042】
【実施例】以下、本発明の実施例を図面に基づいて詳細
に説明する。
【0043】(実施例1)以下、本発明の一実施例を図
1〜図6を用いて説明する。図1〜図5は、層間絶縁膜
の形成方法を工程順に示す半導体基板の要部断面図、図
6は、本実施例の層間絶縁膜の平坦化効果を示す説明図
である。
【0044】まず、図1に示すように、半導体基板10
1の主面上に素子分離用のフィールド絶縁膜102を形
成し、図示しない活性領域に半導体素子を形成した後、
下層配線103を形成する。下層配線103は、アルミ
ニウム合金あるいはW(タングステン)のような高融点
金属で構成し、例えば0.9〔μm〕程度の膜厚で、1.0
〜5.0〔μm〕程度の配線幅で形成する。
【0045】次に、図2に示すように、下層配線103
の上を含む半導体基板101の全面に酸化シリコン膜1
04を形成する。この酸化シリコン膜104は、CVD
法による成膜とスパッタエッチングとを同時に進行させ
ながら堆積し、例えばECR−CVD法により1.4〔μ
m〕の膜厚で形成する。
【0046】このように、CVD法による成膜とスパッ
タエッチングとを併用して酸化シリコン膜104を形成
することにより、図2に示すように、下層配線103の
段差に起因して下層配線103上の酸化シリコン膜10
4に生じる成膜のカドが45度に削られる。その結果、
下層配線103の配線スペース領域における成膜速度が
このスペースの大小によらず一定になるので、図6に示
すように、プラズマCVD法で形成した酸化シリコン膜
に比べて標高差(Δd)を大幅に低減することができ
る。
【0047】次に、図3に示すように、半導体基板10
1の全面にスピンオングラス膜105を形成する。スピ
ンオングラス膜105は、例えば回転塗布法により2度
の重ね塗布を行い、酸化シリコン膜104の平坦部分に
550〔nm〕程度の膜厚で形成する。
【0048】次に、図4に示すように、スピンオングラ
ス膜105をエッチバックし、下層配線103上の酸化
シリコン膜104に生じた突起を平坦化する。また、こ
のエッチバックにより、層間絶縁膜に上層配線と下層配
線(103)とを接続するための接続孔を開孔する際、
この接続孔の側壁に耐水性の低いスピンオングラス膜
(105)が露出するのを防止する。
【0049】上記エッチバックは、例えば反応性イオン
エッチングにより行う。この反応性イオンエッチング
は、例えばCHF3 とCF4 の混合ガスを使用し、その
混合比を制御することによって酸化シリコン膜104と
スピンオングラス膜105のエッチング選択比を〔スピ
ンオングラス膜/酸化シリコン膜=0.6〜1.0〕とし、
600〔nm〕程度の膜厚のエッチングを行う。
【0050】このように、酸化シリコン膜104の上に
流動性を有するスピンオングラス膜105を形成し、こ
れをエッチバックして下層配線103上の酸化シリコン
膜104に生じた突起を平坦化することにより、下地段
差に依らない平坦な層間絶縁膜を形成することができ
る。
【0051】その後、図5に示すように、半導体基板1
01の全面に第2の酸化シリコン膜106を形成する。
この酸化シリコン膜106は、例えばプラズマCVD法
で形成し、600〔nm〕程度の膜厚で堆積する。この
酸化シリコン膜106の形成により、酸化シリコン膜1
04、スピンオングラス膜105および酸化シリコン膜
106を順次積層した3層構造の層間絶縁膜107が完
成する。
【0052】なお、本実施例は上記した態様に限定され
るものではない。例えば、図7に示すように、酸化シリ
コン膜104上に堆積したスピンオングラス膜105を
エッチバックし、下層配線103上の酸化シリコン膜1
04に生じた突起を平坦化する際、このスピンオングラ
ス膜105が完全に無くなるまでエッチバックしてもよ
い。また、エッチバックしたスピンオングラス膜105
の表面の膜質に問題がある場合は、その後、図8に示す
ように、スピンオングラス膜105の上に第2の酸化シ
リコン膜106を堆積してもよい。
【0053】また、本実施例では、酸化シリコン膜10
4の上に流動性を有するスピンオングラス膜105を形
成し、これをエッチバックして下層配線103上の酸化
シリコン膜104に生じた突起を平坦化したが、例えば
酸化シリコン膜104を比較的厚く堆積した後、CMP
(chemical mechanical polishing) 法を用いて下層配線
103上の酸化シリコン膜104に生じた突起を平坦化
してもよい。
【0054】また、酸化シリコン膜上に形成する塗布膜
は、スピンオングラス膜に限定されるものではなく、例
えばレジストを用いてもよい。
【0055】(実施例2)図9に示すように、ゲートア
レイ方式を採用する本実施例の半導体集積回路装置は、
平面がほぼ正方形状の半導体基板1上に形成されてい
る。この半導体基板1の各辺に沿った素子形成面の周辺
領域には複数個の外部端子(ボンディングパッド)2が
配置されている。
【0056】上記外部端子2よりも内側で、かつそれに
近接した領域には、外部端子2の配列に対応(例えば1
対1対応)してバッファ回路3が配置されている。この
バッファ回路3には、入力バッファ回路セルおよび出力
バッファ回路セルが配置されている。
【0057】入力バッファ回路セルには、例えば入力初
段回路を構成する相補型MISFET、静電気破壊防止
回路を構成する抵抗素子、クランプ用MISFETなど
が配置されている。この入力バッファ回路セルは、その
各半導体素子間を結線することにより、入力バッファ回
路を構成している。また、出力バッファ回路セルには、
例えば最終出力段回路を構成する相補型MISFET、
バイポーラトランジスタなどが配置されている。この出
力バッファ回路セルは、その各半導体素子間を結線する
ことにより、出力バッファ回路を構成している。
【0058】上記バッファ回路3によって周囲を囲まれ
た領域の内側、すなわち素子形成面の中央部分には回路
領域が配置されている。この回路領域には、行方向およ
び列方向に沿ってそれぞれ複数個の基本セル4が配置さ
れている。
【0059】基本セル4は、特に限定はされないが、1
組または複数組の相補型MISFETと抵抗素子とで構
成されている。基本セル4は、1個または複数個の半導
体素子を使用し、各半導体素子間の結線を組み替えるこ
とによって、OR回路、AND回路、NAND回路、E
OR回路などの論理回路や、フリップフロップ回路、半
加算器などの機能回路を構成している。
【0060】本実施例のゲートアレイ方式を採用する半
導体集積回路装置は、あらかじめ設けられた配線チャネ
ル領域を除いた回路領域のほぼ全域に上記基本セル4を
配置する、いわゆる敷き詰め方式で構成されている。
【0061】次に、上記ゲートアレイ方式を採用する半
導体集積回路装置の具体的な構造および製造方法につい
て、図10〜図15を用いて説明する。
【0062】図10に示すように、本実施例の半導体集
積回路装置は、単結晶シリコンからなるp- 型の半導体
基板1の主面に形成されている。半導体基板1の主面の
一部の領域にはn型ウエル領域5が形成され、他の領域
にはp型ウエル6が形成されている。
【0063】前述した基本セル4の一部を構成する相補
型MISFETのnチャネル型MISFETQnは、酸
化シリコン膜からなるフィールド絶縁膜7およびその下
部のp型チャネルストッパ領域8で周囲を囲まれた領域
内のp型ウエル6の主面に形成されている。このnチャ
ネル型MISFETQnは、主としてゲート絶縁膜9、
ゲート電極10、ソース領域およびドレイン領域である
一対のn+ 型半導体領域11,11で構成されている。
ゲート電極10は、例えば多結晶シリコン膜、高融点金
属膜もしくは高融点金属シリサイド膜などの単層膜、あ
るいは多結晶シリコン膜上に高融点金属膜もしくは高融
点金属シリサイド膜を積層した複合膜で構成されてい
る。
【0064】基本セル4の一部を構成する相補型MIS
FETのpチャネル型MISFETQpは、フィールド
絶縁膜7で周囲を囲まれた領域内のn型ウエル領域5の
主面に形成されている。このpチャネル型MISFET
Qpは、主としてゲート絶縁膜9、ゲート電極10、ソ
ース領域およびドレイン領域である一対のp+ 型半導体
領域12,12で構成されている。
【0065】基本セル4を構成する相補型MISFET
などの半導体素子の上層には、特に限定はされないが、
6層の配線層が形成されている。
【0066】半導体基板1の主面側に最も近い第1層目
配線層には配線13が配置されている。配線13は、酸
化シリコン膜からなる絶縁膜14に開孔された接続孔1
5を通じて基本セル4内の半導体素子間を電気的に接続
している。具体的には、配線13は、nチャネル型MI
SFETQnのゲート電極10、n+ 型半導体領域1
1、pチャネル型MISFETQpのゲート電極10、
+ 型半導体領域12のいずれかに接続されている。配
線13は、基本的に基本セル4内の半導体素子間や隣接
する基本セル4間などを結線する、いわゆる基本セル内
配線として構成されている。
【0067】上記第1層目配線層の配線13は、CVD
法で堆積されたW(タングステン)膜で構成されてい
る。WはAl(アルミニウム)に比べて抵抗値が2〜3
倍程度大きいが、配線13は基本セル内配線として構成
され、長距離を引き回すことはないので、配線抵抗を大
幅に低減する必要はない。他方、Wはエレクトロマイグ
レーション耐性およびストレスマイグレーション耐性が
大きく、許容電流密度はAlの少なくとも3倍以上であ
る。また、Wは高融点金属であるため、半導体素子、例
えばソース領域やドレイン領域のシリコンとの接触領域
において熱安定性が高く、アロイスパイク現象を発生し
難い。特に、CVD法で堆積されるW膜は、スパッタ法
で堆積されるそれに比べてステップカバレージが良好な
ため、微細な開孔寸法の接続孔15内への埋込みを確実
に行うことができる。
【0068】なお、配線13はW膜に限らず、Mo膜な
どの高融点金属膜、WSiX 膜、MoSiX 膜などの高
融点金属シリサイド膜、多結晶シリコン膜膜上に高融点
金属膜もしくは高融点金属シリサイド膜を堆積した複合
膜などで構成してもよい。配線13は、W膜で構成した
場合、配線幅/配線ピッチ共1.0μm 以下、膜厚0.5μ
m 以下で形成される。
【0069】上記配線13の上層には第1層目の層間絶
縁膜16が形成されている。この層間絶縁膜16は、酸
化シリコン膜16a、スピンオングラス膜16b、酸化
シリコン膜16cを順次堆積した複合膜で構成されてい
る。
【0070】この層間絶縁膜16を形成するには、まず
周知のプラズマCVD法で膜厚0.7μm 程度の酸化シリ
コン膜16aを堆積した後、その上に回転塗布法で膜厚
0.5μm 程度のスピンオングラス膜16bを堆積する。
このスピンオングラス膜16bは、例えばシリコンに水
酸基(−OH)、メチル基(−CH3)、酸素(−O−)
などを結合させた分子を有機溶媒に溶かしたもので、回
転塗布後にベーク処理を施して固形膜とする。
【0071】次に、上記スピンオングラス膜16bをエ
ッチバックする。このエッチバックは、配線13の上部
のスピンオングラス膜16bが完全に除去されるまで行
い、配線13と配線13のスペース領域のみにスピンオ
ングラス膜16bが残るようにする。その後、プラズマ
CVD法で膜厚0.6μm 程度の酸化シリコン膜16cを
堆積する。
【0072】なお、上記酸化シリコン膜16a,16c
の中間に堆積する回転塗布膜には、上記スピンオングラ
ス膜16bのような有機系の材料に代えて無機系の回転
塗布膜を使用することもできる。あるいは、ベーク処理
の過程でスピンオングラス膜16bの表面層を無機化す
る処理を行ってもよい。これらの場合は、回転塗布膜を
エッチバックすることなく、その上に酸化シリコン膜1
6cを堆積してもよい。
【0073】上記第1層目の層間絶縁膜16の上には、
第2層目配線層の配線17が配置されている。配線17
は層間絶縁膜16に開孔された接続孔18に埋め込んだ
埋込み導電層19を介して第1層目配線層の配線13と
電気的に接続されている。
【0074】配線17は基本的に比較的近い位置に配置
された基本セル4間、もしくは基本セル4で形成される
回路間を結線する、いわゆる基本セル内配線として構成
されており、X方向またはY方向に沿って自動配置され
ている。従って、図示のように、層間絶縁膜16の上に
は、所望の論理機能に対応して配線17の密度が高い領
域と低い領域とが生じている。
【0075】本実施例において、配線17はスパッタ法
で堆積したTiW膜、スパッタ法で堆積したAl−Cu
−Si膜、スパッタ法で堆積したTiW膜を順次積層し
た複合膜で構成されている。上下層のTiW膜は主とし
てバリヤメタル膜として構成されている。また、これら
のTiW膜はエレクトロマイグレーション耐性やストレ
スマイグレーション耐性が大きいことから、Al−Cu
−Si膜が断線した場合の補強用配線として機能してい
る。さらに、上層のTiW膜は、製造プロセスのフォト
リソグラフィ工程(配線のパターニング工程)でのハレ
ーション現象を低減する目的で使用されている。
【0076】Al−Cu−Si膜は、実質的な信号伝達
経路として構成されている。Al−Cu−Si膜は、C
uが例えば0.5〜4%程度添加され、エレクトロマイグ
レーション耐性やストレスマイグレーション耐性が向上
されると共に、シリコン領域との相互拡散を抑制するた
めに、シリコンが例えば0.6〜2%程度添加されてい
る。なお、バリヤメタル膜としては、TiW膜の他、例
えばTiN膜などのアロイスパイクを防止できる膜を使
用してもよい。また、Al−Cu−Si膜に代えてAl
−Si膜を使用してもよい。
【0077】上記配線17は、第1層目配線層の配線1
3に比べて、信号伝達経路の主体となるAl−Cu−S
i膜の許容電流密度が小さいので、配線幅および膜厚を
大きくしてある。配線17は、TiW膜、Al−Cu−
Si膜、TiW膜の複合膜で構成した場合、配線幅/配
線ピッチ共1.0μm 程度、膜厚0.9μm 程度で形成され
る。
【0078】また、配線17は、下層の配線13に比べ
て、配線長方向の単位長さあたりの電流降下が小さくな
る条件で形成される。配線17は、上下層にTiW膜を
重ねた分、Al−Cu−Si膜の断面積が縮小されて抵
抗値などが増加するが、エレクトロマイグレーション耐
性やストレスマイグレーション耐性は向上する。
【0079】配線17と下層の配線13とを接続する埋
込み導電層19は、例えば選択CVD法で堆積されたW
膜で構成されている。埋込み導電層19は、例えば層間
絶縁膜16上の全面にCVD法でW膜を堆積し、このW
膜の全面にエッチバック処理を施して接続孔18内にの
み残存させる方法で形成することもできる。埋込み導電
層19をW膜で構成することにより、下層の配線13を
構成するW膜、および上層の配線17の一部を構成する
TiW膜のそれぞれとの接続信頼性を向上することがで
きる。
【0080】上記配線17の上層には第2層目の層間絶
縁膜20が形成されている。本実施例では、この層間絶
縁膜20を以下の方法で形成する。
【0081】まず、図11に示すように、配線17の上
を含む層間絶縁膜16上の全面に、プラズマCVD法に
よる成膜とスパッタエッチングとを同時に進行させなが
ら、膜厚1.5μm 程度の酸化シリコン膜20aを堆積す
る。
【0082】上記酸化シリコン膜20aの堆積は、例え
ばCVD法による酸化シリコン膜の成膜のためのプラズ
マ源とは別に、ウエハを設置するサセプタ側にもプラズ
マイオンによるスパッタエッチのためのプラズマ源を設
けたプラズマCVD装置を使用して行う。この2種類の
プラズマ源のうち、サセプタ側に印加するのは数百Hz〜
数MHzの高周波である。成膜用のプラズマ源としては、
ECR型、ヘリカル型あるいはヘリカル型が適用可能で
ある。また、成膜用のプロセスガスには、モノシラン
(SiH4)+酸素(O2)+アルゴン(Ar)の混合ガス
を使用し、全ガス量は数十〜数百sccm、全ガス圧は数mT
orr 〜数十mTorr とする。
【0083】通常、プラズマCVD装置による成膜で
は、サセプタ側に印加する高周波パワーとプラズマ成膜
用のパワーとの比率や、全ガス圧/流量比などのプロセ
スパラメータを調整することによって膜の表面形状を制
御することができる。本実施例では、成膜速度を相対的
に低下させ、イオン衝撃によるスパッタエッチング速度
を相対的に増加させることによって、図11に示すよう
に、配線17の段差に起因して形成される酸化シリコン
膜20aの突起形状の裾部分の寸法(l') が配線17の
幅(l)よりも小さくなるように成膜を行う。
【0084】次に、図12に示すように、酸化シリコン
膜20a上の全面にスピンオングラス膜20bを回転塗
布する。このスピンオングラス膜20bは、前記第1層
目の層間絶縁膜16の一部を構成するスピンオングラス
膜16bと同種のものを使用し、その膜厚は0.5μm 程
度とする。
【0085】本実施例では、酸化シリコン膜20aの突
起形状の裾部分の寸法(l') を配線17の幅(l)よりも
小さくしたので、配線17と配線17とのスペースが微
細な場合でも、このスペース領域へのスピンオングラス
膜20bの流入量を充分に確保することができる。これ
により、配線17の密度が高い領域と低い領域との間の
スピンオングラス膜20bの膜厚差を低減することがで
きる。
【0086】次に、図13に示すように、スピンオング
ラス膜20bおよびその下層の酸化シリコン膜20aを
エッチバックして酸化シリコン膜20aの表面を平坦化
する。このエッチバックは、スピンオングラス膜20b
と酸化シリコン膜20aの選択比がほぼ1.0となるよう
なエッチング条件で行う。
【0087】エッチバック量は、配線17とその上層
(第3層目配線層)の配線とを接続する接続孔の形成領
域からスピンオングラス膜20bが完全に除去される程
度に設定する。その際、下地の段差を反映しない平坦な
領域においては、スピンオングラス膜20bを完全に除
去してもよい。また、下地段差が大きい領域に局部的に
スピンオングラス膜20bを残存させて平坦性を確保す
るようにしてもよい。
【0088】次に、図14に示すように、酸化シリコン
膜20a上の全面に、例えばプラズマCVD法などによ
って酸化シリコン膜20cを堆積する。この酸化シリコ
ン膜20cを堆積することにより、層間絶縁膜20の平
坦性をさらに向上させることができる。また、下地段差
が大きい領域に局部的にスピンオングラス膜20bを残
存させた場合には、耐水性の乏しいスピンオングラス膜
20bが酸化シリコン膜20cで覆われるので、層間絶
縁膜20の信頼性を向上させることができる。
【0089】上記層間絶縁膜20の上には、第3層目配
線層の配線21が配置されている。配線21は、層間絶
縁膜20に開孔された接続孔22を通じて第2層目配線
層の配線17と電気的に接続されている。配線21は、
配線17と同様、TiW膜、Al−Cu−Si膜、Ti
W膜の複合膜で構成され、配線幅/配線ピッチおよび膜
厚は配線17と同一である。また、接続孔22内には、
W膜で構成された埋込み導電層23が設けられている。
【0090】上記配線21は、配線17と同様、論理機
能部分の信号配線を構成している。配線21は、配線1
7と直交する方向に沿って自動配置されており、比較的
近い位置に配置された基本セル4間もしくは回路間を結
線している。従って、層間絶縁膜20上には、層間絶縁
膜16上と同様、所望の論理機能に対応して配線21の
密度が高い領域と低い領域とが生じている。
【0091】上記配線21の上層には第3層目の層間絶
縁膜24が形成されている。本実施例では、この層間絶
縁膜24を前記層間絶縁膜20と同様の方法で形成す
る。
【0092】すなわち、配線21の上を含む層間絶縁膜
20上の全面に、プラズマCVD法による成膜とスパッ
タエッチングとを同時に進行させながら酸化シリコン膜
24aを堆積し、配線21の段差に起因して形成される
酸化シリコン膜24aの突起形状の裾部分の寸法を配線
21の幅よりも小さくする。
【0093】次に、酸化シリコン膜24a上の全面にス
ピンオングラス膜を回転塗布する。本実施例では、酸化
シリコン膜24aの突起形状の裾部分の寸法を配線21
の幅よりも小さくするので、配線21と配線21とのス
ペースが微細な場合でも、このスペース領域へのスピン
オングラス膜の流入量を充分に確保することができ、配
線21の密度が高い領域と低い領域との間のスピンオン
グラス膜の膜厚差を低減することができる。
【0094】次に、スピンオングラス膜およびその下層
の酸化シリコン膜24aをエッチバックして酸化シリコ
ン膜24aの表面を平坦化した後、酸化シリコン膜24
a上の全面に、例えばプラズマCVD法などによって酸
化シリコン膜24cを堆積する。
【0095】上記層間絶縁膜24の上には、第4層目配
線層の配線25が配置されている。配線25は、層間絶
縁膜24に開孔された接続孔26を通じて第3層目配線
層の配線21と電気的に接続されている。配線25は、
下層の配線21と直交する方向に沿って自動配置されて
おり、基本的に比較的中距離に位置する基本セル4間も
しくは基本セル4で形成される回路間を結線する基本セ
ル間配線として形成されている。
【0096】上記配線25は、例えばスパッタ法で堆積
したAl−Cu−Si膜の単層で構成されている。この
配線25のAl−Cu−Si膜は、信号伝送経路として
極力抵抗値を低減する目的で、Al−Cu−Si膜の上
下にTiW膜を積層せずに、このTiW膜に相当する
分、配線幅方向の断面積を大きくしてある。配線25
は、例えば配線幅が2.5μm 程度、膜厚が1.0μm 程
度、配線ピッチが4.0μm 程度で形成される。
【0097】また、配線25は、接続孔26の開孔寸法
が下層の接続孔18のそれに比べて大きく形成され、ア
スペクト比が小さくなるので、埋込み導電層を介するこ
となく第3層目配線層の配線21に直接接続されてい
る。なお、配線25と配線21との接続に際しては、配
線21とその下層の配線17との接続と同様、埋込み導
電層を介して行ってもよい。
【0098】図15は、上述した第2〜第4層目配線層
の配線17、21、25のレイアウトを示す平面図であ
る。図には、これらの信号配線の密度が高い領域(A)
と疎な領域(B)とが示してある。上述した本実施例の
層間絶縁膜(20、24)の形成方法によれば、これら
の信号配線の疎密に起因した段差の発生を従来技術と比
較して大幅に低減することができる。
【0099】上記配線25の上層には第4層目の層間絶
縁膜27が形成されている。この層間絶縁膜27は、前
記層間絶縁膜20、24の形成方法と同じ方法で堆積す
ればよい。しかし、CVD法による成膜とスパッタエッ
チングとを同時に進行させる成膜方法は、通常のCVD
法に比較して成膜のスループットが低い。従って、層間
絶縁膜27の下地段差があまり問題にならないような場
合には、従来のプラズマCVD法とスピンオングラス膜
の回転塗布とを併用した平坦化技術を利用してもよい。
【0100】本実施例の場合、上記層間絶縁膜27は、
プラズマCVD法で堆積した膜厚0.8μm 程度の酸化シ
リコン膜27aと、この酸化シリコン膜27aの上に回
転塗布した膜厚0.5μm のスピンオングラス膜27b
と、このスピンオングラス膜27bの上にプラズマCV
D法で堆積した膜厚0.6μm 程度の酸化シリコン膜27
cとで構成されている。スピンオングラス膜27bは有
機系のものでも無機系のものでもよいが、有機系のもの
を使用する場合はエッチバックを行う。
【0101】上記層間絶縁膜27の上には、第5層目配
線層の配線28が配置されている。配線28は、層間絶
縁膜27に開孔された接続孔29を通じて第4層目配線
層の配線25と電気的に接続されている。配線28は、
下層の配線25と実質的に同様に構成され、比較的中距
離に位置する基本セル間もしくは回路間を結線する基本
セル間配線として形成されている。また、この配線28
および下層の配線25のそれぞれの一部は、電源配線と
しても使用されている。
【0102】上記配線28の上層には第5層目の層間絶
縁膜30が形成されている。この層間絶縁膜30は、第
4層目の層間絶縁膜27と同様、プラズマCVD法で堆
積した酸化シリコン膜30aと、この酸化シリコン膜3
0aの上に回転塗布したスピンオングラス膜30bと、
このスピンオングラス膜30bの上にプラズマCVD法
で堆積した酸化シリコン膜30cとで構成されている。
【0103】最上の配線層である第6層目配線層には、
配線31が配置されている。配線31は、層間絶縁膜3
0に開孔された接続孔32を通じて第5層目配線層の配
線28と電気的に接続されている。配線31は、基本的
に、長距離に位置する基本セル4間もしくは基本セル4
で構成される回路間を結線する基本セル間配線として形
成されている。また、配線31は、外部端子(ボンディ
ングパッド)、電源幹線、特に高速回路動作を要求され
るクロック信号配線もしくはクリティカルパス配線とし
ても使用される。
【0104】上記配線31は、スパッタ法で堆積したC
r膜もしくはNi膜、スパッタ法で堆積したCu膜もし
くはCu合金膜のそれぞれを積層した複合膜で構成され
ている。配線31の下層のCr膜もしくはNi膜は、バ
リアメタル膜として使用され、配線31のCu膜もしく
はCu合金膜と第5層目配線層の配線28のAlとの相
互拡散を抑制する目的で使用されている。
【0105】配線31の上層のCu膜もしくはCu合金
膜は、Alに比べて低い抵抗値と高いエレクトロマイグ
レーション耐性とを有しており、信号伝達経路として極
力抵抗値を低減する目的で構成されている。また、Cu
膜もしくはCu合金膜は、熱抵抗値が小さいので放熱特
性も期待できる。配線31は、Cu膜もしくはCu合金
膜を主体に形成されるので、ウェットエッチングでのパ
ターニングが主流となり、微細加工は期待できないが、
上層の配線層になるに従って配線の本数が減少されるの
で、大電流を流せる最も大きな断面積で構成できる。
【0106】配線31は、例えば電源幹線の場合、配線
幅が20μm 程度、膜厚が2.0μm程度で形成される。
なお、配線31は、バリアメタル膜に相当するCr膜も
しくはNi膜を使用しないで、Cu膜もしくはCu合金
膜の単層で形成してもよい。また、配線31は、接続孔
32の開孔寸法が下層の接続孔29のそれに比べて大き
く形成できるので、第5層目配線層の配線28に直接接
続されている。
【0107】上記第6層目配線層の配線上を含む半導体
基板の全面上には、ファイナルパッシベーション膜が堆
積されている。このファイナルパッシベーション膜の外
部端子に相当する配線上にはボンディングパッドが形成
されている。ボンディングパッド上には、ボンディング
ワイヤの一端がボンディングされている。
【0108】以上、本発明者によってなされた発明を実
施例に基づき具体的に説明したが、本発明は前記実施例
に限定されるものではなく、その要旨を逸脱しない範囲
で種々変更可能であることはいうまでもない。
【0109】前記実施例では、ゲートアレイ方式を採用
する半導体集積回路装置に適用した場合について説明し
たが、これに限定されるものではなく、例えばメモリセ
ルを構成する半導体素子間の結線に用いられる配線上に
堆積する層間絶縁膜の平坦化などに適用することもでき
る。
【0110】
【発明の効果】本願によって開示される発明のうち、代
表的なものによって得られる効果を簡単に説明すれば、
以下の通りである。
【0111】(1).本発明によれば、下層配線の段差に依
らない平坦な層間絶縁膜を形成することができるので、
上層配線の配線長が長くなることによる信号伝達速度の
低下を抑制することができる。
【0112】(2).本発明によれば、上層配線の応力集中
を緩和することができ、ストレスマイグレーションやエ
レクトロマイグレーションによる断線不良を防止するこ
とができる。
【0113】(3).本発明によれば、配線が密な領域と粗
な領域との間の標高差を低減することができるので、上
層配線や接続孔の加工が容易になり、配線の接続信頼性
を向上させることができる。
【0114】(4).本発明によれば、下層配線と上層配線
間の距離が均一となり、配線間容量による信号伝達速度
の低下を抑制することができる。
【0115】(5).本発明によれば、配線の多層化を促進
することができるので、高速で高集積な集積回路を実現
することができる。
【図面の簡単な説明】
【図1】本発明の一実施例である半導体集積回路装置の
製造方法を示す半導体基板の要部断面図である。
【図2】本発明の一実施例である半導体集積回路装置の
製造方法を示す半導体基板の要部断面図である。
【図3】本発明の一実施例である半導体集積回路装置の
製造方法を示す半導体基板の要部断面図である。
【図4】本発明の一実施例である半導体集積回路装置の
製造方法を示す半導体基板の要部断面図である。
【図5】本発明の一実施例である半導体集積回路装置の
製造方法を示す半導体基板の要部断面図である。
【図6】層間絶縁膜の平坦化効果を示す説明図である。
【図7】本発明の他の実施例である半導体集積回路装置
の製造方法を示す半導体基板の要部断面図である。
【図8】本発明の他の実施例である半導体集積回路装置
の製造方法を示す半導体基板の要部断面図である。
【図9】本発明の他の実施例である半導体集積回路装置
を示す半導体基板の平面図である。
【図10】本発明の他の実施例である半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図11】本発明の他の実施例である半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図12】本発明の他の実施例である半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図13】本発明の他の実施例である半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図14】本発明の他の実施例である半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図15】本発明の他の実施例における第2〜第4層目
配線層の配線のレイアウトを示す平面図である。
【符号の説明】
1 半導体基板 2 外部端子(ボンディングパッド) 3 バッファ回路 4 基本セル 5 n型ウエル領域 6 p型ウエル領域 7 フィールド絶縁膜 8 p型チャネルストッパ領域 9 ゲート絶縁膜 10 ゲート電極 11 n+ 型半導体領域 12 p+ 型半導体領域 13 配線 14 絶縁膜 15 接続孔 16 層間絶縁膜 16a 酸化シリコン膜 16b スピンオングラス膜 16c 酸化シリコン膜 17 配線 18 接続孔 19 埋込み導電層 20 層間絶縁膜 20a 酸化シリコン膜 20c 酸化シリコン膜 21 配線 22 接続孔 23 埋込み導電層 24 層間絶縁膜 24a 酸化シリコン膜 24c 酸化シリコン膜 25 配線 26 接続孔 27 層間絶縁膜 27a 酸化シリコン膜 27b スピンオングラス膜 27c 酸化シリコン膜 28 配線 29 接続孔 30 層間絶縁膜 30a 酸化シリコン膜 30b スピンオングラス膜 30c 酸化シリコン膜 31 配線 32 接続孔 101 半導体基板 102 フィールド絶縁膜 103 下層配線 104 酸化シリコン膜 105 スピンオングラス膜(塗布膜) 106 酸化シリコン膜 107 層間絶縁膜
───────────────────────────────────────────────────── フロントページの続き (72)発明者 大和田 伸郎 東京都青梅市今井2326番地 株式会社日立 製作所デバイス開発センタ内

Claims (24)

    【特許請求の範囲】
  1. 【請求項1】 半導体集積回路の下層配線と上層配線と
    を絶縁する層間絶縁膜を堆積するに際し、次の工程(a),
    (b) を含むことを特徴とする半導体集積回路装置の製造
    方法。 (a) 下層配線を形成した半導体基板上に、CVD法によ
    る成膜とスパッタエッチングとを同時に進行させながら
    酸化シリコン膜を形成する工程、 (b) 前記酸化シリコン膜の上に塗布膜を形成する工程。
  2. 【請求項2】 前記酸化シリコン膜をECR−CVD
    法、ヘリカル−CVD法またはヘリコン−CVD法のい
    ずれかによって形成することを特徴とする請求項1記載
    の半導体集積回路装置の製造方法。
  3. 【請求項3】 前記塗布膜がスピンオングラス膜または
    レジストであることを特徴とする請求項1記載の半導体
    集積回路装置の製造方法。
  4. 【請求項4】 前記工程(b) の後、前記塗布膜をエッチ
    バックすることを特徴とする請求項1記載の半導体集積
    回路装置の製造方法。
  5. 【請求項5】 前記塗布膜をエッチバックした後、第2
    の酸化シリコン膜をプラズマ−CVD法で形成すること
    を特徴とする請求項4記載の半導体集積回路装置の製造
    方法。
  6. 【請求項6】 前記酸化シリコン膜を前記下層配線の膜
    厚よりも厚く形成することを特徴とする請求項1記載の
    半導体集積回路装置の製造方法。
  7. 【請求項7】 半導体集積回路の下層配線と上層配線と
    を絶縁する層間絶縁膜を堆積するに際し、次の工程(a),
    (b) を含むことを特徴とする半導体集積回路装置の製造
    方法。 (a) 下層配線を形成した半導体基板上に、CVD法によ
    る成膜とスパッタエッチングとを同時に進行させながら
    酸化シリコン膜を形成する工程、 (b) 前記酸化シリコン膜の表面をCMP法で研磨する工
    程。
  8. 【請求項8】 半導体基板上の下層配線と上層配線とを
    絶縁する層間絶縁膜を堆積する際、次の工程(a),(b) を
    含むことを特徴とする半導体集積回路装置の製造方法。 (a) CVD法による成膜とスパッタエッチングとを同時
    に進行させながら下層配線上に酸化シリコン膜を堆積
    し、前記下層配線の段差に起因して前記酸化シリコン膜
    の表面に形成される突起形状の裾部分の寸法を前記下層
    配線の線幅よりも小さくする工程、 (b) 前記酸化シリコン膜上にスピンオングラス膜を被着
    し、次いで前記スピンオングラス膜の少なくとも一部を
    エッチバックする工程。
  9. 【請求項9】 前記酸化シリコン膜の成膜をECR−C
    VD法、ヘリカル−CVD法またはヘリコン−CVD法
    によって行うことを特徴とする請求項8記載の半導体集
    積回路装置の製造方法。
  10. 【請求項10】 前記下層配線の段差を反映していない
    領域の前記スピンオングラス膜を前記エッチバックによ
    って完全に除去することを特徴とする請求項8記載の半
    導体集積回路装置の製造方法。
  11. 【請求項11】 前記下層配線が配置された配線層の低
    段差部に前記スピンオングラス膜を残すことを特徴とす
    る請求項8記載の半導体集積回路装置の製造方法。
  12. 【請求項12】 前記スピンオングラス膜をエッチバッ
    クした後、第2の酸化シリコン膜を堆積することを特徴
    とする請求項8記載の半導体集積回路装置の製造方法。
  13. 【請求項13】 前記下層配線が配置された配線層に
    は、前記下層配線が密に配置された領域と疎に配置され
    た領域とが存在することを特徴とする請求項8記載の半
    導体集積回路装置の製造方法。
  14. 【請求項14】 前記下層配線の配線幅は、10μm 以
    下であることを特徴とする請求項8記載の半導体集積回
    路装置の製造方法。
  15. 【請求項15】 前記下層配線の配線幅は、5μm 以下
    であることを特徴とする請求項8記載の半導体集積回路
    装置の製造方法。
  16. 【請求項16】 前記半導体基板上には、3層以上の配
    線層が設けられることを特徴とする請求項8記載の半導
    体集積回路装置の製造方法。
  17. 【請求項17】 前記半導体基板上には、5層以上の配
    線層が設けられることを特徴とする請求項8記載の半導
    体集積回路装置の製造方法。
  18. 【請求項18】 前記下層配線の配線スペースは、その
    配線膜厚の1.5倍以下であることを特徴とする請求項8
    記載の半導体集積回路装置の製造方法。
  19. 【請求項19】 前記下層配線の配線スペースは、その
    配線膜厚の1.2倍以下であることを特徴とする請求項8
    記載の半導体集積回路装置の製造方法。
  20. 【請求項20】 前記半導体基板上にはメモリ機能部と
    論理機能部とが形成され、前記下層配線は、前記メモリ
    機能部のメモリセルを構成する半導体素子間の結線に用
    いられることを特徴とする請求項8記載の半導体集積回
    路装置の製造方法。
  21. 【請求項21】 前記下層配線は、論理機能用の信号配
    線であることを特徴とする請求項8記載の半導体集積回
    路装置の製造方法。
  22. 【請求項22】 前記論理機能用の信号配線は、自動配
    置配線システムによって配置されることを特徴とする請
    求項21記載の半導体集積回路装置の製造方法。
  23. 【請求項23】 前記論理機能用の信号配線が配置され
    る配線層には、前記信号配線が密に配置された領域と疎
    に配置された領域とが存在することを特徴とする請求項
    21記載の半導体集積回路装置の製造方法。
  24. 【請求項24】 前記論理機能用の信号配線の配置を組
    み替えることによって、複数種の異なる論理機能を持た
    せることを特徴とする請求項21記載の半導体集積回路
    装置の製造方法。
JP6126235A 1993-09-17 1994-06-08 半導体集積回路装置の製造方法 Pending JPH07135252A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP6126235A JPH07135252A (ja) 1993-09-17 1994-06-08 半導体集積回路装置の製造方法
KR1019940022170A KR950009951A (ko) 1993-09-17 1994-09-03 반도체 집적회로장치의 제조방법

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP5-230670 1993-09-17
JP23067093 1993-09-17
JP6126235A JPH07135252A (ja) 1993-09-17 1994-06-08 半導体集積回路装置の製造方法

Publications (1)

Publication Number Publication Date
JPH07135252A true JPH07135252A (ja) 1995-05-23

Family

ID=26462453

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6126235A Pending JPH07135252A (ja) 1993-09-17 1994-06-08 半導体集積回路装置の製造方法

Country Status (2)

Country Link
JP (1) JPH07135252A (ja)
KR (1) KR950009951A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10173043A (ja) * 1996-12-05 1998-06-26 Samsung Electron Co Ltd 半導体素子のコンタクトプラグ形成方法
JP2002515647A (ja) * 1998-05-11 2002-05-28 アプライド マテリアルズ インコーポレイテッド 高密度プラズマシステムを用いた半導体デバイスの平坦化方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100650711B1 (ko) * 2000-06-29 2006-11-27 주식회사 하이닉스반도체 화학적기계연마에서 발생하는 절연막의 마이크로 스크래치제거방법 및 이를 이용한 소자분리막 형성방법
KR100971906B1 (ko) * 2008-03-27 2010-07-22 임동수 편심 원추형 모서리 면취 보링 바

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10173043A (ja) * 1996-12-05 1998-06-26 Samsung Electron Co Ltd 半導体素子のコンタクトプラグ形成方法
USRE41842E1 (en) 1996-12-05 2010-10-19 Samsung Electronics Co., Ltd. Methods of forming electrical interconnects on integrated circuit substrates using selective slurries
JP2002515647A (ja) * 1998-05-11 2002-05-28 アプライド マテリアルズ インコーポレイテッド 高密度プラズマシステムを用いた半導体デバイスの平坦化方法

Also Published As

Publication number Publication date
KR950009951A (ko) 1995-04-26

Similar Documents

Publication Publication Date Title
JP4801296B2 (ja) 半導体装置及びその製造方法
US6653717B2 (en) Enhancement in throughput and planarity during CMP using a dielectric stack containing an HDP oxide
US6140238A (en) Self-aligned copper interconnect structure and method of manufacturing same
US20140273453A1 (en) Semiconductor device and method for manufacturing semiconductor device
JP2003152077A (ja) 半導体装置および半導体装置の製造方法
US6121146A (en) Method for forming contact plugs of a semiconductor device
KR20020034351A (ko) 반도체 소자의 금속 배선 및 그 제조방법
US6828222B2 (en) Method for manufacturing multilayer wiring structure semiconductor device
KR20040015789A (ko) 이온 주입에 의한 측벽 밀도의 국부적 증가
JPH08306774A (ja) 半導体装置及びその製造方法
US6313037B1 (en) Semiconductor device and method for manufacturing the same
JP2001135723A (ja) 半導体装置及びその製造方法
JP2005197602A (ja) 半導体装置およびその製造方法
JP3525788B2 (ja) 半導体装置の製造方法
KR100270955B1 (ko) 반도체 소자 및 그 제조방법
US6200890B1 (en) Method of fabricating copper damascene
JP4031148B2 (ja) コンタクトプラグを含む集積回路の形成方法
JPH07135252A (ja) 半導体集積回路装置の製造方法
US6995085B2 (en) Underlayer protection for the dual damascene etching
KR100590205B1 (ko) 반도체 장치의 배선 구조체 및 그 형성 방법
US6472697B2 (en) Assorted aluminum wiring design to enhance chip-level performance for deep sub-micron application
JPH08204002A (ja) 半導体集積回路装置の製造方法
KR0165379B1 (ko) 반도체 장치의 층간접속방법
KR100398584B1 (ko) 반도체 소자의 제조 방법
JPH10321623A (ja) 半導体装置及びその製造方法