JP4520787B2 - 半波長以下リソグラフィ模様付けの改良型散乱バーopc適用方法 - Google Patents

半波長以下リソグラフィ模様付けの改良型散乱バーopc適用方法 Download PDF

Info

Publication number
JP4520787B2
JP4520787B2 JP2004220959A JP2004220959A JP4520787B2 JP 4520787 B2 JP4520787 B2 JP 4520787B2 JP 2004220959 A JP2004220959 A JP 2004220959A JP 2004220959 A JP2004220959 A JP 2004220959A JP 4520787 B2 JP4520787 B2 JP 4520787B2
Authority
JP
Japan
Prior art keywords
auxiliary
assist
mask
forms
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004220959A
Other languages
English (en)
Other versions
JP2005031690A (ja
Inventor
ライディグ トマス
イー. ワムプラー カート
ファン デン ブルーク ダグラス
フン チェン ジャン
Original Assignee
エーエスエムエル マスクツールズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル マスクツールズ ビー.ブイ. filed Critical エーエスエムエル マスクツールズ ビー.ブイ.
Publication of JP2005031690A publication Critical patent/JP2005031690A/ja
Application granted granted Critical
Publication of JP4520787B2 publication Critical patent/JP4520787B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

本発明は、2003年6月30日出願の「A Method For Compensating For Scattering Bar Loss」と題した米国暫定特許出願第60/483,105号、および2003年9月5日に出願の「Improved Scattering Bar OPC Application Method For Sub-Half Wavelength Lithography Pattering」と題した米国暫定特許出願第60/500,272号に対する優先権を主張する。
本発明は、リソグラフィに、特に改良型の散乱バー/補助形態の設計を使用することによる光学的近位補正(OPC)の改良、さらにマスク設計に散乱バーを組み込む新しい方法に関する。
リソグラフィ投影装置は例えば、集積回路(IC)の製造において使用可能である。この場合、模様付け手段はICの個々の層に対応する回路模様を含むことができ、この模様を、放射線感光原料(レジスト)の層が塗布された基板(シリコンウェハ)上の目標部分(例えば1つあるいはそれ以上のダイから成る)に描像することができる。一般的に、シングルウェハは、投影システムを介して1つずつ順次照射される近接目標部分の全体ネットワークを含んでいる。1つのタイプのリソグラフィ投影装置では、全体マスク模様を目標部分に1回の作動にて露光することによって各目標部分が照射される。こうした装置は一般的にウェハステッパと称されている。一般に走査ステップ式装置と称される別の装置では、所定の基準方向(「走査」方向)にマスク模様を投影ビームで徐々に走査し、これと同時に基板テーブルをこの方向と平行に、あるいは反平行に走査することにより、各目標部分が照射される。一般的に、投影システムは倍率係数M(一般的に、<1)を有することから、基板テーブルが走査される速度Vは、マスクテーブルが走査される速度の係数M倍となる。ここに記載を行ったリソグラフィデバイスに関するさらなる情報は、例えば、米国特許第US6,046,792号から得ることができ、これは参照により本明細書に組み込まれる。
リソグラフィ投影装置を使用する製造プロセスにおいて、マスク模様は少なくとも部分的に放射線感光材(レジスト)の層で覆われた基板上に描像される。この描像ステップに先立ち、基板は、プライミング、レジスト塗布、およびソフトベークといったような各種のプロセスを経る。露光後、基板は、露光後ベーク(PEB)、現像、ハードベーク、および描像形態の測定/検査といったような他の工程を通る。このプロセスの配列は、例えばICといったような素子の個々の層を模様付けするための基準として使用される。このような模様付けされた層は、それから、全て個々の層を仕上げる目的である、エッチング、イオン注入(ドーピング)、メタライゼーション、酸化、化学機械的研磨等といった種々のプロセスを経る。これらは全て個々の層を仕上げるためのものである。数枚の層が必要とされる場合には、全体プロセス、もしくはその変形をそれぞれの新しい層に繰り返す必要がある。最終的に、素子のアレイが基板(ウェハ)上に形成される。次に、これらの素子はダイシングやソーイングといったような技法で相互より分離される。それから個々の素子は、キャリアに装着されたり、ピンに接続されたりし得る。こうしたプロセスに関するさらなる情報は、1997年にマグローヒル出版会社より刊行された、Peter van Zant著、「マイクロチップ製造:半導体処理に対する実用ガイド」という名称の書籍(“Microchip Fabrication:A Pratical Guide to Semiconductor Processing”)の第3版、ISBN0−07−067250−4に記載され、これは参照により本明細書に組み込まれる。
簡潔化の目的で、これより投影システムを「レンズ」と称するものとする。しかし、この用語は、例えば屈折光学システム、反射光学システム、および反射屈折光学システムを含むさまざまなタイプの投影システムを網羅するものとして広義に解釈されるべきである。放射線システムはまた、放射線の投影ビームの誘導、成形、あるいは制御を行う、こうした設計タイプのいずれかに応じて稼動する構成要素も備えることが出来る。こうした構成要素もまた以降において集約的に、あるいは単独的に「レンズ」と称する。さらに、リソグラフィ装置は2つあるいはそれ以上の基板テーブル(および、あるいは2つもしくはそれ以上のマスクテーブル)を有するタイプのものである。このような「多段」デバイスにおいては、追加のテーブルが並列して使用される。もしくは、1つ以上の他のテーブルが露光に使用されている間に予備工程が1つ以上のテーブルにて実行される。デュアルステージリソグラフィ装置については、例えば米国特許第US5,969,441号および国際特許出願第WO98/40791号において記載がなされており、これは両方とも参照により本明細書に組み込まれる。
上述したリソグラフィのマスクは、シリコンウェハ上に集積される回路構成要素に対応する幾何学的模様を備える。このようなマスクの生成に使用する模様は、CAD(コンピュータ援用設計)プログラムを使用して精製され、このプロセスはEDA(電子設計自動化)と呼ぶことが多い。大部分のCADプログラムは、機能的マスクを生成するために所定の設計規則のセットに従う。この規則は、処理および設計の制限によって設定される。例えば、設計規則は、回路デバイスまたは線が望ましくない方法で相互作用しないことを保証するよう、回路デバイス(ゲート、コンデンサなど)間または相互接続線間の空間公差を画定する。設計規則の制限は、通常、「限界寸法」(CD)と呼ばれる。回路の限界寸法は、線の最小幅または2本の線間の最小間隔と定義することができる。したがって、CDは、設計される回路の全体サイズおよび密度を決定する。
言うまでもなく、集積回路製造の目的の一つは、(マスクを介して)ウェハ上の元の回路設計を忠実に再生することである。現在、様々な光学的近位補正(OPC)技術を使用して、その結果生じる像が、所望の目標模様により正確に対応できるようにする。広く知られている一般的なOPC技術は、解像度以下の散乱バー(補助形態とも呼ばれる)を使用する。例えば米国特許第5,821,014号で記載されたように、解像度以下の補助形態、つまり散乱バーは、光学的近位効果を補正する手段として使用され、全体的プロセスウィンドウ(つまり、形態が孤立しているか、隣接形態に対して密集しているかに関係なく、何らかの特定のCDを揺する形態を一貫して印刷することができる焦点および露光量の変動範囲)を増加させるのに効果的であることが判明している。第’014号特許に記載されているように、概して、光学的近位補正は、散乱バーを形態の近傍に配置することにより、密度が低い形態から孤立した形態までの焦点深度を改良することにより実行される。散乱バーは、(孤立した形態または密度が低い形態の)有効模様密度を変化させる機能を果たし、それによって孤立した形態または密度が低い形態の印刷に関連する望ましくない近位効果を打ち消す。しかし、散乱バー自体はウェハ上に印刷されないことが重要である。したがって、散乱バーのサイズを、描像システムの解像能力未満に維持する必要がある。
散乱バーが広範に使用されているにもかかわらず、露光波長の半分以下の模様付け形態寸法で使用する場合、現在の散乱バー技術には基本的に3つの問題が残っている。第一の問題は、主要設計形態の不十分な保護に関し、これは焦点範囲を著しく制限する。第二の問題は、典型的な散乱バーの解決法では、生成される短い散乱バー部片が多すぎることに関し、その結果、マスク作成能力に過度の要求が生じることである。第三の問題は、隣接する水平および垂直散乱バーを相互に接合することに、十分な解決法がないことである。現在の方法では、水平および垂直散乱バーを相互から引き離す必要がある。
図1aから図1cは、上述した第一の問題を図示する。図1aは、印刷すべき形態12と、OPCを実行する散乱バー13との両方を有する例示的レイアウトを示す。図1bおよび図1cは、その結果としての「最良焦点」および0.1umの焦点外れにおける印刷性能を示す。図1aのマスクの円で囲んだ部分に対応する円で囲んだ模様の部分を有する図1cで示すように、自身に隣接して垂直に配置された散乱バーがない形態12の区域14は「ピンチング」(つまり印刷される線の幅の望ましくない減少)を呈する。
図2は、上述した第二の問題を示す。特に、図2は、散乱バー用途のために現在知られている技術を使用する散乱バーを含むよう改造されたマスク(本明細書ではマスクレイアウトとも呼ぶ)を示す。マスクは、印刷される形態12と散乱バー13との両方を含む。図2で示すように、現在の技術の結果、マスクレイアウト中に余分な数の散乱バー15の短い部片がある。しかし、マスク作成プロセスの限界のため、このような散乱バーの短い部片の多くを除去しなければならず、それによって印刷性能に望ましくない低下を生じる。
図2は、上述した第三の問題も示す。図示のように、相互に近く配置された垂直および水平散乱バーで、相互に接続されるものはない。これは、マスク設計中に散乱バーを配置する現在の技術では、散乱バーの交差部分の描像を防止するよう、例えば印刷される形態の隅に隣接する垂直および水平散乱バーを、相互から引き離す必要がある。しかし、上述したように、マスクから散乱バー部分を除去すると、印刷性能に望ましくない低下を生じる。
したがって、マスクに解像度以下の散乱バー(補助形態とも呼ぶ)を提供し、OPCおよび印刷性能を改善できるよう、前述の問題を克服する方法に対する要求が存在する。
以下の説明は、散乱バーをマスクレイアウトに適用する新規の方法を検討する。
上述した要求を解決しようと務めて、散乱バーを含むようマスクを改造する方法および技術を提供することが本発明の目的であり、これはレイアウト設計に含まれる個々の散乱バーの量を減少させる一方、散乱バーが占有する全体的面積を増加させる。本発明の方法では、新規の「面取り」散乱バーを使用することができ、これで隣接する垂直および水平散乱バーを接続することができ、これによってマスクに含まれる隅形態の印刷性能を改善し、さらに孤立した形態を完全に保護する(つまり散乱バーにより完全に囲む)。
特に、本発明は、光学的近位補正形態を含むようマスクを改造する方法に関し、これは、描像すべき形態の目標模様を獲得するステップと、描像すべき形態の幅を拡張するステップと、描像すべき形態の縁に隣接して配置された補助形態を含むよう、マスクを改造するステップとを含み、補助形態は、描像すべき形態の拡張幅に対応する長さを有し、さらに、描像すべき形態を拡張幅から目標模様に対応する幅に戻すステップを含む。これにより改造されたマスクレイアウトは、補助形態と、目標模様に対応する幅を有する描像すべき形態とを備える。
本発明は、描像すべき形態と光学的近位補正形態とを備えるマスクを形成する方法にも関する。方法は、垂直方向に延在する第一補助形態を形成するステップと、水平方向に延在する第二補助形態を形成するステップと、第一補助形態を第二補助形態に接続する面取り補助形態を形成するステップとを含み、面取り補助形態は、第一補助形態および第二補助形態の両方に対してある角度で配置される。
本発明は、先行技術の技術に対して多くの利点を提供する。一つの利点は、本発明の技術が、マスク設計に含まれる個々の散乱バーの量を減少させ、散乱バーが占有する全体的面積を増加させることである。また、本発明の方法は、散乱バーを線端に配置し、これは先行技術を使用して除去される。その結果、マスク作成プロセスが単純化する一方、同時に印刷性能が改善される。方法では、新規の「面取り」散乱バーを使用することもでき、これは隣接する垂直および水平散乱バーを接続することができ、それによってレイアウトに含まれる隅形態の印刷性能を改善しながら、孤立した形態を完全に保護する(つまり散乱バーで完全に囲む)。このように完全に囲むことで、このような設計形態の全部分が、散乱バーによって孤立した形態に提供されることが知られている焦点深度の改善を保証する。
以上および他の本発明の特徴、態様および利点は、本発明の以下の詳細な説明を添付図面と組み合わせて考慮することにより、さらに明白になる。
本発明の光学的近位補正技術によると、散乱バーを含むようマスクレイアウトを改造する方法および技術が提供され、これはマスク設計に含まれる散乱バーの量を増加させる一方、散乱バーの個々の部片数を最少にする。方法では、隣接する垂直および水平散乱バーを接続することができる新規の「面取り」散乱バーの使用も可能にし、これによってレイアウトに含まれる隅形態の印刷性能を改善し、さらに孤立した形態を完全に保護する(つまり散乱バーを完全に囲む)。
図3は、本発明の方法を使用して散乱バーを含むよう改造された例示的マスクレイアウトを示し、これは「散乱バー拡張方法」と呼ばれる。図3を参照すると、マスクレイアウトは、基板上に印刷される水平形態31および垂直形態32、さらに水平散乱バー34および垂直散乱バー35を含む。上述したように、散乱バー34および35の寸法は、散乱バーが解像度より小さいままで、描像される基板に印刷されないような寸法である。
図示のように、以下で詳細に説明する本発明の散乱バー拡張方法を使用すると、その結果生じたマスクレイアウトは、先行技術の方法と比較すると、非常に多くの散乱バーを含む。これは、図2と図3との比較から明白である。図3は、図2と同じ描像すべき下の模様を示すことが分かる。実際、本発明による散乱バーを適用すると、散乱バーが、先行技術の方法を使用して散乱バーを適用した場合には散乱バーを含まないような区域に配置される。例えば、図3に示すようなマスクレイアウトの部分36を参照すると、水平に配置された散乱バー34が、新規のプロセスを使用して垂直に配置された線32の線端に隣接して配置される。しかし、これに対して、先行技術の方法を使用すると、垂直線の端部の隣には、このような水平散乱バーが配置されない。垂直線端の幅が、その隣に散乱バーを配置することを正当とするには小さすぎると考えられるからである。
図4は、本発明により散乱バーをマスクレイアウトに適用する方法を示す例示的流れ図であり、図5aから図5cは、図4のプロセスを示す。図4を参照すると、第一ステップ41は、基板上に描像すべき所望の模様(つまり目標模様)を獲得することである。図示のように、目標模様は、垂直形態32と水平形態31との両方を含む(本明細書で垂直または水平形態と言う場合、単純さを期して、これは相互に対して直角に延在する2組の形態を指すことが分かる)。次のステップ42は、全形態を水平方向に拡張し、その水平方向の縁を延長することである。次に、この方向で相互に十分に近い形態は全て合流する。水平に延在する形態の拡大区域を、図5aの参照番号51で示す。ステップ42で形態が水平方向に拡張する量は、散乱バーが目標模様の水平方向縁の端部を越えて延在する程度、および形態が合流する最大の水平方向間隔を決定する。所与の実施形態では、この距離は、1次形態とその分散バーとの間の距離(複数の散乱バーを縁の隣に配置した場合は、最も近い散乱バーまでの距離)にほぼ等しくなるよう選択される。この基準により、凸形隅にある散乱バーが45°の角度まで外側に延在する。それを越えると、水平の散乱バーは、水平縁より垂直縁に近づき、ここでは垂直散乱バーの方が有用である。図5aで示すように、水平に延在する形態は、拡張した水平縁表面を呈することも分かる。次のステップ43は、拡張した形態の各水平縁の隣に水平散乱バー57を生成することである。つまり、マスク模様を改造して、水平形態縁の隣に配置された水平散乱バー57を含める。
水平散乱バーが生成されたら、プロセスの次のステップは、同様の方法で垂直形態縁の隣に配置されるべき垂直散乱バー59を生成することである。再び図4を参照すると、第一ステップ44は、各形態を垂直方向に拡張することである。所与の実施形態では、垂直方向で十分に近い形態は、隣接形態と結合するよう拡張する。形態の拡大区域は、図5bの参照番号56で示される。形態の垂直方向での増加量は、上述した水平拡張と同じ方法で制限されることが分かる(つまり、所与の実施形態では、拡張量が、1次形態と隣接する散乱バーとの間の距離になるよう選択される)。図5bで示すように、拡張した形態は拡張した垂直縁表面を呈することも分かる。次のステップ45は、垂直に拡張した形態の各垂直縁の隣に垂直散乱バー59を生成することである。つまり、マスク模様を改造して、垂直形態縁の隣に配置された垂直散乱バーを含める。
さらに、所与の実施形態では、図5aおよび図5bで示すように垂直および水平形態を拡張すると、各垂直縁および水平縁が個々のステップで拡張されることが分かる(単に、主に垂直または水平とされるような形態ではない)。例えば、図5bを参照すると、垂直形態62の水平縁61が、拡張ステップ中に拡張する。その後、垂直形態61の隣に拡張した散乱バーが配置されることになる。全ての垂直縁は、図5aで示すようにステップ42中に同様の方法で拡張され、その結果、拡張散乱が水平形態34の隣に配置される。
ステップ45が終了し、ステップ46で図5cを参照すると、拡張した垂直散乱バーおよび拡張した水平散乱バーの両方を有するマスクレイアウトを検査して、垂直散乱バーおよび水平散乱バーが相互に交差する(つまり重なる)区域を決定し、交差区域は全てマスクレイアウトからキャンセルされる。プロセスの最終ステップ(ステップ47)では、拡張した垂直および水平形態を元のサイズに戻す。したがって、以上のプロセスの後、マスクレイアウトは、拡張した垂直および水平散乱バーを含むよう改造された元の目標模様を含む。図5cは、交差区域キャンセル前の改造したマスクレイアウトを示す。
図6は、本発明による上記の散乱バー適用プロセスが終了した後のマスクレイアウトを示す。図7は、先行技術の散乱バー技術を使用した、図6で示したものと同じ目標模様への散乱バーの適用を示す。図面の比較から分かるように、新しい散乱バー拡張方法では、散乱バーが、先行技術を使用すると補正されない区域に配置される。新しい方法では、マスク設計に含まれる個々の散乱バー区画の数が減少することも招く(つまり、比較的小さい個々の散乱バー設計が、1つの連続散乱バーに置換される)。図5aから図5cおよび図6の最下部分は、これらの図で示したマスク模様の端部を示していないことが分かる(つまり、目標模様全体の一部しか図示されていない)。これらの図の最下部分が目標模様の実際の端部に対応している場合は、散乱バーもそれに隣接して配置される。以下でさらに説明するように、このような隣接する垂直および水平散乱バーを接続することも可能であり、これは、図13aおよび図13bで示すような新規の「面取り」散乱バーを使用して交差区域を除去することによって生成される。
本発明の方法は、新規の「面取り接続スタイル」散乱バーを使用することも含み、これによって隣接する垂直および水平散乱バーの端部を(つまり面取り散乱バーを介して)接続することができる。以下で検討するように、面取り散乱バーは、目標模様の隅形態に隣接する散乱バーの使用に伴う問題を解消する。
図8は、本発明により「面取り」散乱バーを生成する例示的方法を説明する。プロセスを示す図9から図11を参照すると、第一ステップ81は、水平および垂直散乱バー72および73が図9で示すように相互に交差するよう、目標模様の隅形態74に隣接して水平散乱バー72および垂直散乱バー73の両方を配置することである。次のステップ(ステップ83)では、水平および垂直散乱バー72および73の交差する端部が相互から引き戻され、したがって水平および垂直散乱バーの2つの隅が、図10で示すように相互に接触する。次のステップ(ステップ85)では、図11で示すように、隅形態に曝露した面取り散乱バー76の面が、水平および垂直散乱バー72および73に対してほぼ45°の角度を形成するよう、三角形の形態76(つまり面取り散乱バー)を水平および垂直散乱バー72および73の両方の縁と接触した状態で配置する。所与の実施形態では、面取り散乱バーが基本的に、45°、45°および90°の角度を有する三角形の形態を形成することが分かる。面取り散乱バーは、形態の隅領域の隣で交差することができる水平および垂直散乱バーと比較して、印刷される可能性が非常に低いことも分かる(その結果、通常は交差点で望ましくない斑点が印刷される)。
所与の実施形態で開示された面取り散乱バーは、45°の等しい角度を有する直角三角形を呈するが、このような形状に必ずしも制限されないことも分かる。以下で説明するように、面取り散乱バーは他の形状も可能であり、これはそれぞれ、所定のプロセスおよび所定の目標模様のレイアウトに対して選択し、最適化することができる。主な態様の一つは、面取り散乱バーが、垂直および水平散乱バーの交差によって形成/画定された正方形区域と比較して、散乱バーの面積の減少を呈することである。
図12aは、同じ方向に延在する2本の平行な散乱バーの線を接続するため、新規の面取り散乱バーを使用することを示す。これに対して、図12bは、先行技術を使用してこのような平行な散乱を接続する方法を示す。図12aで示すように、面取り部91は、散乱バー92の垂直線に対して約45°にある線を有する平行四辺形として構成される。つまり、所与の実施形態では、面取り部91は、並んで配置された2つの直角三角形を備える。言うまでもなく、面取り部91は、水平方向で相互に平行して配置された散乱バーを接続するためにも使用することができる。図15で以下で示すように、水平方向に延在する散乱バーを結合するために傾斜した面取り部を使用すると、散乱バー全体が、印刷される形態の縁にさらに一致することができる。本発明の面取り部の使用は、図12bで示す「隅接触」の接点と比較して、より予想可能な結果で形成することができることが分かる。
図13aおよび図13bは、本発明の面取り散乱バーを調節して、印刷性能を最適化することができる方法を示す。図13aは、図11で以上で開示したものと同じ面取り部を示し、面取り部76は、45°、45°および90°の角度を有する直角三角形で形成される。図13bはその変形を示し、ここで面取り部95は、ほぼ台形の形状を有し、平行線は両方とも、垂直および水平散乱バーに対して約45°の角度である。
(図13aで示した面取り部とは異なり)図13bで示すようなより長い面取り部を使用することが、特定の状況では好ましいことが分かる。長くなっているので、マスクの検査が容易になるからである。外隅を囲むために、円対称の印刷システムを仮定すると、理想的な散乱バーは、1次形態から一定の距離を維持する円弧形散乱バーである。この理想的散乱バーは、図15bで示すような45°の面取り部で十分に近似することができる。
図13aおよび図13bで示した面取り部は、目標レイアウトに対する形態の内隅の描像を改善するために使用されるが、レイアウト内の形態の外隅を覆うために面取り部を使用することも可能である。図14aおよび図14bは、図13aおよび図13bそれぞれで示した面取り部を使用して、形態の外隅を覆う方法を示す。
さらに、新規の面取り散乱バーの結果、孤立した形態を散乱バーで完全に囲むことも可能である。図15aおよび図15bは、図13aおよび図13bで示した面取り散乱バーを水平および垂直散乱バーと組み合わせて使用し、印刷される形態を囲む方法を示す。所定の形態を囲むために図13aおよび図13bで示した面取り散乱バーの組合せを使用できることも分かる。
面取り散乱バーのさらに別の変形を図16に示す。図16を参照すると、面取り部97はほぼ弓形(例えば半円形の形状)であり、垂直および水平散乱バーの線端または隅を接続することができる。図16で示すように、面取り部97を使用して、形態74を囲むよう2つの垂直散乱バー73を相互に結合する。
上述したように、散乱バーを形成する本発明の方法および技術は、先行技術に対して大きい利点を提供する。一つの利点は、本発明の技術が、レイアウト設計に含まれる個々の散乱バーの量を減少させ、散乱バーが占有する全体的面積を増加させることである。また、本発明の方法は、散乱バーを線端に配置し、これは先行技術を使用すると削除される。その結果、マスク作成プロセスが単純化され、それと同時に印刷性能が改善される。方法では、新規の「面取り」散乱バーを使用することもでき、これで隣接する垂直および水平散乱バーを接続することができ、それによってレイアウトに含まれる隅形態の印刷性能が改善され、さらに孤立した形態が完全に保護され(つまり散乱バーによって完全に囲まれ)、さらに形態の焦点外れ保護が最高になる。このように完全に囲むことで、このような設計形態の全部分が、散乱バーによって孤立した形態に提供されることが知られている焦点深度の改善を保証する。
本発明の方法は、本明細書で開示された上記の方法が、マスク生成プロセス中に自動的に実行されるよう、ソフトウェアで実行できることが分かる。さらに、本明細書に明示的には記載されていないが、延長した垂直および水平散乱バーの交差区域を識別するプロセス、およびその後のマスク設計からのその削除は、当業者にはよく知られていることが分かる。したがって、そのプロセスに関するさらなる説明は、本明細書では提供しない。さらに、散乱バーは、設計のどの形態縁の隣にも配置されるわけではないことが分かる。例えば、目標設計内に密にパッキングされた形態は、通常、その隣に散乱バーを配置するための候補にならない。
図17は、本発明の助けにより設計されるマスクとともに使用するのに適切なリソグラフィ投影装置を概略的に示したものである。この装置は、
− この特別なケースでは放射線ソースLAも備えた、放射線の投影ビームPBを供給する放射線ソースEx、ILと、
− マスクMA(例えばレクチル)を保持するマスクホルダを備え、かつ、品目PLに対して正確にマスクの位置決めを行う第一位置決め手段PMに連結を行った第一オブジェクトテーブル(マスクテーブル)MTと、
− 基板W(例えばレジストを塗布したシリコンウェハ)を保持する基板ホルダ2を備え、かつ、品目PLに対して正確に基板の位置決めを行う第二位置決め手段PWに連結を行った第二オブジェクトテーブル(基板テーブル)WTと、
− マスクMAの照射部分を、基板Wの目標部分C(例えば、1つあるいはそれ以上のダイから成る)に描像する投影システム(「レンズ」)PLとにより構成されている。
ここで示しているように、本装置は透過タイプである(すなわち透過マスクを有する)。しかし、一般的には、例えば(反射マスクを有する)反射タイプのものも可能である。あるいは、本装置は、マスクを使用する代わりに別の種類の模様付け手段も使用可能であり、その例にはプログラマブルミラーアレイまたはLCDマトリクスがある。
ソースLA(例えば水銀ランプまたはエキシマレーザ)は放射線のビームを作り出す。このビームは、直接的に、あるいは、例えばビームエキスパンダExといったような調整手段を横断した後に、照明システム(照明装置)ILに供給される。照明装置ILは、ビームにおける強度分布の外部および/あるいは内部放射範囲(一般的にそれぞれ、σ−outerおよびσ−innerと呼ばれる)を設定する調整手段AMから成る。さらに、これは一般的に積分器INおよびコンデンサCOといったような、他のさまざまな構成要素を備える。このようにして、マスクMAに照射するビームPBは、その断面に亘り所望する均一性と強度分布とを有する。
図17に関して、ソースLAはリソグラフィ投影装置のハウジング内にある(これは例えばソースLAが水銀ランプである場合に多い)が、リソグラフィ投影装置から離して配置することも可能であることを注記する。この場合、ソースLAが作り出す放射線ビームは(例えば適切な案内ミラーにより)装置内に導かれる。この後者のシナリオでは、ソースLAがエキシマレーザ(例えばKrF、ArFまたはF2レイジングをベースとする)である場合が多い。本発明は少なくともこれら両方のシナリオを網羅するものである。
続いてビームPBはマスクテーブルMT上に保持されているマスクMAに入射する。ビームPBはマスクMAを横断して、基板Wの目標部分C上にビームPBの焦点を合わせるレンズPLを通過する。第二位置決め手段(および干渉計測手段IF)の助けにより、基板テーブルWTは、例えばビームPBの経路における異なる目標部分Cに位置を合わせるために正確に運動可能である。同様に、第一位置決め手段は、例えばマスクライブラリからマスクMAを機械的に検索した後に、あるいは走査運動の間に、ビームPBの経路に対してマスクMAを正確に位置決めするように使用可能である。一般的に、オブジェクトテーブルMT、WTの運動はロングストロークモジュール(粗動位置決め)およびショートストロークモジュール(微動位置決め)にて行われる。これについては図17に明示を行っていない。しかし、ウェハステッパの場合(走査ステップ式装置とは対照的に)、マスクテーブルMTはショートストロークアクチュエータに連結されるだけであるか、あるいは固定される。
ここに表した装置は2つの異なるモードにて使用可能である。
− ステップモードにおいては、マスクテーブルMTは基本的に静止状態に保たれている。そして、マスクの像全体が1回の作動(すなわち1回の「フラッシュ」)で目標部分Cに投影される。次に基板テーブルWTがx方向および/あるいはy方向にシフトされ、異なる目標部分CがビームPBにより照射され得る。
− 走査モードにおいては、基本的に同一シナリオが適用されるが、但し、ここでは、所定の目標部分Cは1回の「フラッシュ」では露光されない。代わって、マスクテーブルMTが、速度vにて所定方向(いわゆる「走査方向」、例えばy方向)に運動可能であり、それによってビームPBがマスクの像を走査する。これと同時に、基板テーブルWTが速度V=Mvで、同一方向あるいは反対方向に運動する。ここで、MはレンズPLの倍率(一般的にM=1/4あるいは1/5)である。このように、解像度を妥協することなく、比較的大きな目標部分Cを露光することが可能となる。
本明細書で開示した概念は、波長以下の形態を描像する一般的な描像システムをシミュレートするか、数学的にモデル化することができ、ますますサイズが小さくなる波長を精製することができる新興の描像テクノロジで特に有用である。既に使用されている新興のテクノロジには、ArFレーザを使用して193nmの波長を、さらにはフッ素レーザを使用して157nmの波長を生成可能なEUV(極紫外線)リソグラフィを含む。さらに、EUVリソグラフィは、20〜5nmの範囲内の光子を生成するために、シンクロトロンを使用するか、高エネルギ電子を材料(固体またはプラズマ)に当てることにより、この範囲内の波長を生成することができる。大部分の材料はこの範囲内では吸収性であるので、モリブデンとシリコンを複数重ねた反射性ミラーによって照明を生成することができる。多重ミラーは、モリブデンとシリコンの40層の対を有し、各層の厚さは1/4波長である。X線リソグラフィで、さらに小さい波長を生成することができる。通常は、サイクロトロンを使用して、X線波長を生成する。大部分の材料は、X線波長では吸収性であるので、吸収性材料の薄い部片は、どの形態を印刷するか(プラスのレジスト)、印刷しないか(マイナスのレジスト)を画定する。
本明細書で開示する概念は、シリコンウェハなどの基板への描像に使用することができるが、開示された概念は、任意のタイプのリソグラフィ描像システムで使用できることを理解されたい。例えば、シリコンウェハ以外の基板に描像するために使用するものである。
コンピュータシステムのソフトウェアの機能性は、実行可能なコードを含むプログラミングを伴い、上述した描像モデルの実現に使用することができる。ソフトウェアコードは、汎用コンピュータで実行可能である。使用時には、コード、および場合によっては関連するデータレコードを、汎用コンピュータのプラットフォームに記憶する。しかし、適切な汎用コンピュータシステムにロードするため、ソフトウェアを他の場所に記憶したり、転送したりすることもある。したがって、上記で検討した実施形態は、少なくとも1つの機械で読み取り可能な媒体によって支持されるコードの1つまたは複数のモジュールの形態で、1つまたは複数のソフトウェア製品を伴う。コンピュータシステムのプロセッサによってこのようなコードを実行すると、プラットフォームは、基本的に本明細書で検討し、図示した実施形態で実行する方法で、カタログおよび/またはソフトウェアのダウンロード機能を実現することができる。
本明細書では、コンピュータまたは機械で「読み取り可能な媒体」などの言葉は、実行するために命令をプロセッサに提供することに係わる全ての媒体を指す。このような媒体は、不揮発性媒体、揮発性媒体、および転送媒体などの多くの形態をとることができるが、これに制限されない。不揮発性媒体には、例えば光学または磁気ディスク、上記で検討したサーバプラットフォームの一つとして作動するコンピュータのいずれかの記憶デバイスなどである。揮発性媒体には、このようなコンピュータプラットフォームのメインメモリなどの動的メモリがある。物理的転送媒体には、同軸ケーブル、コンピュータシステム内のバスを含むワイヤなどの銅線および光ファイバがある。搬送波転送媒体は、電気または電磁信号、または音波または光波、例えば高周波(RF)および赤外線(IR)データ通信中に生成される波の形態をとることができる。したがって、コンピュータで読み取り可能な媒体の共通の形態には、例えばフロッピディスク(登録商標)、フレキシブルディスク、ハードディスク、磁気テープ、他の磁気媒体、CD−ROM、DVD、他の光学媒体、パンチカードや紙テープなどのそれほど一般的に使用されていない媒体、穴のパターンを有する他の物理的媒体、RAM、PROM、およびEPROM、FLASH−EPROM、他のメモリチップまたはカートリッジ、搬送波転送データまたは命令、このような搬送波を転送するケーブルまたはリンク、またはコンピュータがプログラミングコードおよび/またはデータを読み取ることができる他の媒体がある。コンピュータが読み取り可能な媒体のこのような形態の多くは、実行するために、1つまたは複数の命令の1つまたは複数のシーケンスをプロセッサに搬送することを含む。
本発明を詳細に説明し、図示してきたが、これは例証および例示のみであって、制限とは見なされず、本発明の範囲は、請求の範囲によってのみ制限されることが、明白に理解される。
図1aから図1cは、散乱バーをマスク設計に適用するために現在知られている方法を使用して散乱バーを適用した結果生じる問題を示す。 散乱バーをマスクレイアウトに適用するために現在知られている方法を使用して散乱バーを含むよう改造された例示的マスクレイアウトを示す。 本発明の方法を使用して散乱バーを含むよう改造された例示的マスクレイアウトを示す。 本発明により散乱バーをマスクレイアウトに適用する方法を示す例示的流れ図である。 図5aから図5cは、図4の流れ図で説明したプロセスを示す。 本発明による散乱バー適用プロセスの終了後のマスクレイアウトを示す。 先行技術の散乱バー技術を使用して、散乱バーを図6で示した同じ目標模様に適用することを示す。 本発明により「面取り」散乱バーを生成する例示的方法の流れ図である。 図8の流れ図で説明したプロセスを示す。 図8の流れ図で説明したプロセスを示す。 図8の流れ図で説明したプロセスを示す。 同じ方向に延在する2本の平行な散乱バーの線を接続するため、本発明の新規の面取り散乱バーを使用することを示す。 先行技術を使用して平行な散乱バーを接続する方法を示す。 図13aおよび図13bは、印刷性能を最適化するために本発明の面取り散乱バーを調節できる方法を示す。 図14aおよび図14bは、図13aおよび図13bでそれぞれ図示された面取りを使用して、形態の外隅を覆う方法を示す。 図15aおよび図15bは、図13aおよび図13bでそれぞれ図示された面取り散乱バーを水平および垂直散乱バーと組み合わせて使用し、印刷すべき形態を囲む方法を示す。 本発明の面取り散乱バーの別の実施形態を示す。 本発明の助けにより設計したマスクとともに使用するのに適切なリソグラフィ投影装置を概略的に示す。

Claims (18)

  1. 描像すべき形態と、光学的近位補正形態とを備えるマスクを形成する方法で、
    第一方向に延在する第一補助形態と第二方向に延在する第二補助形態とを形成するステップであって、前記描像すべき形態の隅形態に隣接して、前記第一補助形態と前記第二補助形態とを相互に交差させるステップと
    前記第一補助形態と前記第二補助形態とが少なくとも交差しなくなるまで、前記第一補助形態と前記第二補助形態のそれぞれの端部を引き戻すステップと、
    前記第一補助形態を前記第二補助形態に接続する面取り補助形態を形成するステップを含み
    前記面取り補助形態が、前記第一補助形態および前記第二補助形態との両方に対してある角度で配置される方法。
  2. 前記面取り補助形態が、前記第一補助形態の主軸、および前記第二補助形態の主軸に対して約45°の角度で配置された主軸を有する、請求項に記載のマスク形成方法。
  3. 前記面取り補助形態が三角形の形状を有する、請求項に記載のマスク形成方法。
  4. 前記面取り補助形態が、ほぼ45°、45°および90°の角度を有する直角三角形を形成する形状を有する、請求項に記載のマスク形成方法。
  5. 前記面取り補助形態が台形の形状を有する、請求項に記載のマスク形成方法。
  6. 描像すべき形態と、光学的近位補正形態とを備えるマスクを形成する方法で、
    それぞれが第一方向に延在する複数の第一補助形態とそれぞれが第二方向に延在する複数の第二補助形態を形成するステップであって、前記描像すべき形態の隅形態に隣接して、前記複数の第一補助形態と前記複数の第二補助形態とを相互に交差させるステップと
    前記複数の第一補助形態と前記複数の第二補助形態とが少なくとも交差しなくなるまで、前記複数の第一補助形態と前記複数の第二補助形態のそれぞれの端部を引き戻すステップと、
    それぞれが前記第一補助形態の一つを前記複数の第二補助形態の一つに接続する複数の面取り補助形態を形成するステップとを含み
    前記面取り補助形態がそれぞれ、前記複数の第一補助形態と前記複数の第二補助形態との両方に対してある角度で配置される方法。
  7. 前記複数の第一補助形態の少なくとも一部、前記複数の第二補助形態の少なくとも一部、および前記面取り補助形態の少なくとも一部が、描像すべき形態をほぼ囲むように配置構成される、請求項に記載のマスク形成方法。
  8. 前記複数の面取り補助形態がそれぞれ、前記複数の第一補助形態それぞれの主軸、および前記複数の第二補助形態それぞれの主軸に対して約45°の角度配置された主軸を有する、請求項に記載のマスク形成方法。
  9. 前記複数の面取り補助形態がそれぞれ、三角形の形状および台形の形状のうち少なくとも一つを有する、請求項に記載のマスク形成方法。
  10. 少なくとも1つの機械で読み取り可能な媒体によって転送することができる実行可能なコードを備えるプログラム製品で、少なくとも1つのプログラム可能なコンピュータでコードを実行すると、少なくとも1つのプログラム可能なコンピュータが、前記マスクに形成された模様を基板に光学的に転送するために、描像すべき形態と光学的近位補正形態とを備えるマスクを形成するステップのシーケンスを実行し、前記ステップが、
    第一方向に延在する第一補助形態と第二方向に延在する第二補助形態とを形成することであって、前記描像すべき形態の隅形態に隣接して、前記第一補助形態と前記第二補助形態とを相互に交差させることと、
    前記第一補助形態と前記第二補助形態とが少なくとも交差しなくなるまで、前記第一補助形態と前記第二補助形態のそれぞれの端部を引き戻すことと、
    前記第一補助形態を前記第二補助形態に接続する面取り補助形態を形成することとを含み
    前記面取り補助形態が、前記第一補助形態および前記第二補助形態との両方に対してある角度で配置されるプログラ
  11. 前記面取り補助形態が、前記第一補助形態の主軸、および前記第二補助形態の主軸に対して約45°の角度で配置された主軸を有する、請求項10に記載のプログラ
  12. 前記面取り補助形態が三角形の形状を有する、請求項10に記載のプログラ
  13. 前記面取り補助形態が、ほぼ45°、45°および90°の角度を有する直角三角形を形成する形状を有する、請求項11に記載のプログラ
  14. 前記面取り補助形態が台形の形状を有する、請求項12に記載のプログラ
  15. 少なくとも1つの機械で読み取り可能な媒体によって転送することができる実行可能なコードを備えるプログラム製品で、少なくとも1つのプログラム可能なコンピュータでコードを実行すると、少なくとも1つのプログラム可能なコンピュータが、前記マスクに形成された模様を基板に光学的に転送するために、描像すべき形態と光学的近位補正形態とを備えるマスクを形成するステップのシーケンスを実行し、前記ステップが、
    それぞれが第一方向に延在する複数の第一補助形態と、それぞれが第二方向に延在する複数の第二補助形態とを形成することであって、前記描像すべき形態の隅形態に隣接して、前記複数の第一補助形態と前記複数の第二補助形態とを相互に交差させることと
    前記複数の第一補助形態と前記複数の第二補助形態とが少なくとも交差しなくなるまで、前記複数の第一補助形態と前記複数の第二補助形態のそれぞれの端部を引き戻すことと、
    それぞれが前記第一補助形態の一つを前記複数の第二補助形態の一つに接続する複数の面取り補助形態を形成することとを含み
    前記面取り補助形態がそれぞれ、前記複数の第一補助形態と前記複数の第二補助形態との両方に対してある角度で配置され、
    前記複数の第一補助形態の少なくとも一部、前記複数の第二補助形態の少なくとも一部、および前記面取り補助形態の少なくとも一部が、前記描像すべき形態をほぼ囲むように配置構成されるプログラ
  16. 描像すべき形態と、光学的近位補正形態とを備えるマスクを形成する方法で、
    第一方向に延在する第一補助形態と前記第一方向に平行な方向に延在する第二補助形態とを形成するステップと、
    前記第一補助形態を前記第二補助形態に接続する面取り補助形態を形成するステップを含み
    前記面取り補助形態が、前記第一補助形態と前記第二補助形態との両方に対してある角度で配置される方法。
  17. 前記面取り補助形態が、前記第一補助形態の主軸、および前記第二補助形態の主軸に対して約45°の角度で配置された主軸を有する、請求項16に記載のマスク形成方法。
  18. 前記面取り補助形態が台形の形状を有する、請求項16に記載のマスク形成方法。
JP2004220959A 2003-06-30 2004-06-30 半波長以下リソグラフィ模様付けの改良型散乱バーopc適用方法 Expired - Fee Related JP4520787B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US48310503P 2003-06-30 2003-06-30
US50027203P 2003-09-05 2003-09-05

Publications (2)

Publication Number Publication Date
JP2005031690A JP2005031690A (ja) 2005-02-03
JP4520787B2 true JP4520787B2 (ja) 2010-08-11

Family

ID=33436772

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004220959A Expired - Fee Related JP4520787B2 (ja) 2003-06-30 2004-06-30 半波長以下リソグラフィ模様付けの改良型散乱バーopc適用方法

Country Status (7)

Country Link
US (4) US7354681B2 (ja)
EP (1) EP1494071A3 (ja)
JP (1) JP4520787B2 (ja)
KR (2) KR100903176B1 (ja)
CN (1) CN100480860C (ja)
SG (1) SG151079A1 (ja)
TW (1) TWI346250B (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6792029B2 (en) * 2002-03-27 2004-09-14 Sharp Laboratories Of America, Inc. Method of suppressing energy spikes of a partially-coherent beam
US7354681B2 (en) * 2003-06-30 2008-04-08 Asml Masktools B.V. Scattering bar OPC application method for sub-half wavelength lithography patterning
KR100718216B1 (ko) * 2004-12-13 2007-05-15 가부시끼가이샤 도시바 반도체 장치, 패턴 레이아웃 작성 방법, 노광 마스크
DE102005002533B4 (de) * 2005-01-14 2007-09-13 Infineon Technologies Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
DE102005002529B4 (de) * 2005-01-14 2008-12-04 Qimonda Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
JP4728676B2 (ja) * 2005-03-30 2011-07-20 富士通セミコンダクター株式会社 フォトマスクの製造方法、及びそのフォトマスクを用いた半導体装置の製造方法
JP2007240949A (ja) * 2006-03-09 2007-09-20 Elpida Memory Inc マスクデータ作成方法及びマスク
CN101086622B (zh) * 2006-06-08 2010-05-12 中芯国际集成电路制造(上海)有限公司 一种应用反散射带的方法
KR100788372B1 (ko) * 2006-08-07 2008-01-02 동부일렉트로닉스 주식회사 Opc 마스크 패턴
KR100818713B1 (ko) * 2007-03-23 2008-04-02 주식회사 하이닉스반도체 노광 과정 중의 스컴을 억제하는 리소그래피 방법
CN101349861B (zh) * 2007-07-19 2010-09-08 上海华虹Nec电子有限公司 平滑规则式光学临近修正光掩膜图形的方法
CN101382728B (zh) * 2007-09-07 2010-07-28 北京京东方光电科技有限公司 灰阶掩膜版结构
CN101399244B (zh) * 2007-09-26 2011-05-18 力晶半导体股份有限公司 电路结构及用以定义此电路结构的光掩模
CN101452205B (zh) * 2007-11-30 2011-04-20 中芯国际集成电路制造(上海)有限公司 一种散射条生成方法
JP5529391B2 (ja) * 2008-03-21 2014-06-25 ルネサスエレクトロニクス株式会社 ハーフトーン型位相シフトマスク、そのハーフトーン型位相シフトマスクを有する半導体装置の製造装置、およびそのハーフトーン型位相シフトマスクを用いた半導体装置の製造方法
US7910267B1 (en) * 2008-12-12 2011-03-22 Western Digital (Fremont), Llc Method and system for providing optical proximity correction for structures such as a PMR nose
US8015512B2 (en) * 2009-04-30 2011-09-06 Macronix International Co., Ltd. System for designing mask pattern
KR101113326B1 (ko) * 2009-07-01 2012-03-13 주식회사 하이닉스반도체 포토마스크의 보조패턴 형성방법
US8546048B2 (en) 2010-10-29 2013-10-01 Skyworks Solutions, Inc. Forming sloped resist, via, and metal conductor structures using banded reticle structures
US8765329B2 (en) 2010-11-05 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Sub-resolution rod in the transition region
CN102486606B (zh) * 2010-12-03 2013-03-27 中芯国际集成电路制造(上海)有限公司 光刻方法
US8887106B2 (en) * 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US9213233B2 (en) * 2013-07-12 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography scattering bar structure and method
KR102305092B1 (ko) 2014-07-16 2021-09-24 삼성전자주식회사 포토리소그래피용 마스크와 그 제조 방법
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US11380516B2 (en) 2017-04-13 2022-07-05 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
CN113050365A (zh) * 2019-12-27 2021-06-29 中芯国际集成电路制造(上海)有限公司 光学邻近修正方法及系统、掩模版、设备与介质
CN113050367A (zh) * 2019-12-27 2021-06-29 中芯国际集成电路制造(上海)有限公司 光学邻近效应修正方法和系统、掩膜版及其制备方法
CN117610495B (zh) * 2024-01-23 2024-04-16 合肥晶合集成电路股份有限公司 辅助图形的添加方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09297388A (ja) * 1996-05-01 1997-11-18 Nec Corp 露光用マスクパターンの補助パターン自動発生方法
JPH1048806A (ja) * 1996-08-01 1998-02-20 Nec Corp フォトマスク及びその製造方法、フォトマスクブランクス
JPH117120A (ja) * 1997-06-18 1999-01-12 Sony Corp マスクパターン作成方法およびマスクパターン作成装置並びにマスク作成装置
JPH11231506A (ja) * 1998-01-21 1999-08-27 Lg Semicon Co Ltd 半導体用光学近接補償マスク
JP2002323748A (ja) * 2001-03-30 2002-11-08 Samsung Electronics Co Ltd マスクおよびその形成方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5242770A (en) 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
EP0634028B1 (en) 1992-04-06 1998-07-22 MicroUnity Systems Engineering, Inc. Method for forming a lithographic pattern in a process for manufacturing semiconductor devices
US5354632A (en) 1992-04-15 1994-10-11 Intel Corporation Lithography using a phase-shifting reticle with reduced transmittance
US5256505A (en) 1992-08-21 1993-10-26 Microunity Systems Engineering Lithographical mask for controlling the dimensions of resist patterns
US5302477A (en) 1992-08-21 1994-04-12 Intel Corporation Inverted phase-shifted reticle
US5300379A (en) 1992-08-21 1994-04-05 Intel Corporation Method of fabrication of inverted phase-shifted reticle
US5881125A (en) 1992-09-25 1999-03-09 Intel Corporation Attenuated phase-shifted reticle using sub-resolution pattern
US5424154A (en) 1993-12-10 1995-06-13 Intel Corporation Lithographic emhancement method and apparatus for randomly spaced structures
US5447810A (en) 1994-02-09 1995-09-05 Microunity Systems Engineering, Inc. Masks for improved lithographic patterning for off-axis illumination lithography
KR960002536A (ja) * 1994-06-29 1996-01-26
US5663893A (en) 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
EP0824722B1 (en) * 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69735016T2 (de) * 1996-12-24 2006-08-17 Asml Netherlands B.V. Lithographisches Gerät mit zwei Objekthaltern
US5821014A (en) * 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
DE69829614T2 (de) 1997-03-10 2006-03-09 Asml Netherlands B.V. Lithographiegerät mit einer positioniervorrichtung mit zwei objekthaltern
US6373553B1 (en) 1999-09-20 2002-04-16 Intel Corp. Photo-lithographic method to print a line-space pattern with a pitch equal to half the pitch of the mask
US6562522B1 (en) 1999-10-29 2003-05-13 Intel Corporation Photomasking
EP1164432A1 (en) 2000-06-13 2001-12-19 ASML Masktools Netherlands B.V. Optical proximity correction method utilizing serifs having variable dimensions
US6413683B1 (en) * 2000-06-23 2002-07-02 International Business Machines Corporation Method for incorporating sub resolution assist features in a photomask layout
US6458495B1 (en) 2000-06-30 2002-10-01 Intel Corporation Transmission and phase balance for phase-shifting mask
US6479195B1 (en) 2000-09-15 2002-11-12 Intel Corporation Mask absorber for extreme ultraviolet lithography
FR2818253B1 (fr) * 2000-12-20 2003-04-04 Valois Sa Ensemble de conditionnement
US6632576B2 (en) 2000-12-30 2003-10-14 Intel Corporation Optical assist feature for two-mask exposure lithography
TW571571B (en) * 2001-03-14 2004-01-11 Asml Masktools Bv An optical proximity correction method utilizing ruled ladder bars as sub-resolution assist features
US6703167B2 (en) * 2001-04-18 2004-03-09 Lacour Patrick Joseph Prioritizing the application of resolution enhancement techniques
US6553562B2 (en) 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
US6548417B2 (en) 2001-09-19 2003-04-15 Intel Corporation In-situ balancing for phase-shifting mask
US6627362B2 (en) 2001-10-30 2003-09-30 Intel Corporation Photolithographic mask fabrication
US6625802B2 (en) 2002-02-01 2003-09-23 Intel Corporation Method for modifying a chip layout to minimize within-die CD variations caused by flare variations in EUV lithography
US7354681B2 (en) * 2003-06-30 2008-04-08 Asml Masktools B.V. Scattering bar OPC application method for sub-half wavelength lithography patterning

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09297388A (ja) * 1996-05-01 1997-11-18 Nec Corp 露光用マスクパターンの補助パターン自動発生方法
JPH1048806A (ja) * 1996-08-01 1998-02-20 Nec Corp フォトマスク及びその製造方法、フォトマスクブランクス
JPH117120A (ja) * 1997-06-18 1999-01-12 Sony Corp マスクパターン作成方法およびマスクパターン作成装置並びにマスク作成装置
JPH11231506A (ja) * 1998-01-21 1999-08-27 Lg Semicon Co Ltd 半導体用光学近接補償マスク
JP2002323748A (ja) * 2001-03-30 2002-11-08 Samsung Electronics Co Ltd マスクおよびその形成方法

Also Published As

Publication number Publication date
US20090233186A1 (en) 2009-09-17
KR20080114639A (ko) 2008-12-31
EP1494071A3 (en) 2008-04-09
CN1577107A (zh) 2005-02-09
KR100919858B1 (ko) 2009-09-30
US7892707B2 (en) 2011-02-22
US20110143268A1 (en) 2011-06-16
US7354681B2 (en) 2008-04-08
KR100903176B1 (ko) 2009-06-17
US8039180B2 (en) 2011-10-18
US20050074677A1 (en) 2005-04-07
TWI346250B (en) 2011-08-01
SG151079A1 (en) 2009-04-30
US20080206656A1 (en) 2008-08-28
KR20050002641A (ko) 2005-01-07
JP2005031690A (ja) 2005-02-03
TW200508788A (en) 2005-03-01
CN100480860C (zh) 2009-04-22
EP1494071A2 (en) 2005-01-05
US7485396B2 (en) 2009-02-03

Similar Documents

Publication Publication Date Title
JP4520787B2 (ja) 半波長以下リソグラフィ模様付けの改良型散乱バーopc適用方法
KR101185463B1 (ko) Na-시그마 노광세팅 및 디바이스 레이아웃을 이용하는 스캐터링 바아 opc에 대한 동시 최적화 방법, 프로그램물 및 장치
KR100592580B1 (ko) 쌍극조명에 사용하기 위한 모델-기반 레이아웃 변환을수행하는 방법 및 장치
KR100899359B1 (ko) 이중 노광 리소그래피를 수행하는 장치, 프로그램물 및방법
JP4639113B2 (ja) 基板上に形成されるデザインを最適化する方法及びプログラム
JP4267245B2 (ja) 解像度以下の補助フィーチャとして罫線ラダー・バーを利用した光近接補正方法
JP4464365B2 (ja) 近傍の影響を考慮した光学的近接効果補正を実行する装置、方法およびコンピュータ・プログラム
JP3645242B2 (ja) ダイポール式照明技術に関連して使用されるマスクの生成方法と生成装置
JP4383400B2 (ja) 1/4波長リソグラフィの焦点深さを上げるためにモデルに基づき散乱バーを配置する方法、プログラム製品および装置
JP3939234B2 (ja) 位相エッジをサブ解像度アシスト・フィーチャとして用いる光近接効果補正方法
JP2003332232A (ja) 双極照明を利用してルールベースのゲート短縮を行うための方法および装置
KR20050025095A (ko) 서브-파장 광학 리소그래피용 위상-평형 스캐터링바아들의 모델 기반 배치를 수행하는 방법 및 장치
JP2011141544A (ja) ダークフィールド二重双極子リソグラフィ(ddl)を実行する方法および装置
KR101437575B1 (ko) 기판-토포그래피-인식 리소그래피 모델링
JP4727288B2 (ja) 基板上に形成されるデザインを最適化する方法及びプログラム
US7604909B2 (en) Method for improved manufacturability and patterning of sub-wavelength contact hole mask
JP2007052430A (ja) 改良型cplマスクおよびこれを作製するための方法およびプログラム

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20060904

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070115

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20070517

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091218

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100318

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100426

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100521

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130528

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130528

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees
S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371