KR20080114639A - 서브-하프 파장 리소그래피 패터닝을 위한 개선된 스캐터링바아 opc 적용 방법 - Google Patents

서브-하프 파장 리소그래피 패터닝을 위한 개선된 스캐터링바아 opc 적용 방법 Download PDF

Info

Publication number
KR20080114639A
KR20080114639A KR1020080110996A KR20080110996A KR20080114639A KR 20080114639 A KR20080114639 A KR 20080114639A KR 1020080110996 A KR1020080110996 A KR 1020080110996A KR 20080110996 A KR20080110996 A KR 20080110996A KR 20080114639 A KR20080114639 A KR 20080114639A
Authority
KR
South Korea
Prior art keywords
assist
features
chamfer
feature
assist feature
Prior art date
Application number
KR1020080110996A
Other languages
English (en)
Other versions
KR100919858B1 (ko
Inventor
토마스 레이디그
쿠르트 이. 윔플러
덴 브로에크 더글라스 판
장 풍 첸
Original Assignee
에이에스엠엘 마스크툴즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 마스크툴즈 비.브이. filed Critical 에이에스엠엘 마스크툴즈 비.브이.
Publication of KR20080114639A publication Critical patent/KR20080114639A/ko
Application granted granted Critical
Publication of KR100919858B1 publication Critical patent/KR100919858B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

본 발명은 광근접성 보정 피처들을 구비하는 마스크를 형성하는 방법에 관한 것으로, 이는 묘화될 피처들의 타겟 패턴을 획득하는 단계; 묘화될 피처들의 폭을 확장시키는 단계; 묘화될 피처들의 에지들에 인접하여 배치되는 어시스트 피처들을 포함하도록 마스크를 수정하는 단계를 포함하여 이루어지고, 여기서, 상기 어시스트 피처들은 묘화될 피처들의 확장된 폭에 대응하는 길이를 가지며; 묘화될 피처들을 상기 확장된 폭에서 상기 타겟 패턴에 대응하는 폭으로 복귀시키는 단계를 포함하는 것을 특징으로 한다.

Description

서브-하프 파장 리소그래피 패터닝을 위한 개선된 스캐터링 바아 OPC 적용 방법 {IMPROVED SCATTERING BAR OPC APPLICATION METHOD FOR SUB-HALF WAVELENGTH LITHOGRAPHY PATTERNING}
본 발명은 포토리소그래피, 특히 개선된 스캐터링 바아/어시스트 피처 디자인을 이용하여 광근접성 보정(OPC)의 개선 및 마스크 디자인에서 스캐터링 바아를 구현하는 신규 방법에 관한 것이다.
본 발명은, 2003년 6월 30일에 출원된 "A Method For Compensating For Scattering Bar Loss" 제목의 U.S. 가출원번호 제 60/483,105호; 및 2003년 9월 5일에 출원된 "Improved Scattering Bar OPC Application Method For Sub-Half Wavelength Lithography Patterning" 제목의 U.S. 가출원번호 제 60/500,272호를 우선권 주장한다.
리소그래피 투영장치는 예를 들어, 집적회로(ICs)의 제조에 사용될 수 있다. 이러한 경우, 마스크는 IC의 개별층에 대응하는 회로패턴을 포함하고, 이 패턴은 방사선감응재(레지스트)층으로 코팅된 기판(실리콘 웨이퍼)상의 타겟부(예를 들어, 하나 이상의 다이로 구성)상으로 묘화될 수 있다. 일반적으로, 단일 웨이퍼는 투영 시스템에 의해 한번에 하나씩 연속적으로 조사되는 인접한 타겟부들의 전체적인 네트워크를 포함할 것이다. 일 형태의 리소그래피 투영장치에서, 타겟부상에 전체 마스크 패턴을 한번에 노광함으로써 각각의 타겟부가 조사되는데, 이러한 장치를 통상 웨이퍼 스테퍼(wafer stepper)라 칭한다. 통상 스텝-앤드-스캔 장치(step-and-scan apparatus)라 불리는 대안적인 장치에서, 투영빔하에서 주어진 기준방향("스캐닝" 방향)으로 마스크 패턴을 점진적으로 스캐닝하면서, 상기 방향과 평행하게 또는 반평행하게 기판 테이블을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는데, 일반적으로 투영시스템이 배율인자(M)(일반적으로<1)를 가지므로, 기판 테이블이 스캐닝되는 속도(V)는 마스크 테이블이 스캐닝되는 속도의 인자(M)배가 된다. 여기에 서술된 리소그래피 장치에 관련된 추가 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 US 제6,046,792호로부터 얻을 수 있다.
리소그래피 투영장치를 사용하는 제조공정에서, 마스크 패턴은 적어도 부분적으로 방사선감응재(레지스트)층으로 도포된 기판상에 묘화된다. 이러한 묘화 단계(imaging step)에 앞서, 기판은 전처리(priming), 레지스트 코팅, 소프트 베이크와 같은 다양한 절차를 거칠 수 있다. 노광 후에, 기판은 노광후 베이크(PEB), 현상, 하드 베이크 및 묘화된 피처(imaged feature)의 측정/검사와 같은 기타 절차를 거칠 수 있다. 이러한 일련의 절차는, 예를 들어 IC와 같은 디바이스의 개별층을 패터닝하는 기초로서 사용된다. 그런 다음, 이러한 패터닝된 층은 에칭, 이온주입(도핑), 금속화, 산화, 화학-기계적 폴리싱 등과 같은 개별층을 마무리하기 위한 다양한 공정을 거친다. 여러 층이 요구된다면, 새로운 층마다 전체 공정 또는 그 변형 공정이 반복되어져야만 할 것이다. 종국에는, 디바이스의 배열이 기판(웨이퍼)상에 존재하게 될 것이다. 이들 디바이스가 다이싱 또는 소잉 등의 기술에 의해 서로 격리된 후에, 각각의 디바이스는 캐리어에 탑재되고, 핀 등에 접속될 수 있다. 이러한 공정에 관한 추가 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 "Microchip Fabrication : A Practical Guide to Semiconductor Processing" (3판, Peter van Zant 저, McGraw Hill 출판사, 1997, ISBN 0-07-067250-4)으로부터 얻을 수 있다.
설명을 간단히 하기 위해, 투영시스템은 이후에 "렌즈"라고 언급될 수 있다. 하지만, 이 용어는 예를 들어, 굴절 광학기, 반사 광학기 및 카타디옵트릭 시스템을 포함한 다양한 형태의 투영시스템을 내포하는 것으로서 폭넓게 해석되어야 한다. 또한, 방사선시스템은 방사선 투영빔의 지향, 성형 또는 제어를 하기 위한 설계유형 중의 어느 하나에 따라 동작하는 구성요소를 포함할 수 있고, 이러한 구성요소들도 아래에서 집합적으로 또는 개별적으로 "렌즈"라고 언급될 수 있다. 또한, 상기 리소그래피 장치는 두개 이상의 기판 테이블 (및/또는 두개 이상의 마스크 테이블)을 구비하는 형태가 될 수 있다. 이러한 "다수 스테이지" 장치에서, 추가적인 테이블들이 병행하여 사용될 수 있거나, 하나 이상의 다른 테이블들이 노광을 위하여 사용되고 있는 동안에 하나 이상의 테이블에서 준비단계가 수행될 수 있다. 트윈 스테이지 리소그래피 장치는 예를 들어, 본 명세서에서 참고자료로 채택된 US 제5,969,441호 및 WO 제98/40791호에 개시되어 있다.
상기 언급된 포토리소그래피 마스크는 실리콘 웨이퍼상으로 집적되는 회로 구성요소에 대응하는 기하학적인 패턴들을 포함한다. 이러한 마스크를 형성하는데 사용되는 상기 패턴들은, CAD(컴퓨터 지원 설계 : Computer-Aided Design) 프로그램을 사용하여 생성될 수 있고, 이 공정은 종종 EDA(전자설계 자동화 : Electronic Design Automation)로 언급된다. 대부분의 CAD 프로그램은 기능적인 마스크를 형성하기 위해 미리 결정된 설계규칙의 세트를 따른다. 이들 규칙은 처리 및 설계제한에 의해 설정된다. 예를 들어, 설계규칙들은, 회로 디바이스들(게이트들, 캐패시터들 등과 같은) 또는 상호접속 라인들 사이의 간격 허용오차를 정의하여, 상기 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 상호 작용하지 않도록 한다. 통상적으로, 상기 설계규칙 제한을 "임계치수"(CD : Critical Dimensions)로 칭한다. 회로의 임계치수는 라인 또는 홀의 최소폭 또는 두개의 라인들 또는 두개의 홀들 사이의 최소간격으로 정의될 수 있다. 따라서, 상기 CD는 설계된 회로의 전체적인 크기 및 밀도를 결정한다.
물론, 집적회로 제조에 있어서의 목표 가운데 하나는 웨이퍼상의 원래의 회로 설계를 충실하게 재현하는 것이다(마스크를 통하여). 현재, 다양한 광근접성 보정(OPC) 기술들이 활용되어, 결과적인 이미지가 원하는 타겟 패턴에 보다 정확하게 대응하도록 할 수 있다. 널리 알려진 일반적 OPC 기술은 서브분해능 스캐터링 바아(어시스트 피처라고도 함)를 이용하는 것이다. 예를 들어, 미국특허 제5,821,014호에 기술된 바와 같이, 서브-분해능 어시스트 피처, 또는 스캐터링 바아들이, 광근접성 효과를 보정하는 수단으로 사용되고, 전체 프로세스 윈도우를 향상시키는데 효과적이라는 것을 보여준다(즉, 피처들이 소정의 특정 CD를 가지는 노광 도즈 변 동 및 초점의 범위가, 상기 피처들이 인접한 피처들에 대해 격리되거나 조밀하게 패킹되는지의 여부에 관계없이, 일정하게 프린트될 수 있다.) 상기 '014 특허에 설명된 바와 같이, 광근접성 보정은 상기 피처들 근처에 스캐터링 바아들을 배치시켜 격리된 피처들에 덜 조밀하도록 초점심도를 개선시킴으로써 발생한다. 상기 스캐터링 바아는 (격리된 또는 덜 조밀한 피처들의) 유효 패턴 밀도를 보다 조밀하게 변경시키는 기능을 하여, 격리된 또는 덜 조밀한 피처들의 프린팅과 관련된 원치 않는 근접성 효과를 상쇄할 수 있다. 하지만, 스캐터링 바아 자체는 웨이퍼 상에 프린트되지 않는 것이 중요하다. 따라서, 이 때문에 스캐터링 바아의 크기가 묘화 시스템의 분해능 미만으로 유지되어야 할 필요가 있다.
스캐터링 바아의 광범위한 사용에도 불구하고, 현 스캐터링 바아 기술은 본질적으로 노광 파장의 절반 또는 그 미만으로 피처 치수를 패터닝하는데 이용되는 경우에 3가지 관심사가 남아 있다. 첫번째 관심사는 초점 범위를 심하게 제한하는 메인 디자인 피처들에 대한 부적절한 보호에 관한 것이다. 두번째 관심사는 통상적인 스캐터링 바아 솔루션에 있어서, 짧은 조각의 스캐터링 바아들이 너무 많이 생성되어 마스크 제조 능력에 과도한 요구를 초래한다는 사실에 관한 것이다. 세번째 관심사는 함께 결합될 인접한 수평 및 수직 스캐터링 바아에 대한 적절한 솔루션이 없다는 사실에 관한 것이다. 현재 방법들에 의하면 수평 및 수직 스캐터링 바아들이 서로로부터 이격되도록 당겨져야 할 필요가 있다.
도 1a 내지 도 1c는 상술된 첫번째 관심사를 예시한다. 도 1a는 OPC를 수행하는 스캐터링 바아(13) 및 인쇄될 피처(12) 모두를 구비한 예시적인 레이아웃을 예시한다. 도 1b 및 도 1c는 0.1um의 디포커스 및 "베스트 포커스"에서의 결과적인 프린팅 성능을 예시한다. 도 1a의 마스크의 에워싼 부분에 대응하는, 에워싸인 결과적인 패턴의 일부분을 가지는 도 1c에 도시된 바와 같이, 그것에 인접한 수직으로 위치한 어떠한 스캐터링 바아도 가지지 않는 피처(12)의 영역(14)은 "핀칭(pinching)"(즉, 프린트될 라인의 폭의 원치 않는 축소)을 나타낸다.
도 2는 상술된 두번째 관심사를 예시한다. 보다 상세하게, 도 2는 스캐터링 바아 적용을 위한 현재 알려진 기술들을 이용하는 스캐터링 바아들을 포함하도록 수정된 마스크(여기서는, 마스크 레이아웃이라고도 함)를 예시한다. 상기 마스크는 프린트될 피처(12) 및 스캐터링 바아(13) 모두를 포함한다. 도 2에 도시된 바와 같이, 현 기술들은 마스크 레이아웃에 있어서 과도한 개수의 스캐터링 바아의 짧은 조각(15)들을 초래한다. 하지만, 마스크 제조 공정 한계로 인하여, 수많은 짧은 조각의 스캐터링 바아들이 제거되어야 하므로, 프린팅 성능의 원치 않는 저하를 초래할 수 있다.
도 2는 또한 상술된 세번째 관심사를 예시한다. 도시된 바와 같이, 서로 근접하여 위치한 수직 및 수평 스캐터링 바아(13) 어떤 것도 서로 연결되지 않는다. 이는 마스크 디자인 내에 스캐터링 바아를 배치시키는 현 기술들에 있어서, 예컨대 프린트될 피처의 코너에 인접한 수직 및 수평 스캐터링 바아들이 서로 이격되도록 당겨지게 됨으로써 스캐터링 바아들의 교차부의 묘화를 막도록 하는 것이 요구된다는 사실에 기인한다. 하지만, 상술된 바와 같이, 마스크로부터 스캐터링 바아 부분들을 제거하면, 프린팅 성능의 원치 않는 저하를 초래한다.
따라서, 개선된 OPC 및 프린팅 성능을 위하여 앞선 문제점들을 극복하는, 마스크 내에 서브분해능 스캐터링 바아(어시스트 피처라고도 함)를 제공하는 방법이 요구된다.
아래의 기술은 마스크 레이아웃에 스캐터링 바아를 적용하는 신규 방법들을 설명한다.
상술된 요구사항들을 해결하기 위한 노력으로, 본 발명의 목적은 스캐터링 바아를 포함하도록 마스크를 수정하는 방법 및 기술을 제공하는 것으로, 이는 레이아웃 디자인에 포함된 개별적인 스캐터링 바아의 양을 줄이는 한편, 상기 스캐터링 바아가 차지하는 전체 면적을 늘리는 것을 특징으로 한다. 본 발명에 따른 방법은 또한 인접한 수직 및 수평 스캐터링 바아의 연결을 허용하여, 마스크 내에 포함된 코너 피처들의 향상된 프린팅 성능 및 격리된 피처들의 (즉, 스캐터링 바아들에 의해 완전히 둘러싸인) 완전한 보호를 제공하는, 신규 "챔퍼(chamfer)" 스캐터링 바아를 사용하는 것을 특징으로 한다.
보다 상세하게, 본 발명은 광근접성 보정 피처들을 포함하도록 마스크를 수정하는 방법에 관한 것으로, 이는 묘화될 피처들의 타겟 패턴을 획득하는 단계; 묘화될 피처들의 폭을 확장시키는 단계; 묘화될 피처들의 에지들에 인접하여 배치되는 어시스트 피처들을 포함하도록 마스크를 수정하는 단계(여기서, 상기 어시스트 피처들은 묘화될 피처들의 확장된 폭에 대응하는 길이를 가짐); 및 묘화될 피처들을 상기 확장된 폭에서 상기 타겟 패턴에 대응하는 폭으로 복귀시키는 단계를 포함하는 것을 특징으로 한다. 결과적인 수정된 마스크 레이아웃은, 타겟 패턴에 대응하는 폭을 갖는 묘화될 피처 및 어시스트 피처들의 조합을 포함한다.
본 발명은 또한 묘화될 피처 및 광근접성 보정 피처들을 포함하는 마스크를 형성하는 방법에 관한 것이다. 상기 방법은, 수직방향으로 연장되는 제1어시스트피처를 형성하는 단계; 수평방향으로 연장되는 제2어시스트피처를 형성하는 단계; 및 상기 제1어시스트피처를 상기 제2어시스트피처에 연결시키는 챔퍼 어시스트 피처를 형성하는 단계를 포함하여 이루어지며, 상기 챔퍼 어시스트 피처는 상기 제1어시스트피처 및 제2어시스트피처 양자 모두에 대해 소정 각도를 이루며 배치되는 것을 특징으로 한다.
본 발명은 종래 기술들에 비해 여러 장점들을 제공한다. 장점의 일례로서, 본 발명의 기술은 마스크 디자인 내에 포함된 개별적인 스캐터링 바아의 양을 줄이고, 상기 스캐터링 바아들이 차지하는 전체 면적을 늘린다는 점을 들 수 있다. 또한, 본 발명의 방법은 라인 단부(line ends)에 스캐터링 바아들을 배치하는 방법을 제공하는데, 이는 종래 기술들을 이용하여 생략될 수 있다. 이것은 마스크 제조 공정을 단순하게 하는 한편, 동시에 프린팅 성능을 향상시킬 수 있다. 상기 방법은 또한 인접한 수직 및 수평 스캐터링 바아의 연결을 허용하여, 레이아웃 내에 포함된 코너 피처들의 향상된 프린팅 성능 및 격리된 피처들의 (즉, 스캐터링 바아들에 의해 완전히 둘러싸인) 완전한 보호를 제공하는, 신규 "챔퍼(chamfer)" 스캐터링 바아를 사용하는 것을 특징으로 한다. 이러한 완전 둘러쌈(complete surround)은, 상기 디자인 피처들의 모든 부분들이, 스캐터링 바아들이 격리된 피처들에 제공하는 것으로 알려진 초점심도 개선을 수용한다는 것을 보장한다.
본 발명의 상기 및 기타 특징, 형태 및 장점들은 첨부 도면과 연계하여 후술하는 본 발명의 상세한 설명으로부터 보다 명백해질 것이다.
본 발명의 광근접성 보정 기술에 따르면, 스캐터링 바아를 포함하도록 마스크 레이아웃을 수정하는 방법 및 기술이 제공되는데, 이는 마스크 디자인 내에 포함된 스캐터링 바아의 양을 증가시키는 한편, 개별적인 스캐터링 바아들의 조각 개수를 최소화하는 것을 특징으로 한다. 상기 방법은 또한 인접한 수직 및 수평 스캐터링 바아의 연결을 허용하여, 레이아웃 내에 포함된 코너 피처들의 향상된 프린팅 성능 및 격리된 피처들의 (즉, 스캐터링 바아들에 의해 완전히 둘러싸인) 전 보호를 제공하는 신규 "챔퍼(chamfer)" 스캐터링 바아를 사용하는 것을 특징으로 한다.
도 3은 본 발명에 따른 방법을 이용하여 스캐터링 바아들을 포함하도록 수정된 예시적인 마스크 레이아웃을 예시하는데, 이는 "스캐터링 바아 연장 방법"이라고 불리운다. 도 3을 참조하면, 마스크 레이아웃은 기판 상에 프린트되는 수평 피처(31) 및 수직 피처(32) 뿐만 아니라, 수평 스캐터링 바아(34) 및 수직 스캐터링 바아(35)도 포함한다. 상술된 바와 같이, 스캐터링 바아(34, 35)의 치수는, 상기 스캐터링 바아들이 여전히 서브분해능이고, 묘화된 기판 상에 프린트되지 않도록 정해진다.
도시된 바와 같이, 상세히 후술되는 본 발명에 따른 스캐터링 바아 연장 방법을 이용하는 경우, 결과적인 마스크 레이아웃은 종래의 방법들에 비해 현저하게 많은 스캐터링 바아들을 포함한다. 이는 도 2 및 도 3을 비교해보면 명확하다. 도 3은 도 2와 같이 묘화될 동일한 기본적인 패턴(underlying pattern)을 예시한다는 것을 유의한다. 실제로, 본 발명에 따른 스캐터링 바아의 적용은, 스캐터링 바아들이 종래의 방법들을 이용하여 적용되었다면, 스캐터링 바아들을 포함하지 않는 영역들에 스캐터링 바아들을 배치시키게 된다. 예컨대, 도 3에 도시된 바와 같이, 마스크 레이아웃의 부분(36)들을 참조하면, 수평방향으로 위치한 스캐터링 바아(34)는, 신규 공정을 이용하여 수직방향으로 위치한 라인(32)의 라인 단부에 인접하여 위치된다. 하지만, 이와는 대조적으로, 종래의 방법들을 이용하면, 상기 수평 스캐터링 바아들은 수직 라인 단부에 인접하여 배치되지 않는데, 그 이유는 상기 수직 라인 단부의 폭이 그것에 인접한 스캐터링 바아의 배치를 보장하기에는 너무 작게 여겨지기 때문이다.
도 4는 본 발명에 따라 스캐터링 바아들을 마스크 레이아웃에 적용하는 방법을 설명하는 예시적인 흐름도이고, 도 5a 내지 도 5c는 도 4의 공정을 예시한다. 도 4를 참조하면, 제1단계(단계 41)는 기판 상에 묘화될 원하는 패턴(즉, 타겟 패턴)을 획득하는 것이다. 도시된 바와 같이, 타겟 패턴은 수직 피처(32) 및 수평 피처(31)를 포함한다(본 명세서에서 피처들은, 설명을 간단히 하기 위하여 서로 직교하여 연장되는 두 세트의 피처들을 참조하는 경우, 수직 또는 수평 피처들로 불리운다는 점에 유의한다). 다음 단계(단계 42)에서는 모든 피처들이 수평방향으로 연장되어, 그 수평 에지들을 신장시킨다. 그러면, 상기 방향으로 서로 충분히 근접한 소정의 피처들이 머지(merge)될 것이다. 수평방향으로 연장된 피처들의 확대된 영역은 도 5a에 도면번호 51로 표시되어 있다. 단계 42에서 피처들이 수평방향으로 확장되는 정도는, 스캐터링 바아들이 타겟 패턴의 수평 에지의 단부 너머로 얼마나 멀리 연장될 지를 결정하고, 또한 수평 분리 피처들이 최대 얼마까지 머지될 것인지를 결정한다. 주어진 실시예에서, 상기 거리는 주된 피처와 그 스캐터링 바아 사이의 거리와 거의 같도록 선택된다(다수의 스캐터링 바아가 에지에 인접하여 배치되는 경우에는, 가장 근접한 스캐터링 바아까지의 거리). 이러한 기준은 볼록한 코너에서의 스캐터링 바아들이 45도 각도로 바깥쪽으로 연장되도록 한다. 그 이외에, 수직 스캐터링 바아가 보다 유용한 경우에는, 결과적인 수평 스캐터링 바아가 수평 에지보다 수직 에지에 보다 근접할 것이다. 또한, 도 5a에 도시된 바와 같이, 수평방향으로 연장된 피처들은 연장된 수평 에지면을 나타낸다는 점에도 유의한다. 다음 단계(단계 43)는 확장된 피처들의 각각의 수평 에지에 인접한 수평 스캐터링 바아(57)들을 생성하는 단계이다. 다시 말해, 마스크 패턴은, 수평 피처 에지들에 인접하여 배치된 수평 스캐터링 바아(57)들을 포함하도록 수정된다.
일단 수평 스캐터링 바아들이 생성되었다면, 공정에서의 다음 단계는 유사한 방식으로 수직 피처 에지들에 인접하여 배치될 수직 스캐터링 바아(59)들을 생성하는 단계이다. 도 4를 다시 참조하면, 제1단계(단계 44)는 각각의 피처를 수직 방향으로 확장시키는 것이다. 주어진 실시예에서, 수직방향으로 충분히 근접한 피처들은 그들이 이웃하는 피처들과 결합하도록 확장된다. 상기 피처들의 확대된 영역들은 도 5b에 도면번호 56으로 표시되어 있다. 피처들의 수직 크기의 증가 양은 상술된 수평 연장과 동일한 방식으로 제한된다(즉, 주어진 실시예에서, 연장되는 정도는 주된 피처 및 인접한 스캐터링 바아 사이의 거리가 되도록 선택된다). 또한, 도 5b에 도시된 바와 같이, 연장된 피처들은 연장된 수직 에지면을 나타낸다는 것에 유의한다. 다음 단계(단계 45)는 수직으로 확장된 피처들의 각각의 수직 에지에 인접한 수직 스캐터링 바아(59)를 생성하는 단계이다. 다시 말해, 마스크 패턴은 수직 피처 에지에 인접하여 배치된 수직 스캐터링 바아들을 포함하도록 수정된다.
또한, 주어진 실시예에서는, 수직 및 수평 피처들을 확장시키는 경우, 도 5a 및 도 5b에 도시된 바와 같이, 각각의 수직 에지 및 수평 에지가 각각의 단계에서 확장된다는 점에도 유의한다(단순히 현저하게 수직 또는 수평으로 간주될 수 있는 피처들은 아님). 예컨대, 도 5b를 참조하면, 수직 피처(62)의 수평 에지(61)는 확장 단계 시에 연장된다. 이는 후속해서 수직 피처(61)에 인접한 연장된 스캐터링 바아의 배치를 초래한다. 모든 수직 에지들은 도 5a에 도시된 단계(42) 시에 유사한 방식으로 확장되며, 이는 수평 피처(34)에 인접한 연장된 스캐터링의 배치를 초래한다.
일단 단계 45가 완료되면, 단계 46에서, 도 5c를 참조하면, 연장된 수직 스캐터링 바아 및 연장된 수평 스캐터링 바아 모두를 구비한 마스크 레이아웃은, 수직 스캐터링 바아 및 수평 스캐터링 바아들이 서로 교차(즉, 중첩)하는 소정 영역을 판정하도록 검사되어, 모든 교차 영역들이 상기 마스크 레이아웃으로부터 삭제된다. 상기 공정의 최종 단계(단계 47)에서, 확장된 수직 및 수평 피처들은 그 원래 크기로 복귀한다. 따라서, 앞선 공정 후, 마스크 레이아웃은 연장된 수직 및 수평 스캐터링 바아들을 포함하도록 수정된 원래의 타겟 패턴을 포함한다. 도 5c는 상기 교차 영역들을 삭제하기 전의 수정된 마스크 레이아웃을 예시한다.
도 6은 본 발명에 따라서 상술한 스캐터링 바아 적용 공정의 완료 후의 마스 크 레이아웃을 도시한다. 도 7는 종래 기술의 스캐터링 바아 기술을 이용하여 도 6에 나타낸 동일한 타겟패턴에 대한 스캐터링 바아의 적용을 도시한다. 도면들의 비교에 의해 알 수 있는 바와 같이, 신규 스캐터링 바아 연장 방법에 의하면 종래 기술을 이용해서는 보정되지 않는 영역내에 스캐터링 바아가 배치되는 결과가 생겨난다. 상기 신규 방법에 의하면 또한 마스크 디자인 내에 포함된 개별적인 스캐터링 바아 세그먼트의 수가 감소되는 결과가 생겨난다(즉, 많은 수의 더욱 작은, 개별적인 스캐터링 바아 디자인들이 단일의 연속적인 스태터링 바로 대체된다). 도 5a 내지 도 5c 및 도 6의 바닥부들은, 이들 도면에 보여진 마스크 패턴의 단부를 나타내지 않는다는 점에 유의한다(즉, 전체 타겟 패턴의 일부만이 도시된다). 만약 이들 도면의 바닥부가 타겟 패턴의 실제의 단부에 대응한다면, 스캐터링 바아들은 또한 이들에 인접하게 배치될 것이다. 하기에서 더욱 상술하는 바와 같이, 도 13a 및 도 13b에 도시된 바와 같은 신규 "챔퍼(chamfer)" 스캐터링 바아들을 이용하여, 교차 영역들의 제거에 의해 생성된, 이러한 인접하는 수직 및 수평 스캐터링 바아들을 연결하는 것도 가능하다.
본 발명의 방법은 또한 신규 "챔퍼 연결형" 스캐터링 바아들을 이용하는 것을 수반하는데, 이는 (챔퍼 스캐터링 바아들을 통하여) 인접하는 수직 및 수평 스캐터링 바아들의 단부들 사이의 연결을 허용한다. 하기에 논의되는 바와 같이, 챔퍼 스캐터링 바아는 타겟 패턴들의 코너 피처들에 인접한 스캐터링 바아들을 이용하는 것과 관련된 문제들을 제거한다.
도 8은 본 발명에 따라 "챔퍼" 스캐터링 바아를 생성하는 예시적인 방법을 기술하는 플로우 차트이다. 공정을 도시하는 도 9 내지 11을 참조하면, 제 1 단계(81)는, 수평 및 수직 스캐터링 바아들(72, 73)이 도 9에 도시된 바와 같이 서로 교차하도록 수평 스캐터링 바아(72)와 수직 스캐터링 바아(73) 둘 모두를 타겟 패턴의 코너 피처(74)에 인접하게 배치하는 것이다. 다음 단계(단계 83)에서, 수평 및 수직 스캐터링 바아들의 두 코너가 도 10에 도시된 바와 같이 서로 접촉하도록 수평 및 수직 스캐터링 바아들(72, 73)의 교차하는 단부들이 서로로부터 후퇴(pull back)된다. 다음 단계(단계 85)에서, 코너피처에 노출된 삼각형 모양의 피처(76)(즉, 챔퍼 스캐터링 바아)의 표면이 도 11에 도시된 바와 같이 수평 및 수직 스캐터링 바아들(72, 73)과 실질적으로 45°의 각도를 형성하도록 챔퍼 스캐터링 바아(76)가 수평 및 수직 스캐터링 바아들(72, 73)의 두 에지와 접촉하도록 배치된다. 이 실시예에서는 챔퍼 스캐터링 바아가 본질적으로 45°,45° 및 90°의 각도들을 갖는 삼각형 피처를 형성함에 유의한다. 또한 피처의 코너 영역에 인접하여 교차하는 것이 허용된 수평 및 수직 스캐터링 바아들(이는 전형적으로 교차점에서 바람직하지 않는 블로브(blob)를 프린팅하는 결과를 생기게 함)과 비교하여 챔퍼 스캐터링 바아는 프린팅될 가능성이 실질적으로 적다는 점에 유의한다.
또한 이 실시예에서 개시된 챔퍼 스캐터링 바아는 45°의 동일한 각도를 갖는 직각 삼각형 구성을 나타내고 있으나, 반드시 이러한 구성에 한정되지는 않는다는 점에 유의한다. 상술하는 바와 같이, 챔퍼 스캐터링 바아는 다른 구성들을 갖는 것이 가능한데, 이러한 구성들 각각은 주어진 공정 및 주어진 타겟 패턴 레이아웃에 대하여 선택되고 최적화될 수 있다. 주요한 측면 중 하나는, 수직 및 수평 스캐 터링 바아들의 교차에 의하여 형성/한정된 정사각형 영역에 비하여 챔퍼 스캐터링 바아는 스캐터링 바아의 영역에서의 감소를 보여준다는 점이다.
도 12a는 동일한 방향으로 연장된 2개의 평행 스캐터링 바아 라인들을 연결하는 신규 챔퍼 스캐터링 바아의 사용을 도시한다. 대조적으로, 도 12b는 종래 기술을 이용하여 이러한 평행 스캐터링 바아들이 어떻게 연결되었는지를 도시한다. 도 12a에 도시된 바와 같이, 챔퍼(91)는 스캐터링 바아들(92)의 수직 라인들에 대하여 대략 45°각도에 있는 라인들을 갖는 평행사변형(parallelogram)으로 구성된다. 다시 말하면, 이 실시예에서 챔퍼(91)는 나란히 사이드-대-사이드(side-to-side)로 위치된 2개의 직각 삼각형을 포함한다. 물론, 챔퍼(91)는 또한 수평 방향으로 서로에 대하여 평행하게 배치된 스캐터링 바아들을 연결시키는데 이용될 수도 있다. 아래 도 15에 도시된 바와 같이, 평행한 방향으로 연장된 스캐터링 바아들을 커플링시키는 각진 챔퍼(angled chamfer)의 사용은 전체적인 스캐터링 바아가 프린트될 피처의 에지에 더욱 가깝게 따르도록 하는 것을 허용한다. 본 발명의 챔퍼의 사용은, 도 12b에 도시된 "코너 터칭" 콘택("corner touching" contact)들과 비교하여 더욱 예측가능한 결과들과 함께 형성될 수 있고, 따라서 다이-대-데이터 기반 검사와 관련된 관심사(issue)가 감소됨을 나타낸다는 점에 유의한다.
도 13a 및 도 13b는 프린팅 성능을 최적화하기 위하여 본 발명의 챔퍼 스캐터링 바아가 어떻게 조정될 수 있는지를 도시한다. 도 13a는, 챔퍼(76)가 45°,45° 및 90°의 각도를 갖는 직각 삼각형으로 형성된, 도 11에 상술된 것과 동일한 챔퍼를 도시한다. 이의 변형례로서 도 13b는 챔퍼(95)가 수직 및 수평 스캐터링 바 아들에 대하여 대략 45°의 각도에 있는 2개의 평행 라인들을 구비한 실질적인 사다리꼴 구성(trapezoidal configuration)을 갖는 예를 도시한다.
(도 13a에 도시된 챔퍼와는 반대로) 도 13b에 도시된 것과 같은 더욱 긴 챔퍼의 사용은 더욱 긴 길이에 기인하여 마스크 상에서 검사하기가 더욱 용이할 수 있는 몇몇 상황에서는 바람직할 것이라는 점에 유의한다. 코너 외측들을 둘러싸기 위해서, 원형 대칭인 프린팅 시스템을 가정하면, 이상적인 스캐터링 바아는 주 피처(primary feature)로부터 일정한 거리를 유지하는 아크 모양의(arc-shaped) 스캐터링 바아일 것이다. 이러한 이상적인 스캐터링 바아는 도 15b에 도시된 것과 같은 45-도 챔퍼들로 합리적으로 근사화될 수 있다.
도 13a 및 도 13b에 도시된 챔퍼들은 타겟 레이아웃내의 피처들의 코너 내측코너 내측를 개선시키는데 사용되는 한편, 상기 레이아웃내의 피처들의 코너 외측들을 커버하는데 상기 챔퍼들이 사용되는 것도 가능하다. 도 14a 및 도 14b는 도 13a 및 도 13b에 도시된 챔퍼들이 각각 피처의 코너 외측을 커버하는데 어떻게 사용될 수 있는지를 도시한다.
또한, 신규 챔퍼 스캐터링 바아의 결과로서, 이제 격리된 피처들을 스캐터링 바아들로 완전히 에워싸는 것도 또한 가능하다. 도 15a 및 도 15b는 도 13a 및 도 13b에 도시된 스캐터링 바아들이 수평 및 수직 스캐터링 바아들과 조합하여 프린트될 피처를 에워싸는데 어떻게 이용될 수 있는지를 도시한다. 도 13a 및 도 13b에 도시된 스캐터링 바아들의 조합(combination)이 주어진 피처를 에워싸는데 이용될 수 있음에 또한 유의한다.
챔퍼 스캐터링 바아의 또 하나의 변형례는 도 16에 도시된다. 도 16을 참조하면, 챔퍼(97)는 실질적인 아치형(arcuate)(즉, 반원 구성)이고, 수직 및 수평 스캐터링 바아들의 라인 단부들 또는 코너들이 연결되는 것을 가능하게 한다. 도 16에 도시된 바와 같이, 챔퍼(97)는 피처(74)를 에워싸기 위하여 2개의 수직 스캐터링 바아들(73)을 함께 커플링시키는데 이용된다.
상기에서 살펴본 바와 같이, 스캐터링 바아들을 형성하는 본 발명의 방법 및 기술들은 종래의 기술에 비하여 중요한 이점을 제공한다. 하나의 이점은, 본 발명의 기술이 레이아웃 디자인에 포함된 개별적인 스캐터링 바아들의 양을 감소시키고, 스캐터링 바아들이 차지하는 전체적인 영역을 감소시킨다는 점이다. 또한, 본 발명의 방법은 라인 단부들에 스캐터링 바아들을 배치하는 것을 허용하여 종래 기술을 이용하는 것이 생략될 수 있다. 이로써 마스크 제작 공정이 단순화되는 한편, 동시에 프린팅 성능을 개선시킨다. 본 발명의 방법은 또한 인접하는 수직 및 수평 스캐터링 바아들의 연결을 허용하는 신규 "챔퍼" 스캐터링 바아의 사용을 허용하고, 이에 의해 피처들에 대한 최대의 디포커스 보호 뿐만아니라, 격리된 피처의 전체 보호(즉, 스캐터링 바아들에 의하여 완전히 둘러싸임) 뿐만아니라, 레이아웃내에 포함된 코너 피처들의 개선된 프린팅 성능을 제공한다. 이러한 완전한 둘러싸임에 의하여 스캐터링 바아들이 격리된 피처들에 제공되는 것으로 알려진 초점심도 개선을 이러한 디자인 피처들의 모든 부분들이 수용한다는 것이 확실하게 된다.
본 발명의 방법은 본 명세서에 상술된 방법들이 마스크 생성공정시 자동적으로 수행되도록 소프트웨어로 구현될 수 있음에 유의한다. 또한 본 명세서에서는 특 별히 언급되지는 않았지만, 연장된 수직 및 수평 스캐터링 바아들 사이의 교차의 영역들을 식별하는 공정 및 마스크 디자인으로부터의 이들의 후속 제거 공정도 본 발명이 속하는 기술분야의 당업자라면 잘 이해될 수 있을 것이다. 그 자체로서 이 공정에 대한 더이상의 기재는 본 명세서에 제공되지 않는다. 또한, 스캐터링 바들은 디자인 내의 모든 피처 에지에 인접하게 배치되지는 않는다는 점에 유의한다. 예를 들면, 타겟 디자인내에서 조밀하게 패킹(packing)된 피처들은 일반적으로 이에 인접한 스캐터링 바아들의 배치를 위한 후보자(candidate)들이 아닐 것이다.
도 17은 본 발명의 도움을 받아 디자인된 마스크를 이용하기에 적합한 리소그래피 투영장치를 개략적으로 도시한 도면이다. 상기 장치는,
- 방사선의 투영빔(PB)을 공급하는 방사선시스템(Ex, IL)(이 경우에는 특별히 방사선시스템이 방사선소스(LA)도 포함한다);
- 마스크(MA)(예를 들어, 레티클)를 유지시키는 마스크 홀더가 마련된, 아이템(PL)에 대하여 마스크를 정확히 위치시키는 제1위치설정수단에 연결된 제1대물테이블(마스크테이블)(MT);
- 기판(W)(예를 들어, 레지스트 코팅된 실리콘 웨이퍼)을 유지시키는 기판 홀더가 마련된, 아이템(PL)에 대하여 기판을 정확히 위치시키는 제2위치설정수단에 연결된 제2대물테이블(기판테이블)(WT);
- 기판(W)의 타겟부(C)(1이상의 다이를 포함)에 마스크(MA)의 조사된 부분을 묘화하는 투영시스템("렌즈")(PL)(예를 들어, 굴절형, 카톱트릭 또는 카타디옵트릭 광학 시스템)을 포함하여 이루어진다.
도시된 바와 같이, 상기 장치는 (투과마스크를 구비한) 투과형이다. 하지만, 일반적으로는, 예를 들어 (반사마스크를 구비한) 반사형일 수도 있다. 대안적으로, 상기 장치는 마스크 사용의 대안으로서 또 다른 종류의 패터닝수단을 채용할 수도 있다; 예시는 프로그램가능한 거울 배열 또는 LCD 행렬을 포함한다.
상기 소스(LA)(예를 들어, 수은 램프, 엑시머 레이저)는 방사선의 빔을 생성한다. 상기 빔은 곧바로 조명시스템(일루미네이터)(IL)에 들어 가거나, 예를 들어 빔 익스펜더(Ex)와 같은 컨디셔닝 수단을 거친 다음에 조명시스템으로 들어간다. 상기 일루미네이터(IL)는 빔내의 세기 분포의 외반경 및/또는 내반경 크기(통상 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정수단(AM)을 포함하여 이루어진다. 또한, 그것은 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 그 밖의 다른 다양한 구성요소들을 포함하고 있다. 이러한 방식으로, 마스크(MA)에 도달하는 빔(PB)은 그 단면에 소정의 균일성과 세기 분포를 갖게 된다.
도 17과 관련하여, 상기 소스(LA)는 리소그패피 투영장치의 하우징내에 놓이지만(흔히 예를 들어, 상기 소스(LA)가 수은 램프인 경우에서처럼), 그것이 리소그래피 투영장치로부터 멀리 떨어져 있어서 그것이 만들어 낸 방사선빔이 (가령, 적절한 지향 거울에 의해) 장치 내부로 들어오게 할 수도 있다. 후자의 시나리오는 방사선소스(LA)이 흔히 (예컨대, KrF, ArF 또는 F2 레이징에 기초한) 엑시머레이저인 때의 경우이다. 본 발명과 청구 범위는 이들 시나리오를 모두 포함하고 있다.
이후, 상기 빔(PB)은 마스크테이블(MT)상에 유지되는 마스크(MA)를 거친다. 상기 마스크(MA)를 지난 빔(PB)은 렌즈(PL)를 통과하여 기판(W)의 타겟부(C)위에 빔(PB)을 포커스한다. 제2위치설정수단(및 간섭계측정수단(IF))에 의하여, 기판테이블(WT)은, 예를 들어 빔(PB)의 경로내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제1위치설정수단은 예를 들어, 마스크 라이브러리로부터 마스크(MA)를 기계적으로 회수한 후에, 또는 스캔하는 동안, 빔(PB)의 경로에 대하여 마스크(MA)를 정확히 위치시키도록 사용될 수 있다. 일반적으로 대물테이블(MT, WT)의 이동은, 도 17에 명확히 도시되지는 않았지만, 장행정모듈 (long-stroke module)(개략 위치설정) 및 단행정모듈(미세 위치설정)의 도움을 받아 실현될 것이다. 하지만, (스텝-앤드-스캔툴과는 대조적으로) 웨이퍼스테퍼의 경우에는, 마스크테이블(MT)은 단지 단행정 엑추에이터에 연결되거나 또는 고정될 수도 있다.
상술한 장치는 다음의 두가지 상이한 모드로 사용될 수 있다.
- 스텝 모드에서는, 마스크테이블(MT)은 기본적으로 정지상태로 유지되며, 전체 마스크 이미지는 한번에(즉, 단일 "섬광"으로) 타겟부(C)에 투영된다. 이후 기판테이블(WT)이 x 및/또는 y 방향으로 쉬프트되어 다른 타겟부(C)가 빔(PB)에 의하여 조사될 수 있다.
- 스캔 모드에서는, 소정 타겟부(C)가 단일 "섬광"으로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 마스크테이블(MT)이 v의 속도로 소정 방향(소위 "스캔방향", 예를 들어 y 방향)으로 이동 가능해서, 투영빔(PB)이 마스크 이미지의 모든 부분을 스캐닝하도록 되고, 이와 함께 기판테 이블(WT)은 속도 V=Mv로, 동일한 방향 또는 그 반대 방향으로 동시에 이동하는 데, 이 때 M은 렌즈(PL)의 배율(통상 M=1/4 또는 1/5)이다. 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
여기에 개시된 개념들은 서브 파장 피처들을 묘화하는 소정의 일반 묘화 시스템을 시뮬레이션 또는 수학적으로 모델링할 수 있으며, 특히 현저하게 보다 작은 크기의 파장을 생성할 수 있는 신생 묘화 기술에 유용할 수 있다. 이미 사용 중인 신생 기술들은, ArF 레이저를 사용하여 193nm 파장을 생성할 수 있으며, 심지어는 플루오르 레이저를 사용하여 157nm 파장을 생성할 수도 있는 EUV(극자외선) 리소그래피를 포함한다. 한편, EUV 리소그래피는 싱크로트론을 이용하여 또는 고에너지 전자들을 갖는 재료(고체 또는 플라즈마)를 때림(hitting)으로써 20~5nm 범위 내의 파장을 생성할 수 있으므로, 상기 범위 내의 광자를 생성할 수 있다. 대부분의 재료가 상기 범위 내에서 흡수성이기 때문에, 몰리브덴 및 실리콘의 멀티-스택을 갖는 반사형 거울에 의해 조명이 생성될 수 있다. 상기 멀티-스택 거울은, 각 층의 두께가 1/4 파장인 40층 쌍의 몰리브덴 및 실리콘을 가진다. 훨씬 더 작은 파장은 X-레이 리소그래피로 생성될 수 있다. 통상적으로, 싱크로트론은 X-레이 파장을 생성하는데 사용된다. 대부분의 재료가 x-레이 파장에서 흡수성이므로, 흡수 재료의 얇은 피스(piece)는 피처들이 프린트될 곳이거나(포지티브 레지스트) 프린트되지 않을 곳(네거티브 레지스트)을 정의한다.
여기에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 묘화하는데 이용될 수 있지만, 상기 개시된 개념들은 여타의 리소그래피 묘화시스템, 예컨대 실리콘 웨이퍼 이외의 기판 상에 묘화하는데 사용되는 것으로 이용될 수도 있음을 이해하여야 한다.
실행가능한 코드를 포함하는, 프로그래밍을 수반하는 컴퓨터시스템의 소프트웨어 기능성들이 상술된 묘화 모델을 구현하는데 이용될 수 있다. 소프트웨어 코드는 범용 컴퓨터에 의해 실행가능하다. 작동시, 코드 및 관련된 데이터 기록들은 범용 컴퓨터 플랫폼 내에 저장될 수 있다. 하지만, 다른 때에는, 상기 소프트웨어가 다른 장소에 저장되거나 및/또는 적절한 범용 컴퓨터시스템으로의 로딩을 위하여 이동될 수도 있다. 이에 따라, 상술된 실시예들은 하나 이상의 기계-판독가능한 매체에 의해 전달된 코드의 1 이상의 모듈 형태의 1 이상의 소프트웨어 제품을 포함한다. 컴퓨터시스템의 프로세서에 의한 상기 코드의 실행은, 특히 본 명세서에 논의되고 예시된 실시예들에서 수행된 방식으로, 상기 플랫폼이 카탈로그 및/또는 소프트웨어 다운로딩 기능들을 구현하도록 할 수 있다.
여기서, 컴퓨터 또는 기계 "판독가능한 매체"와 같은 용어는, 실행을 위하여 프로세서에 명령어들 제공하는 것에 관여하는 소정의 매체를 칭한다. 이러한 매체는 여러 형태를 취하는데, 비휘발성 매체, 휘발성 매체 및 전송 매체들을 포함하기는 하지만, 여기에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 상술된 서버 플랫폼 중 하나로서 작동하는 소정의 컴퓨터(들)내의 소정의 기억장치와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 상기 컴퓨터 플랫폼의 메인 메모리와 같은 다이내믹 메모리를 포함한다. 물리적인 전송 매체는 컴퓨터시스템 내에 버스를 포함하는 와이어를 포함하는 섬유 다발, 구리선 및 동축케이블 등을 포함한다. 반송파(carrier-wave) 전송 매체는 전기 신호나 전자기 신호 또는 무선 주파수(RF) 및 적외(IR) 데이터 통신 시에 생성되는 것과 같은 탄성파 또는 광파의 형태를 취할 수 있다. 그러므로 컴퓨터-판독가능한 매체의 일반적인 형태들은 예컨대: 플로피 디스크, 플렉시블 디스크, 하드 디스크, 자기 테이프, 여타의 자기 매체, CD-ROM, DVD, 여타의 광학매체를 포함하며, 흔하지는 않지만 펀치 카드, 페이퍼 테잎(paper tape), 구멍들의 패턴을 갖는 여타의 물리적인 매체, RAM, PROM, EPROM, FLASH-EPROM, 여타의 메모리 칩이나 카트리지, 반송파 전달 데이터나 명령어, 상기 반송파를 전달하는 케이블이나 링크, 또는 컴퓨터가 프로그래밍 코드 및/또는 데이터를 판독할 수 있는 여타의 매체를 포함한다. 이들 컴퓨터 판독가능한 매체의 여러 형태들은 실행을 위하여 프로세서에 1 이상의 명령어의 1 이상의 시퀀스 전달 시에 수반될 수 있다.
지금까지 본 발명을 상세히 기술 및 예시하였지만, 본 발명은 예시 및 실시예에 의해서만 제한되는 것은 아니며, 본 발명의 범위는 첨부된 청구항의 용어에 의해서만 제한되는 것이 자명하다.
도 1a 내지 도 1c는 스캐터링 바아들을 마스크 디자인에 적용하는 현재 공지된 방법들을 이용한 스캐터링 바아들의 적용으로 인해 야기되는 문제점들을 예시한 도면.
도 2는 스캐터링 바아들을 마스크 레이아웃에 적용하는 현재 공지된 방법들을 이용한 스캐터링 바아들을 포함하도록 수정된 예시적인 마스크 레이아웃을 예시한 도면.
도 3은 본 발명에 따른 방법을 이용한 스캐터링 바아들을 포함하도록 수정된 예시적인 마스크 레이아웃을 예시한 도면.
도 4는 본 발명에 따라 마스크 레이아웃에 스캐터링 바아들을 적용하는 방법을 설명하는 예시적인 흐름도.
도 5a 내지 도 5c는 도 4의 흐름도에 기술된 공정을 예시하는 도면.
도 6은 본 발명에 따른 스캐터링 바아 적용 공정의 완료 후의 마스크 레이아웃을 예시한 도면.
도 7은 종래의 스캐터링 바아 기술들을 이용하여 도 6에 도시된 동일한 타겟 패턴에 대한 스캐터링 바아들의 적용을 예시한 도면.
도 8은 본 발명에 따른 "챔퍼" 스캐터링 바아를 생성하는 예시적인 방법의 흐름도.
도 9 내지 도 11은 도 8의 흐름도에 기술된 공정을 예시한 도면.
도 12a는 동일한 방향으로 연장되는 2개의 평행한 스캐터링 바아 라인들을 연결하도록 본 발명의 신규 챔퍼 스캐터링 바아를 사용하는 것을 예시한 도면.
도 12b는 종래의 기술들을 이용하여 평행한 스캐터링 바아들이 연결되는 방법을 예시한 도면.
도 13a 및 도 13b는 본 발명에 따른 챔퍼 스캐터링 바아가 프린팅 성능을 최적화하도록 조정될 수 있는 방법을 예시한 도면.
도 14a 및 도 14b는 도 13a 및 도 13b에 예시된 챔퍼들이 각각 피처의 코너 외측을 커버하도록 이용될 수 있는 방법을 예시한 도면.
도 15a 및 도 15b는 도 13a 및 도 13b에 도시된 챔퍼 스캐터링 바아들이 각각 프린트될 피처를 에워싸도록 수평 및 수직 스캐터링 바아들과 조합되어 이용될 수 있는 방법을 예시한 도면.
도 16은 본 발명에 따른 챔퍼 스캐터링 바아의 또 다른 실시예를 예시한 도면.
도 17은 본 발명의 도움을 받아 디자인된 마스크를 이용하기에 적합한 리소그래피 투영장치를 개략적으로 도시한 도면.

Claims (18)

  1. 묘화될 피처들 및 광 근접성 보정 피처들을 포함하여 이루어지는 마스크를 형성하는 방법에 있어서,
    제 1 방향으로 연장된 제 1 어시스트 피처를 형성하는 단계;
    제 2 방향으로 연장된 제 2 어시스트 피처를 형성하되, 상기 제 1 방향과 상기 제 2 방향은 서로에 대하여 직교하도록 하는 단계; 및
    상기 제 2 어시스트 피처에 상기 제 1 어시스트 피처를 연결시키는 챔퍼 어시스트 피처를 형성하는 단계를 포함하되, 상기 챔퍼 어시스트 피처는 상기 제 1 어시스트 피처 및 상기 제 2 어시스트 피처 모두에 대하여 각도를 이루도록 배치되는 것을 특징으로 하는 마스크 형성방법.
  2. 제 1 항에 있어서,
    상기 챔퍼 어시스트 피처는, 상기 제 1 어시스트 피처의 주축(primary axis) 및 상기 제 2 어시스트 피처의 주축에 대하여 45°의 각도로 배치되는 주축을 가지는 것을 특징으로 하는 마스크 형성방법.
  3. 제 1 항에 있어서,
    상기 챔퍼 어시스트 피처는 삼각형의 구성을 가지는 것을 특징으로 하는 마스크 형성방법.
  4. 제 3 항에 있어서,
    상기 챔퍼 어시스트 피처는 45°, 45° 및 90°의 각도들을 가지는 직각 삼각형을 형성하는 구성을 가지는 것을 특징으로 하는 마스크 형성방법.
  5. 제 1 항에 있어서,
    상기 챔퍼 어시스트 피처는 사다리꼴 구성을 가지는 것을 특징으로 하는 마스크 형성방법.
  6. 묘화될 피처들 및 광 근접성 보정 피처들을 포함하여 이루어지는 마스크를 형성하는 방법에 있어서,
    복수의 제 1 어시스트 피처들을 형성하는 단계를 포함하되, 상기 복수의 제 1 어시스트 피처들 각각은 제 1 방향으로 연장되고;
    복수의 제 2 어시스트 피처들을 형성하는 단계를 포함하되, 상기 복수의 제 2 어시스트 피처들 각각은 제 2 방향으로 연장되고, 상기 제 1 방향과 상기 제 2 방향은 서로에 대하여 직교하고; 및
    복수의 챔퍼 어시스트 피처들을 형성하는 단계를 포함하되, 상기 복수의 챔퍼 어시스트 피처들 각각은 상기 복수의 제 2 어시스트 피처들 중 하나에 상기 제 1 어시스트 피처들 중 하나를 연결시키고, 상기 복수의 챔퍼 어시스트 피처들 각각은 상기 복수의 제 1 어시스트 피처들 및 상기 복수의 제 2 어시스트 피처들 모두 에 대하여 각도를 이루도록 배치되는 것을 특징으로 하는 마스크 형성방법.
  7. 제 6 항에 있어서,
    상기 복수의 제 1 어시스트 피처들의 일부 또는 전부, 상기 복수의 제 2 어시스트 피처들의 일부 또는 전부, 및 상기 챔퍼 어시스트 피처들의 일부 또는 전부는 묘화될 피처를 둘러싸도록 배열되는 것을 특징으로 하는 마스크 형성 방법.
  8. 제 6 항에 있어서,
    상기 복수의 챔퍼 어시스트 피처들 각각은, 상기 복수의 제 1 어시스트 피처들 각각의 주축 및 상기 복수의 제 2 어시스트 피처들 각각의 주축에 대하여 45°의 각도로 배치되는 주축을 가지는 것을 특징으로 하는 마스크 형성방법.
  9. 제 6 항에 있어서,
    상기 복수의 챔퍼 어시스트 피처들의 각각은 삼각형 구성 또는 사다리꼴 구성을 가지는 것을 특징으로 하는 마스크 형성방법.
  10. 하나 이상의 기계 판독가능한 매체에 의하여 전송가능한 실행가능 코드를 포함하는 프로그램물에 있어서, 하나 이상의 프로그램가능한 컴퓨터에 의한 상기 코드의 실행은 상기 하나 이상의 프로그램가능한 컴퓨터로 하여금 마스크에 형성된 패턴을 기판상으로 광학적으로 전사하는 상기 마스크를 형성하는 단계들의 시퀀스 를 수행하도록 하며, 상기 단계들은,
    제 1 방향으로 연장된 제 1 어시스트 피처를 형성하는 단계;
    제 2 방향으로 연장된 제 2 어시스트 피처를 형성하되, 상기 제 1 방향과 상기 제 2 방향은 서로에 대하여 직교하도록 하는 단계; 및
    상기 제 2 어시스트 피처에 상기 제 1 어시스트 피처를 연결시키는 챔퍼 어시스트 피처를 형성하는 단계를 포함하되, 상기 챔퍼 어시스트 피처는 상기 제 1 어시스트 피처 및 상기 제 2 어시스트 피처 모두에 대하여 각도를 이루도록 배치되는 것을 특징으로 하는 프로그램물.
  11. 제 10 항에 있어서,
    상기 챔퍼 어시스트 피처는, 상기 제 1 어시스트 피처의 주축 및 상기 제 2 어시스트 피처의 주축에 대하여 45°의 각도로 배치되는 주축을 가지는 것을 특징으로 하는 프로그램물.
  12. 제 10 항에 있어서,
    상기 챔퍼 어시스트 피처는 삼각형 구성을 가지는 것을 특징으로 하는 프로그램물.
  13. 제 12 항에 있어서,
    상기 챔퍼 어시스트 피처는 45°, 45° 및 90°의 각도들을 가지는 직각 삼 각형을 형성하는 구성을 가지는 것을 특징으로 하는 프로그램물.
  14. 제 10 항에 있어서,
    상기 챔퍼 어시스트 피처는 사다리꼴 구성을 가지는 것을 특징으로 하는 프로그램물.
  15. 하나 이상의 기계 판독가능한 매체에 의하여 전송가능한 실행가능 코드를 포함하는 프로그램물에 있어서, 하나 이상의 프로그램가능한 컴퓨터에 의한 상기 코드의 실행은 상기 하나 이상의 프로그램가능한 컴퓨터로 하여금 마스크에 형성된 패턴을 기판상으로 광학적으로 전사하는 상기 마스크를 형성하는 단계들의 시퀀스를 수행하도록 하며, 상기 단계들은,
    복수의 제 1 어시스트 피처들을 형성하는 단계를 포함하되, 상기 복수의 제 1 어시스트 피처들 각각은 제 1 방향으로 연장되고;
    복수의 제 2 어시스트 피처들을 형성하는 단계를 포함하되, 상기 복수의 제 2 어시스트 피처들 각각은 제 2 방향으로 연장되고, 상기 제 1 방향과 상기 제 2 방향은 서로에 대하여 직교하며; 및
    복수의 챔퍼 어시스트 피처들을 형성하는 단계를 포함하되, 상기 복수의 챔퍼 어시스트 피처들 각각은 상기 복수의 제 2 어시스트 피처들 중 하나에 상기 제 1 어시스트 피처들 중 하나를 연결시키고, 상기 복수의 챔퍼 어시스트 피처들 각각은 상기 복수의 제 1 어시스트 피처들 및 상기 복수의 제 2 어시스트 피처들 모두 에 대하여 각도를 이루도록 배치되며,
    상기 복수의 제 1 어시스트 피처들의 일부 또는 전부, 상기 복수의 제 2 어시스트 피처들의 일부 또는 전부, 및 상기 챔퍼 어시스트 피처들의 일부 또는 전부는 묘화될 피처를 둘러싸도록 배열되는 것을 특징으로 하는 프로그램물.
  16. 묘화될 피처들 및 광 근접성 보정 피처들을 포함하여 이루어지는 마스크를 형성하는 방법에 있어서,
    제 1 방향으로 연장된 제 1 어시스트 피처를 형성하는 단계;
    상기 제 1 방향과 평행한 방향으로 연장된 제 2 어시스트 피처를 형성하는 단계; 및
    상기 제 2 어시스트 피처에 상기 제 1 어시스트 피처를 연결시키는 챔퍼 어시스트 피처를 형성하는 단계를 포함하되, 상기 챔퍼 어시스트 피처는 상기 제 1 어시스트 피처 및 상기 제 2 어시스트 피처 모두에 대하여 각도를 이루도록 배치되는 것을 특징으로 하는 마스크 형성방법.
  17. 제 16 항에 있어서,
    상기 챔퍼 어시스트 피처는, 상기 제 1 어시스트 피처의 주축 및 상기 제 2 어시스트 피처의 주축에 대하여 45°의 각도로 배치되는 주축을 가지는 것을 특징으로 하는 마스크 형성방법.
  18. 제 16 항에 있어서,
    상기 챔퍼 어시스트 피처는 평행사변형 구성을 가지는 것을 특징으로 하는 마스크 형성방법.
KR1020080110996A 2003-06-30 2008-11-10 서브-하프 파장 리소그래피 패터닝을 위한 개선된 스캐터링바아 opc 적용 방법 KR100919858B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US48310503P 2003-06-30 2003-06-30
US60/483,105 2003-06-30
US50027203P 2003-09-05 2003-09-05
US60/500,272 2003-09-05

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020040050147A Division KR100903176B1 (ko) 2003-06-30 2004-06-30 서브-하프 파장 리소그래피 패터닝을 위한 개선된스캐터링 바아 opc 적용 방법

Publications (2)

Publication Number Publication Date
KR20080114639A true KR20080114639A (ko) 2008-12-31
KR100919858B1 KR100919858B1 (ko) 2009-09-30

Family

ID=33436772

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020040050147A KR100903176B1 (ko) 2003-06-30 2004-06-30 서브-하프 파장 리소그래피 패터닝을 위한 개선된스캐터링 바아 opc 적용 방법
KR1020080110996A KR100919858B1 (ko) 2003-06-30 2008-11-10 서브-하프 파장 리소그래피 패터닝을 위한 개선된 스캐터링바아 opc 적용 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020040050147A KR100903176B1 (ko) 2003-06-30 2004-06-30 서브-하프 파장 리소그래피 패터닝을 위한 개선된스캐터링 바아 opc 적용 방법

Country Status (7)

Country Link
US (4) US7354681B2 (ko)
EP (1) EP1494071A3 (ko)
JP (1) JP4520787B2 (ko)
KR (2) KR100903176B1 (ko)
CN (1) CN100480860C (ko)
SG (1) SG151079A1 (ko)
TW (1) TWI346250B (ko)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6792029B2 (en) * 2002-03-27 2004-09-14 Sharp Laboratories Of America, Inc. Method of suppressing energy spikes of a partially-coherent beam
SG151079A1 (en) * 2003-06-30 2009-04-30 Asml Masktools Bv Improved scattering bar opc application method for sub-half wavelength lithography patterning
KR100718216B1 (ko) * 2004-12-13 2007-05-15 가부시끼가이샤 도시바 반도체 장치, 패턴 레이아웃 작성 방법, 노광 마스크
DE102005002529B4 (de) * 2005-01-14 2008-12-04 Qimonda Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
DE102005002533B4 (de) * 2005-01-14 2007-09-13 Infineon Technologies Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
JP4728676B2 (ja) * 2005-03-30 2011-07-20 富士通セミコンダクター株式会社 フォトマスクの製造方法、及びそのフォトマスクを用いた半導体装置の製造方法
JP2007240949A (ja) * 2006-03-09 2007-09-20 Elpida Memory Inc マスクデータ作成方法及びマスク
CN101086622B (zh) * 2006-06-08 2010-05-12 中芯国际集成电路制造(上海)有限公司 一种应用反散射带的方法
KR100788372B1 (ko) * 2006-08-07 2008-01-02 동부일렉트로닉스 주식회사 Opc 마스크 패턴
KR100818713B1 (ko) * 2007-03-23 2008-04-02 주식회사 하이닉스반도체 노광 과정 중의 스컴을 억제하는 리소그래피 방법
CN101349861B (zh) * 2007-07-19 2010-09-08 上海华虹Nec电子有限公司 平滑规则式光学临近修正光掩膜图形的方法
CN101382728B (zh) * 2007-09-07 2010-07-28 北京京东方光电科技有限公司 灰阶掩膜版结构
CN101399244B (zh) * 2007-09-26 2011-05-18 力晶半导体股份有限公司 电路结构及用以定义此电路结构的光掩模
CN101452205B (zh) * 2007-11-30 2011-04-20 中芯国际集成电路制造(上海)有限公司 一种散射条生成方法
JP5529391B2 (ja) * 2008-03-21 2014-06-25 ルネサスエレクトロニクス株式会社 ハーフトーン型位相シフトマスク、そのハーフトーン型位相シフトマスクを有する半導体装置の製造装置、およびそのハーフトーン型位相シフトマスクを用いた半導体装置の製造方法
US7910267B1 (en) * 2008-12-12 2011-03-22 Western Digital (Fremont), Llc Method and system for providing optical proximity correction for structures such as a PMR nose
US8015512B2 (en) * 2009-04-30 2011-09-06 Macronix International Co., Ltd. System for designing mask pattern
KR101113326B1 (ko) * 2009-07-01 2012-03-13 주식회사 하이닉스반도체 포토마스크의 보조패턴 형성방법
US8546048B2 (en) 2010-10-29 2013-10-01 Skyworks Solutions, Inc. Forming sloped resist, via, and metal conductor structures using banded reticle structures
US8765329B2 (en) 2010-11-05 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Sub-resolution rod in the transition region
CN102486606B (zh) * 2010-12-03 2013-03-27 中芯国际集成电路制造(上海)有限公司 光刻方法
US8887106B2 (en) * 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US9213233B2 (en) * 2013-07-12 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography scattering bar structure and method
KR102305092B1 (ko) 2014-07-16 2021-09-24 삼성전자주식회사 포토리소그래피용 마스크와 그 제조 방법
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
US11380516B2 (en) 2017-04-13 2022-07-05 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
CN113050367A (zh) * 2019-12-27 2021-06-29 中芯国际集成电路制造(上海)有限公司 光学邻近效应修正方法和系统、掩膜版及其制备方法
CN113050365A (zh) * 2019-12-27 2021-06-29 中芯国际集成电路制造(上海)有限公司 光学邻近修正方法及系统、掩模版、设备与介质
CN117610495B (zh) * 2024-01-23 2024-04-16 合肥晶合集成电路股份有限公司 辅助图形的添加方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5242770A (en) * 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
WO1993020482A1 (en) * 1992-04-06 1993-10-14 Microunity Systems Engineering, Inc. Method for forming a lithographic pattern in a process for manufacturing semiconductor devices
US5354632A (en) * 1992-04-15 1994-10-11 Intel Corporation Lithography using a phase-shifting reticle with reduced transmittance
US5302477A (en) * 1992-08-21 1994-04-12 Intel Corporation Inverted phase-shifted reticle
US5256505A (en) * 1992-08-21 1993-10-26 Microunity Systems Engineering Lithographical mask for controlling the dimensions of resist patterns
US5300379A (en) * 1992-08-21 1994-04-05 Intel Corporation Method of fabrication of inverted phase-shifted reticle
US5881125A (en) * 1992-09-25 1999-03-09 Intel Corporation Attenuated phase-shifted reticle using sub-resolution pattern
US5424154A (en) * 1993-12-10 1995-06-13 Intel Corporation Lithographic emhancement method and apparatus for randomly spaced structures
US5447810A (en) * 1994-02-09 1995-09-05 Microunity Systems Engineering, Inc. Masks for improved lithographic patterning for off-axis illumination lithography
KR960002536A (ko) * 1994-06-29 1996-01-26
US5663893A (en) * 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
JP2783250B2 (ja) * 1996-05-01 1998-08-06 日本電気株式会社 露光用マスクパターンの補助パターン自動発生方法
JP2924804B2 (ja) * 1996-08-01 1999-07-26 日本電気株式会社 フォトマスク及びその製造方法、フォトマスクブランクス
DE69717975T2 (de) 1996-12-24 2003-05-28 Asml Netherlands Bv In zwei richtungen ausgewogenes positioniergerät, sowie lithographisches gerät mit einem solchen positioniergerät
US5821014A (en) * 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
USRE40043E1 (en) 1997-03-10 2008-02-05 Asml Netherlands B.V. Positioning device having two object holders
JPH117120A (ja) * 1997-06-18 1999-01-12 Sony Corp マスクパターン作成方法およびマスクパターン作成装置並びにマスク作成装置
KR100283408B1 (ko) * 1998-01-21 2001-04-02 김영환 반도체용마스크
US6373553B1 (en) * 1999-09-20 2002-04-16 Intel Corp. Photo-lithographic method to print a line-space pattern with a pitch equal to half the pitch of the mask
US6562522B1 (en) * 1999-10-29 2003-05-13 Intel Corporation Photomasking
JP2002055433A (ja) * 2000-06-13 2002-02-20 Asml Masktools Netherlands Bv 可変寸法を有するセリフを用いる光学的近接修正方法
US6413683B1 (en) * 2000-06-23 2002-07-02 International Business Machines Corporation Method for incorporating sub resolution assist features in a photomask layout
US6458495B1 (en) * 2000-06-30 2002-10-01 Intel Corporation Transmission and phase balance for phase-shifting mask
US6479195B1 (en) * 2000-09-15 2002-11-12 Intel Corporation Mask absorber for extreme ultraviolet lithography
FR2818253B1 (fr) * 2000-12-20 2003-04-04 Valois Sa Ensemble de conditionnement
US6632576B2 (en) * 2000-12-30 2003-10-14 Intel Corporation Optical assist feature for two-mask exposure lithography
EP1241525B1 (en) * 2001-03-14 2004-12-15 ASML MaskTools B.V. An optical proximity correction method utilizing ruled ladder bars as sub-resolution assist features
KR100589041B1 (ko) * 2001-03-30 2006-06-13 삼성전자주식회사 마스크 및 그 형성방법
US6703167B2 (en) * 2001-04-18 2004-03-09 Lacour Patrick Joseph Prioritizing the application of resolution enhancement techniques
US6553562B2 (en) * 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
US6548417B2 (en) * 2001-09-19 2003-04-15 Intel Corporation In-situ balancing for phase-shifting mask
US6627362B2 (en) * 2001-10-30 2003-09-30 Intel Corporation Photolithographic mask fabrication
US6625802B2 (en) * 2002-02-01 2003-09-23 Intel Corporation Method for modifying a chip layout to minimize within-die CD variations caused by flare variations in EUV lithography
SG151079A1 (en) * 2003-06-30 2009-04-30 Asml Masktools Bv Improved scattering bar opc application method for sub-half wavelength lithography patterning

Also Published As

Publication number Publication date
SG151079A1 (en) 2009-04-30
US20090233186A1 (en) 2009-09-17
KR100903176B1 (ko) 2009-06-17
JP4520787B2 (ja) 2010-08-11
US7485396B2 (en) 2009-02-03
KR100919858B1 (ko) 2009-09-30
EP1494071A3 (en) 2008-04-09
US8039180B2 (en) 2011-10-18
US7354681B2 (en) 2008-04-08
US20080206656A1 (en) 2008-08-28
US20050074677A1 (en) 2005-04-07
US7892707B2 (en) 2011-02-22
TW200508788A (en) 2005-03-01
KR20050002641A (ko) 2005-01-07
CN100480860C (zh) 2009-04-22
EP1494071A2 (en) 2005-01-05
JP2005031690A (ja) 2005-02-03
TWI346250B (en) 2011-08-01
CN1577107A (zh) 2005-02-09
US20110143268A1 (en) 2011-06-16

Similar Documents

Publication Publication Date Title
KR100919858B1 (ko) 서브-하프 파장 리소그래피 패터닝을 위한 개선된 스캐터링바아 opc 적용 방법
KR101185463B1 (ko) Na-시그마 노광세팅 및 디바이스 레이아웃을 이용하는 스캐터링 바아 opc에 대한 동시 최적화 방법, 프로그램물 및 장치
KR101072514B1 (ko) 코너에서의 라운딩 및 챔퍼들을 이용한 광근접성 보정 방법
KR100860328B1 (ko) 4분의 1 파장의 리소그래피에서 초점심도를 향상시키는 모델 기반 스캐터링 바아 배치를 위한 방법, 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체 및 장치
JP4464365B2 (ja) 近傍の影響を考慮した光学的近接効果補正を実行する装置、方法およびコンピュータ・プログラム
KR100592580B1 (ko) 쌍극조명에 사용하기 위한 모델-기반 레이아웃 변환을수행하는 방법 및 장치
KR100899359B1 (ko) 이중 노광 리소그래피를 수행하는 장치, 프로그램물 및방법
KR101226646B1 (ko) 회절 시그너처 분석에 기초한 설계 레이아웃에서의 최적의 패턴들의 선택
JP3645242B2 (ja) ダイポール式照明技術に関連して使用されるマスクの生成方法と生成装置
KR20050025095A (ko) 서브-파장 광학 리소그래피용 위상-평형 스캐터링바아들의 모델 기반 배치를 수행하는 방법 및 장치
KR101437575B1 (ko) 기판-토포그래피-인식 리소그래피 모델링
KR20050002615A (ko) 이미지 필드 맵을 이용하여 어시스트 피처를 생성하는방법, 프로그램물 및 장치
US7617476B2 (en) Method for performing pattern pitch-split decomposition utilizing anchoring features
KR100847100B1 (ko) 포토리소그래피 시스템들을 이용하여 프린트될 피처들의웨이브니스를 억제하기 위한 장치, 방법 및 컴퓨터프로그램을 기록한 기록매체
JP4700664B2 (ja) アンカーリングフィーチャを利用したパターンピッチ分割分解を行うための方法
JP2006163397A (ja) 認定セル、及びデバイスを製造するための認定セルの使用方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120914

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee