JP4497860B2 - 半導体素子の素子分離膜形成方法 - Google Patents

半導体素子の素子分離膜形成方法 Download PDF

Info

Publication number
JP4497860B2
JP4497860B2 JP2003208845A JP2003208845A JP4497860B2 JP 4497860 B2 JP4497860 B2 JP 4497860B2 JP 2003208845 A JP2003208845 A JP 2003208845A JP 2003208845 A JP2003208845 A JP 2003208845A JP 4497860 B2 JP4497860 B2 JP 4497860B2
Authority
JP
Japan
Prior art keywords
film
element isolation
etching
forming
oxide film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003208845A
Other languages
English (en)
Other versions
JP2004153236A (ja
Inventor
源 權 李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MagnaChip Semiconductor Ltd
Original Assignee
MagnaChip Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR10-2002-0065754A external-priority patent/KR100444608B1/ko
Priority claimed from KR10-2002-0065753A external-priority patent/KR100473736B1/ko
Priority claimed from KR10-2002-0084281A external-priority patent/KR100455094B1/ko
Application filed by MagnaChip Semiconductor Ltd filed Critical MagnaChip Semiconductor Ltd
Publication of JP2004153236A publication Critical patent/JP2004153236A/ja
Application granted granted Critical
Publication of JP4497860B2 publication Critical patent/JP4497860B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls

Description

【0001】
【発明の属する技術分野】
本発明は、半導体素子の素子分離膜形成方法に係り、STI(Shallow Trench Isolation)工程で形成された素子分離膜の上部コーナーにモウト(moat)が発生し、電界が集中することを防止することが可能な半導体素子の素子分離膜形成方法に関する。
【0002】
【従来の技術】
一般に、半導体基板は、トランジスタを含んだ各種の半導体素子が形成される活性領域(Active region)と、半導体素子を電気的に隔離させるために素子分離膜が形成される素子分離領域(Isolation region)とに区分される。
【0003】
素子分離膜を形成する工程には、LOCOS(Local Oxidation)工程、PBL(Poly Buffered LOCOS)工程及びSTI工程がある。LOCOS工程は、パッド酸化膜とパッド窒化膜を順次形成し、エッチング工程で素子分離領域の基板を露出させた後、酸化工程で基板の露出した領域を酸化させて素子分離膜を形成する工程である。PBL工程はLOCOS工程のパッド酸化膜とパッド窒化膜との間にポリシリコン膜を介在させてバッファの役割を行わせる工程である。STI工程はパッド酸化膜とパッド窒化膜を順次形成し、エッチング工程で素子分離領域の基板を露出させた後、基板の露出領域をエッチングしてトレンチを形成し、絶縁物質でトレンチを埋め込んで素子分離膜を形成する工程である。
【0004】
前記において、LOCOS工程は、長時間の高温酸化工程で行われるので、基板に注入されたチャネル阻止イオンが側面に拡散し、バーズビーク(Bird’s beak)が発生して素子の電気的特性を低下させるという問題点が発生する。従って、0.25μm以下の製造工程ではLOCOS工程を適用して素子分離膜を形成するのに限界がある。
【0005】
このようなLOCOS工程の問題点を解決するために、0.25μm以下の製造工程ではSTI工程によって素子分離膜を形成する。STI工程で素子分離膜を形成する場合、バースビークが発生せず、素子分離特性に優れるという長所がある。ところが、STI工程で素子分離膜を形成する場合には、上部コーナー(Topcorner)と下部コーナー(Bottom corner)に電界が集中して素子の電気的特性が低下するという問題点があり、デザインルールの減少によってトレンチを絶縁物質で埋め込むことが難しい。また、トレンチを絶縁物質で埋め込むために、全体上部に絶縁物質層を形成した後には、トレンチのみに絶縁物質を残留させるために、例えばCMP(ChemicalMechanical Polishing)工程のような平坦化工程を行わなければならず、これにより素子分離膜の上部コーナーにモウトが発生してハンプ(Hump)特性に劣悪になり、基板表面の均一度も低下するという問題点がある。
【0006】
本発明に関連する先行技術についての情報としては、米国特許登録第6,413,828号があり、また、“IEEE/SEMI Advanced Semiconductor Manufacturing Conference”において、2002年C.H.Li等が“A Robust Shallow Trench Isolation(STI) With SiN Pull−Back Process for Advanced DRAM Technology”という論文(ページ:21−26)を発表した。
【0007】
【発明が解決しようとする課題】
従って、本発明は、かかる問題点を解決するためのもので、その目的は、トレンチの形成過程でトレンチの上部コーナーに二重傾斜角を形成して電界の集中及びモウトの発生を防止することにより、工程の信頼性及び素子の電気的特性を向上させることが可能な半導体素子の素子分離膜形成方法を提供することにある。
【0008】
【課題を解決するための手段】
上記目的を達成するために、本発明は、半導体基板上に、素子分離領域が定義されたパッド酸化膜及びシリコン含有フォトレジストパターンを積層構造で形成する段階と、前記素子分離領域の縁部にエッチング副産物が形成されるようにオーバーエッチングによって前記素子分離領域の中央部分の前記半導体基板をエッチングし、素子分離領域の縁部にエッチング傾斜面を形成する段階と、前記素子分離領域の中央部分にトレンチを形成する段階と、前記素子分離膜の縁部の前記フォトレジストパターンの表面を酸化させて表面酸化膜を形成する段階と、前記トレンチ及び前記表面酸化膜の全体上部に絶縁膜を形成してトレンチを埋め込む段階と、前記フォトレジストパターンが露出されるように平坦化工程を施した後、前記フォトレジストパターン及び前記パッド酸化膜を除去する段階と、を含むことを特徴とする半導体素子の素子分離膜形成方法を提供する。
【0011】
【発明の実施の形態】
以下、添付図面に基づいて本発明の好適な実施例を説明する。
【0012】
図1〜図3は本発明の実施例に係る半導体素子の素子分離膜形成方法を説明するための素子の断面図である。
【0013】
図1aを参照すると、半導体基板101上にパッド酸化膜102及びフォトレジスト膜103を順次形成する。この際、フォトレジスト膜103は、シリコンが7〜50%程度含有されたフォトレジストを用いて3000〜10000Åの厚さに形成する。
【0014】
図1bを参照すると、露光及び現像工程で素子分離領域のフォトレジストを除去して、素子分離領域が定義されたフォトレジストパターン103を形成する。フォトレジストパターン103が形成されると、フォトレジストが除去されて露出したパッド酸化膜102をドライエッチング工程で除去して素子分離領域の半導体基板101の表面を露出させる。
【0015】
その後、露出した半導体基板101の縁部にポリマー(図示せず)が積もるように、オーバーエッチングによって素子分離領域の縁部より中央部分をさらに多くエッチングすることで、縁部の基板101にエッチング傾斜面104(第1の傾斜面)を発生させる。この際、素子分離領域の縁部に形成されるエッチング傾斜面104の幅と傾斜角は素子の集積度を考慮して調節することができ、好ましくはエッチング傾斜面104の幅は0.02μm〜0.07μmにし、傾斜角は20〜50°にする。
【0016】
このようなオーバーエッチングは、CHFガス、CFガス又はこれらの混合ガスをエッチングガスとして用いるが、CHFの供給流量は50〜70sccmであり、CFの供給流量は30〜50sccmである。この際、キャリアガスとして1000〜2000sccmのArガスがともに供給される。一方、オーバーエッチング工程は500mTorr〜2500mTorrの圧力と600〜2000Wのパワーを印加した状態で5秒〜30秒間素子分離領域の中央部分が50〜400Å程度エッチングされるように行う。
【0017】
図1cを参照すると、素子分離領域の半導体基板101を所定の深さだけエッチングしてトレンチ105を形成する。この際、図1bにおいてオーバーエッチングの際に素子分離領域の縁部(エッチング傾斜面)104に積もったポリマー(図示せず)がエッチング防止膜の役割を行うため、素子分離領域の縁部は、エッチングされず、エッチング傾斜面104の形態がそのまま維持される。従って、素子分離領域の中央部分のみがエッチングされてトレンチ105が素子分離領域の中央部分に形成され、これによりトレンチ105の上部コーナーは図1bにおいてオーバーエッチングで形成されたエッチング傾斜面によってラウンド状になる。上部のエッチング傾斜面104(第1傾斜面)と、これより角度が大きいトレンチ105壁面の傾斜面(第2傾斜面)とにより二重傾斜角が形成される。
【0018】
この際、トレンチ105を形成するためのエッチング工程は、HBrガス及びClガスを用いて2500〜4000Åの深さにトレンチ105を形成し、半導体基板101の表面を基準としてトレンチ105の側壁の傾斜角が70〜90°となるように行う。ここで、HBrガスの供給流量は100〜150sccmに調節し、Clガスの供給流量は35〜70sccmに調節する。
【0019】
トレンチ105を形成した後には、ATC(After Treatment Chamber)処理を30秒〜1分間行い、トレンチ105の側壁及び底面に発生したエッチング損傷を補完する。
【0020】
図2aを参照すると、シリコン成分が含まれたフォトレジストパターン103の表面を酸化させて表面酸化膜103aを形成する。この際、表面酸化膜103aはOプラズマ処理でフォトレジストパターン103の表面を酸化させて形成することができる。ここで、Oプラズマ処理を行う方法には、50〜200℃の温度でOアッシング(Ashing)を行う方法、或いはOイオン注入工程を行う方法などがある。
【0021】
図2bを参照すると、トレンチ105が完全に埋め込まれるように全体上部に絶縁膜106を形成する。この際、絶縁膜106はフォトレジストパターン103に影響を与えないように低温酸化膜で形成することができる。低温酸化膜は50〜300℃で形成する。一方、絶縁物質層106の厚さは後続工程で行われるCMP工程のマージンを考慮して決定することができる。
【0022】
図2cを参照すると、CMPのような平坦化工程を行い、フォトレジストパターン103が露出するまで絶縁物質層(図1eの106)の上部を所定の厚さだけ除去する。これにより、絶縁物質層がトレンチに残留して、絶縁物質層からなる素子分離膜107が形成される。この際、残留するフォトレジストパターン103の高さが、半導体基板101の表面より高く突出した素子分離膜107の高さを決定するので、平坦化工程はこれを考慮して行う。
【0023】
図3aを参照すると、フォトレジストパターン(図1fの103)をアッシング処理で除去する。一方、フォトレジストパターンの下部のパッド酸化膜(図1fの102)は前洗浄工程によって除去される。これにより、素子分離膜107が形成される。
【0024】
図3bを参照すると、素子分離膜107が形成された後、後続工程のエッチング及び洗浄工程によって素子分離膜の両側コーナー部分がエッチングされても、前記の工程で素子分離膜107を形成する場合には、モウトが発生しないことが分かる。
【0025】
図4〜図6は本発明の他の実施例に係る半導体素子の素子分離膜形成方法を説明するための素子の断面図である。
【0026】
図4を参照すると、半導体基板201上にパッド酸化膜202、非晶質シリコン膜203、反射防止膜204及びフォトレジスト膜205を順次形成する。前記において、パッド酸化膜202は50〜200Åの厚さに形成し、非晶質シリコン膜203は1000〜3000Åの厚さに形成し、反射防止膜204は500〜800Åの厚さに形成し、フォトレジスト膜205は3000〜10000Åの厚さに形成する。この際、非晶質シリコン膜203は400〜600℃の温度でLPCVD(Low Pressure Chemical Vapor Deposition)法によって形成する。
【0027】
図4bを参照すると、露光及び現像工程で素子分離領域のフォトレジスト膜と反射防止膜204を除去して、素子分離領域の定義されたフォトレジストパターン205と反射防止膜204を形成する。フォトレジストパターン205が形成されると、フォトレジスト膜及び反射防止膜が除去されて露出した非晶質シリコン膜203及びパッド酸化膜202をドライエッチング工程で除去して素子分離領域の半導体基板201の表面を露出させる。
【0028】
その後、露出した半導体基板201の縁部にポリマー(図示せず)が積もるようにオーバーエッチングによって素子分離領域の縁部より中央部分をさらに多くエッチングし、縁部の基板201にエッチング傾斜面206を発生させる。この際、素子分離領域の縁部に形成されるエッチング傾斜面206の幅と傾斜角は素子の集積度を考慮して調節することができ、好ましくはエッチング傾斜面206の幅は0.02μm〜0.07μmにし、傾斜角は20〜50°にする。
【0029】
このようなオーバーエッチングは、CHFガス、CFガス又はこれらの混合ガスをエッチングガスとして用いるが、CHFの供給流量は50〜70sccmであり、CFの供給流量は30〜50sccmである。この際、キャリアガスとして1000〜2000sccmのArガスがともに供給される。一方、オーバーエッチング工程は500mTorr〜2500mTorrの圧力と600〜2000Wのパワーを印加した状態で5秒〜30秒間行い、素子分離領域の中央部分が50〜400Å程度エッチングされるようにする。
【0030】
図4cを参照すると、素子分離領域の半導体基板201を所定の深さだけエッチングしてトレンチ207を形成する。この際、図2bにおいてオーバーエッチングの際に素子分離領域の縁部(エッチング傾斜面)206に積もったポリマー(図示せず)がエッチング防止膜の役割を行うため、素子分離領域の縁部は、エッチングされず、エッチング傾斜面206の形態がそのまま維持される。したがって、素子分離領域の中央部分のみがエッチングされてトレンチ207が素子分離領域の中央部分に形成され、これによりトレンチ207の上部コーナーは図2bでオーバーエッチングで形成されたエッチング傾斜面によりラウンド状になる。
【0031】
この際、トレンチ207を形成するためのエッチング工程は、圧力5mTorr〜30mTorrに調節し、350〜550Wのトップパワーと100〜300Wのボトムパワーを印加した状態で、Nガス、Oガス、HBrガス及びClガスを用いて2500〜4000Åの深さにトレンチ207を形成し、半導体基板201の表面を基準としてトレンチ207の側壁の傾斜角が70〜90°となるように行う。エッチング工程でNガスの供給流量は5〜20sccmに調節し、HBrガスの供給流量は100〜150sccmに調節し、Clガスの供給流量は35〜70sccmに調節し、Oガスの供給流量は2〜20sccmに調節する。
【0032】
トレンチ207を形成した後には、ATC(After Treatment Chamber)処理を30秒〜1分間行い、トレンチ207の側壁及び底面に発生したエッチング損傷を補完する。
【0033】
図5aを参照すると、トレンチ207を形成した後、フォトレジストパターン(図2cの205)及び反射防止膜(図2cの204)を除去する。これにより、非晶質シリコン層203が露出される。
【0034】
図5bを参照すると、酸化工程でトレンチ207の側面及び底面を酸化させて表面酸化膜208aを形成する。この際、酸化工程は900〜1200℃の温度で酸素雰囲気のドライ酸化工程で50〜200Åの表面酸化膜208aが形成されるように行う。酸化工程でトレンチ207の側面及び底面に表面酸化膜208aを形成することにより、トレンチ207を形成する過程で発生したエッチング損傷が緩和し、トレンチ207の上部コーナーと底面コーナーがより丸く形成される。
【0035】
トレンチ207の表面に表面酸化膜208aを形成した後には、非晶質シリコン層203の表面を酸化させて表面酸化膜208bを形成する。この際、表面酸化膜208bはOプラズマ処理で非晶質シリコン層203の表面を酸化させて形成することができる。ここで、Oプラズマ処理を行う方法には、50〜200℃の温度でOアッシングを行う方法、或いはOイオン注入工程を用いる方法などがある。
【0036】
図5cを参照すると、トレンチ207が完全に埋め込まれるように全体上部に絶縁膜209を形成する。この際、絶縁膜209の厚さは後続の工程で行われるCMP工程のマージンを考慮して決定し、好ましくは4000〜6000Åにする。
【0037】
図6aを参照すると、CMPのような平坦化工程を行い、非晶質シリコン層203が露出するまで絶縁物質層(図5cの209)の上部を所定の厚さだけ除去する。これにより、絶縁物質層がトレンチに残留して、絶縁物質層からなる素子分離膜210が形成される。この際、残留する非晶質シリコン層203の高さが、半導体基板201の表面より高く突出した素子分離膜210の高さを決定するので、平坦化工程はこれを考慮して行う。
【0038】
図6bを参照すると、非晶質シリコン層(図5cの203)及びパッド酸化膜(図5cの202)を洗浄工程で除去する。これにより、素子分離膜210のみが残留する。
【0039】
図6cを参照すると、素子分離膜210が形成された後、後続工程のエッチング及び洗浄工程によって素子分離膜の両側のコーナー部分がエッチングされても、前記の工程で素子分離膜210を形成する場合には、モウトが発生しないことが分かる。
【0040】
図7〜図8は本発明のさらに他の実施例に係る半導体素子の素子分離膜形成方法を説明するための断面図である。
【0041】
図7aを参照すると、半導体基板301上にパッド酸化膜302、非晶質シリコン膜303及びハードマスク膜304を形成する。
【0042】
具体的に、HOとHFの混合割合が50:1のDHF(Dilute HF)とNHOH、H及びHOからなるSC−1(Standard Cleaning-1)とを用いて、或いはNHFとHFの混合割合が100:1〜300:1のBOE(Buffered Oxide Etch)とNHOH、H及びHOからなるSC−1とを用いて前処理洗浄工程を行う。洗浄工程の後、パッド酸化膜302をドライ又はウェット酸化方式で50〜200Åの厚さに形成し、パッド酸化膜302の蒸着後900〜910℃の温度でNを用いて20〜30分間熱処理工程を行うことにより、パッド酸化膜302と半導体基板301との界面の欠陥密度を最小化することもできる。
【0043】
パッド酸化膜302上に400〜600℃の温度と0.1〜3.0torrの圧力下でCVD(Chemical Vapor Deposition)法、LPCVD(Low Pressure CVD)法、PECVD法(Plasma Enhanced CVD)又はAPCVD(Atmospheric Pressure CVD)法で厚さ1000〜2000Åのドープされていない非晶質シリコン膜を蒸着する。これにより、非晶質シリコン303の粒度が最小化して電界集中を防止することができる。非晶質シリコン膜303上にLPCVD法で約1000〜2000Å程度の厚さに窒化膜を蒸着してハードマスク膜304を形成する。
【0044】
これに限定されず、イオン注入を行った後、前記の工程を行うことができる。例えば、基板表面の結晶欠陥抑制又は表面処理及びイオン注入の際、バッファ層の役割を果たすスクリーン酸化膜(図示せず)を蒸着した後、イオン注入を行ってウェル又はVT調節のためのイオン層(図示せず)を形成する。前記スクリーン酸化膜を除去した後、パッド酸化膜302、非晶質シリコン303及びハードマスク層304を蒸着する。
【0045】
図7bを参照すると、素子分離用トレンチ形成のための感光膜パターン305を形成した後、感光膜パターン305をエッチングマスクとするエッチング工程によってハードマスク膜304、非晶質シリコン膜303及びパッド酸化膜302を順次エッチングして半導体基板301を露出させる。
【0046】
後続の工程により形成されたトレンチの上部コーナー部分をラウンディングするために、CHFガス、CFガス又はこれらの混合ガスを用いてオーバーエッチングを行うことにより半導体基板301の一部を除去してハードマスク膜304、非晶質シリコン膜303及びパッド酸化膜302の側壁にスペーサ形態のポリマー膜306を形成する。
【0047】
具体的に、ハードマスク304上に厚さ3000〜10000Åの感光膜を塗布した後、素子分離用マスクを用いたフォトエッチング工程を行って感光膜パターン305を形成する。感光膜パターン305をエッチングマスクとするドライエッチング工程によってハードマスク304、非晶質シリコン膜303及びパッド酸化膜302をエッチングする。
【0048】
フッ素系列のガスを用いたオーバーエッチングによって200Å程度の半導体基板301をエッチングする。この際、発生したポリマーによって前記積層構造物(パッド酸化膜302、非晶質シリコン303及びハードマスク層304)、側壁にスペーサ形態のポリマー膜306を形成する。オーバーエッチングは1400〜1600mTの圧力と、1100〜1300Wのパワー下で50〜70sccmのCHFガスと、30〜50sccmのCFガスと、1400〜1600sccmのArガスを用いたドライエッチングを行って多量のポリマーを形成する。前記オーバーエッチングのため、側壁スペーサ形態のポリマー膜306はエッチパッシベーション効果(Etch Passivation Effect)によってパッド酸化膜302と半導体基板301との間に段差が誘発される。これにより、後続の工程によって形成される素子分離用トレンチ上部のコーナー部分をラウンディングする。ラウンディングする形状は0.015μmのトレンチコーナー幅と20〜50°の勾配を有する。
【0049】
図7cを参照すると、感光膜パターン305とエッチングされた面の側壁に形成されたスペーサ形態のポリマー膜306をエッチングマスクとするエッチング工程を行って半導体基板301の一部を除去してSTI構造のトレンチ307側壁び底面のエッチングダメージを補償するためのドライ酸化工程を行ってトレンチ307のコーナー部分をラウンディングする。全体構造上部に高温酸化膜HTOを薄く蒸着し、高温で緻密化工程を行ってライナー酸化膜(図示せず)を形成する。勿論、上述したドライ酸化工程とライナー酸化膜蒸着工程を省いて工程を単純化することができる。
【0050】
具体的に、トレンチ307は様々な形態のエッチング工程によって半導体基板301をエッチングすることにより、トレンチ307の深さと勾配を調節することができる。15mTの圧力と450W、200Wのパワーを加えた状態で10sccmのNガス、100〜150sccmのHBrガス、35〜70sccmのClガス及び8sccmのOガスを用いてトレンチ307を形成するが、前記ガスの流量とエッチング時間を調節して70〜90°の勾配を有する深さ2500〜4000Åのトレンチ307を形成する。トレンチ307の形成後、アフタトリトメントチャンバー(After Treatment Chamber;ACT)処理を行ってトレンチ307の側壁と下部の荒さを改善することができる。トレンチ307の形状を考察すると、トレンチ307は前記ポリマー膜の形成工程で上部コーナー部分に一定の勾配を有する面が形成され、後続の半導体基板301の一部をパターニングして一定の勾配を有する側壁が形成され、二重の勾配を有する形状に形成される。
【0051】
感光膜ストリップ工程を行って、ハードマスク膜304上に形成された感光膜パターン305とスペーサ形態のポリマー膜306を除去する。
【0052】
図7dを参照すると、トレンチ307が形成された全体構造上部に後続の平坦化工程のマージンを考慮して厚さ4000〜6000Åのフィールド酸化膜308を蒸着するが、トレンチ207の内部に空間が設けられないように埋め込んだ後、平坦化工程を行う。平坦化工程はハードマスク膜304を停止膜とするCMPを行う。この際、平坦化肯定の平坦化ターゲットを調節してフィールド酸化膜308の高さを調節することができる。
【0053】
図8a及び図8bを参照すると、燐酸(HPO)水溶液を用いた窒化膜ストリップ工程を行ってハードマスク膜304を除去する。ハードマスク膜304の除去によりフィールド酸化膜308が非晶質シリコン膜303より突出する。Oプラズマ処理によって非晶質シリコン膜303の一部を酸化して非晶質シリコン膜303の上部と側壁に 表面 酸化膜309を形成する。
【0054】
具体的に、Oプラズマ処理は50〜200℃の温度範囲を有するプラズマアッシング方法とOイオン注入方法を用いて非晶質シリコン膜303の表面を酸化させる。これにより、フィールド酸化膜308の側壁の非晶質シリコン膜303が酸化し、表面酸化膜309とフィールド酸化膜308が融化して素子分離膜領域が拡張される。Oイオン注入方法は、0〜30°範囲で4回転し、1E14〜1E16atoms/cmのドーズでOイオンを注入する。プラズマアッシング方法は800mTの圧力、1500Wのパワーと110℃の温度下で1500sccmのOガスを用いて行う。この際、パワー、圧力及びガスの流れは様々に変更して非晶質シリコン膜303の酸化を調節することができるとともに、時間設定も重要な変数であって非晶質シリコン膜303とパッド酸化膜302を除去する。
【0055】
図8c及び図8dを参照すると、ウェット又はドライエッチングを行って非晶質シリコン膜303上に形成された表面酸化膜309を除去する。半導体基板上に残留する非晶質シリコン膜303とパッド酸化膜302を除去する。
【0056】
具体的に、Oプラズマ酸化工程によって酸化していない非晶質シリコン膜303が露出する際にエッチングを停止するターゲットで、エッチング工程を行って非晶質シリコン膜303上の表面酸化膜309をエッチングする。硝酸(HNO)水溶液を用いたウェットエッチングによって非晶質シリコン膜303をエッチングする。洗浄工程を行いパッド酸化膜302をエッチングして活性領域まで突出した素子分離膜を形成する。素子分離膜はトレンチ307の内部がフィールド酸化膜308で完全に埋め込まれており、活性領域の上部に表面酸化膜309とパッド酸化膜302の一部が残留している形状に形成される。本実施例によって形成されたフィールド酸化膜308は、活性領域より高く形成され、活性領域まで広く形成されることにより、両側のコーナー部分がオーバーエッチングされてもモウトが発生しなくなる。
【0057】
【発明の効果】
上述したように、本発明によれば、フォトレジストパターンの表面に形成された表面酸化膜が絶縁膜と融化し、或いは非晶質シリコン層の表面に形成された表面酸化膜が絶縁物質層と融化するために、トレンチの幅がそのまま維持されながら、素子分離膜の上部の幅が半導体基板の活性領域の上部まで広くなるので、素子分離膜の両側のコーナーがオーバーエッチングされてもモウトが発生しないため、ハンプ(Hump)特性を予防することができ、半導体素子のサブスレショールドのように半導体素子の特性が低下することを防止することができる。また、トレンチの上部コーナーにエッチング傾斜面を形成して二重傾斜角を形成することにより、トレンチの上部コーナーにエッチング傾斜面を形成して二重傾斜角を形成することにより、トレンチの上部コーナーに電界が集中することを防止することができ、ATC処理によってトレンチの側面及び底面の荒さを改善することができる。
【図面の簡単な説明】
【図1】本発明の実施例に係る半導体素子の素子分離膜形成方法を説明するための素子の断面図である。
【図2】本発明の実施例に係る半導体素子の素子分離膜形成方法を説明するための素子の断面図である。
【図3】本発明の実施例に係る半導体素子の素子分離膜形成方法を説明するための素子の断面図である。
【図4】本発明の他の実施例に係る半導体素子の素子分離膜形成方法を説明するための素子の断面図である。
【図5】本発明の他の実施例に係る半導体素子の素子分離膜形成方法を説明するための素子の断面図である。
【図6】本発明の他の実施例に係る半導体素子の素子分離膜形成方法を説明するための素子の断面図である。
【図7】本発明のさらに他の実施例に係る半導体素子の素子分離膜形成方法を説明するための素子の断面図である。
【図8】本発明のさらに他の実施例に係る半導体素子の素子分離膜形成方法を説明するための素子の断面図である。
【符号の説明】
101、201、301 半導体基板
102、202、302 パッド酸化膜
103 シリコン含有フォトレジストパターン
103a 表面酸化膜
104、206 エッチング傾斜面
105、207、307 トレンチ
106、209、308 絶縁膜
107、210 素子分離膜
203、302 非晶質シリコン膜
204 反射防止膜
205、305 フォトレジストパターン
208a、208b 表面酸化膜
210 素子分離膜
304 ハードマスク膜
305 感光膜パターン
306 ポリマー膜
309 酸化膜

Claims (9)

  1. 半導体基板上に、素子分離領域が定義されたパッド酸化膜及びシリコン含有フォトレジストパターンを積層構造で形成する段階と、
    前記素子分離領域の縁部にエッチング副産物が形成されるようにオーバーエッチングによって前記素子分離領域の中央部分の前記半導体基板をエッチングし、素子分離領域の縁部にエッチング傾斜面を形成する段階と、
    前記素子分離領域の中央部分にトレンチを形成する段階と、
    前記素子分離膜の縁部の前記フォトレジストパターンの表面を酸化させて表面酸化膜を形成する段階と、
    前記トレンチ及び前記表面酸化膜の全体上部に絶縁膜を形成してトレンチを埋め込む段階と、
    前記フォトレジストパターンが露出されるように平坦化工程を施した後、前記フォトレジストパターン及び前記パッド酸化膜を除去する段階と、
    を含むことを特徴とする半導体素子の素子分離膜形成方法。
  2. 前記シリコン含有フォトレジストパターンのシリコン含有量が7〜50%であることを特徴とする請求項1記載の半導体素子の素子分離膜形成方法。
  3. 前記オーバーエッチング工程では、CHFガス、CFガス又はこれらの混合ガスをエッチングガスとして用い、前記素子分離領域の中央部分を50〜400Åの深さにエッチングすることを特徴とする請求項1記載の半導体素子の素子分離膜形成方法。
  4. 前記CHFの供給流量が50〜70sccm、前記CFの供給流量が30〜50sccmであり、キャリアガスとして1000〜2000sccmのArガスが共に供給されることを特徴とする請求項3記載の半導体素子の素子分離膜形成方法。
  5. 前記オーバーエッチングは500mTorr〜2500mTorrの圧力と600〜2000Wのパワーを印加した状態で5秒〜30秒間行うことを特徴とする請求項1記載の半導体素子の素子分離膜形成方法。
  6. 前記エッチング傾斜面は幅が0.02μm〜0.07μmであり、側面の傾斜角が基板に垂直な方向を基準として20〜50°となるように形成されることを特徴とする請求項1記載の半導体素子の素子分離膜形成方法。
  7. 前記表面酸化膜がOプラズマ処理で形成されることを特徴とする請求項1記載の半導体素子の素子分離膜形成方法。
  8. 前記Oプラズマ処理は50〜200℃の温度でOアッシング工程によって行われるか、或いはOイオン注入工程によって行われることを特徴とする請求項7記載の半導体素子の素子分離膜形成方法。
  9. 前記絶縁膜は50〜300℃で低温酸化膜で形成されることを特徴とする請求項1記載の半導体素子の素子分離膜形成方法。
JP2003208845A 2002-10-28 2003-08-26 半導体素子の素子分離膜形成方法 Expired - Fee Related JP4497860B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR10-2002-0065754A KR100444608B1 (ko) 2002-10-28 2002-10-28 반도체 소자의 소자 분리막 형성 방법
KR10-2002-0065753A KR100473736B1 (ko) 2002-10-28 2002-10-28 반도체 소자의 소자 분리막 형성 방법
KR10-2002-0084281A KR100455094B1 (ko) 2002-12-26 2002-12-26 반도체 소자의 소자 분리막 형성 방법

Publications (2)

Publication Number Publication Date
JP2004153236A JP2004153236A (ja) 2004-05-27
JP4497860B2 true JP4497860B2 (ja) 2010-07-07

Family

ID=32110677

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003208845A Expired - Fee Related JP4497860B2 (ja) 2002-10-28 2003-08-26 半導体素子の素子分離膜形成方法

Country Status (2)

Country Link
US (1) US7091105B2 (ja)
JP (1) JP4497860B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10770341B2 (en) 2018-03-23 2020-09-08 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050065745A (ko) * 2003-12-23 2005-06-30 동부아남반도체 주식회사 반도체 소자의 패턴 형성 방법
JP4577680B2 (ja) * 2004-04-13 2010-11-10 エルピーダメモリ株式会社 半導体装置の製造方法
TWI254409B (en) * 2005-02-16 2006-05-01 Powerchip Semiconductor Corp Semiconductor device having self-aligned contact and manufacturing method thereof
US7928005B2 (en) * 2005-09-27 2011-04-19 Advanced Micro Devices, Inc. Method for forming narrow structures in a semiconductor device
US20070194402A1 (en) * 2006-02-21 2007-08-23 Micron Technology, Inc. Shallow trench isolation structure
KR100827538B1 (ko) * 2006-12-28 2008-05-06 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
KR100856315B1 (ko) * 2007-06-22 2008-09-03 주식회사 동부하이텍 반도체 소자의 제조 방법
JP5288814B2 (ja) * 2008-01-28 2013-09-11 株式会社東芝 半導体装置の製造方法
US8120140B2 (en) * 2009-05-22 2012-02-21 Macronix International Co., Ltd. Isolation structure and formation method thereof
CN102074495B (zh) * 2009-11-20 2013-10-09 中芯国际集成电路制造(上海)有限公司 Sti的形成方法
JP5481419B2 (ja) * 2011-03-25 2014-04-23 株式会社東芝 半導体装置の製造方法
US20120264267A1 (en) * 2011-04-12 2012-10-18 Tsuo-Wen Lu Method for fabricating mos transistor
CN102184886A (zh) * 2011-04-25 2011-09-14 上海宏力半导体制造有限公司 浅槽隔离结构的制备方法
US20120299157A1 (en) * 2011-05-25 2012-11-29 Teng-Chun Hsuan Semiconductor process and fabricated structure thereof
US20120309166A1 (en) * 2011-05-31 2012-12-06 United Microelectronics Corp. Process for forming shallow trench isolation structure
CN104183533A (zh) * 2013-05-21 2014-12-03 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
CN107706145B (zh) * 2017-10-19 2024-03-26 长鑫存储技术有限公司 隔离沟槽薄膜填充结构、半导体存储器件及制备方法
US11177137B2 (en) 2020-01-17 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer etching process and methods thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01279241A (ja) * 1988-05-06 1989-11-09 Oki Electric Ind Co Ltd シリコン含有レジスト材料及びその製造方法
JPH10340950A (ja) * 1997-04-11 1998-12-22 Mitsubishi Electric Corp トレンチ型素子分離構造の製造方法およびトレンチ型素子分離構造
JP2001257259A (ja) * 2000-03-10 2001-09-21 Nec Corp 素子分離構造形成方法
JP2002141407A (ja) * 2000-10-31 2002-05-17 Rohm Co Ltd 半導体装置およびその製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3956171A (en) * 1973-07-30 1976-05-11 E. I. Du Pont De Nemours And Company Process for preparing stable positively charged alumina coated silica sols and product thereof
TW379405B (en) * 1998-02-13 2000-01-11 United Integrated Circuits Corp Manufacturing method of shallow trench isolation structure
US6277707B1 (en) * 1998-12-16 2001-08-21 Lsi Logic Corporation Method of manufacturing semiconductor device having a recessed gate structure
KR100322531B1 (ko) * 1999-01-11 2002-03-18 윤종용 파임방지막을 이용하는 반도체소자의 트랜치 소자분리방법 및이를 이용한 반도체소자
US6096469A (en) * 1999-05-18 2000-08-01 3M Innovative Properties Company Ink receptor media suitable for inkjet printing
US6413828B1 (en) 2000-03-08 2002-07-02 International Business Machines Corporation Process using poly-buffered STI
US6589879B2 (en) * 2001-01-18 2003-07-08 Applied Materials, Inc. Nitride open etch process based on trifluoromethane and sulfur hexafluoride
US7125783B2 (en) * 2001-04-18 2006-10-24 Integrated Device Technology, Inc. Dielectric anti-reflective coating surface treatment to prevent defect generation in associated wet clean
US20030022458A1 (en) * 2001-07-27 2003-01-30 Chao-Ming Koh Method for forming a shallow trench isolation in a semiconductor structure

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01279241A (ja) * 1988-05-06 1989-11-09 Oki Electric Ind Co Ltd シリコン含有レジスト材料及びその製造方法
JPH10340950A (ja) * 1997-04-11 1998-12-22 Mitsubishi Electric Corp トレンチ型素子分離構造の製造方法およびトレンチ型素子分離構造
JP2001257259A (ja) * 2000-03-10 2001-09-21 Nec Corp 素子分離構造形成方法
JP2002141407A (ja) * 2000-10-31 2002-05-17 Rohm Co Ltd 半導体装置およびその製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10770341B2 (en) 2018-03-23 2020-09-08 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device

Also Published As

Publication number Publication date
US7091105B2 (en) 2006-08-15
US20040082177A1 (en) 2004-04-29
JP2004153236A (ja) 2004-05-27

Similar Documents

Publication Publication Date Title
JP4497860B2 (ja) 半導体素子の素子分離膜形成方法
JP2004214621A (ja) フラッシュメモリ素子の製造方法
JPH10189708A (ja) トレンチ素子分離方法
JP4363564B2 (ja) 半導体素子の素子分離膜形成方法
JP2003197789A (ja) フラッシュメモリセルの自己整列フローティングゲート形成方法
JP2004207680A (ja) フラッシュメモリ素子のフローティングゲート形成方法
KR20020042251A (ko) 반도체 소자의 분리구조 제조방법
KR101033359B1 (ko) 반도체 소자의 제조 방법
JP2003273207A (ja) 半導体装置の製造方法
KR100673224B1 (ko) 플래시 메모리 소자의 제조 방법
KR100894791B1 (ko) 반도체 소자의 소자 분리막 형성 방법
KR100427537B1 (ko) 반도체 소자의 소자 분리막 형성 방법 및 이를 이용한플래시 메모리 셀 제조 방법
KR100911984B1 (ko) 반도체 소자의 소자 분리막 형성 방법
KR100455094B1 (ko) 반도체 소자의 소자 분리막 형성 방법
KR101107228B1 (ko) 반도체 소자의 소자분리막 형성 방법
KR100588643B1 (ko) 셀로우 트렌치 소자 분리막 제조 방법
KR100218292B1 (ko) 반도체소자의 격리영역 제조방법
KR100476704B1 (ko) 반도체 소자의 제조방법
KR100473736B1 (ko) 반도체 소자의 소자 분리막 형성 방법
KR100663609B1 (ko) 반도체 소자의 소자분리막 제조 방법
KR100455095B1 (ko) 반도체 소자의 소자 분리막 형성 방법
KR100481909B1 (ko) 반도체 소자의 소자분리막 형성 방법
KR100507380B1 (ko) 반도체 소자의 소자 분리막 형성 방법
JP2002100670A (ja) 半導体装置及びその製造方法
KR100672768B1 (ko) 반도체 소자의 소자분리막 형성 방법

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20060201

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060628

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20060809

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060828

A625 Written request for application examination (by other person)

Free format text: JAPANESE INTERMEDIATE CODE: A625

Effective date: 20060628

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080520

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080603

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080827

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090714

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091001

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091102

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091215

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100406

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100413

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130423

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4497860

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140423

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees