JP4036849B2 - Euvリソグラフィ用基板塗被方法およびフォトレジスト層を有する基板 - Google Patents
Euvリソグラフィ用基板塗被方法およびフォトレジスト層を有する基板 Download PDFInfo
- Publication number
- JP4036849B2 JP4036849B2 JP2004150182A JP2004150182A JP4036849B2 JP 4036849 B2 JP4036849 B2 JP 4036849B2 JP 2004150182 A JP2004150182 A JP 2004150182A JP 2004150182 A JP2004150182 A JP 2004150182A JP 4036849 B2 JP4036849 B2 JP 4036849B2
- Authority
- JP
- Japan
- Prior art keywords
- euv
- substrate
- photoresist layer
- polymer
- radiation
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 239000000758 substrate Substances 0.000 title claims description 85
- 229920002120 photoresistant polymer Polymers 0.000 title claims description 83
- 238000000576 coating method Methods 0.000 title claims description 30
- 238000001900 extreme ultraviolet lithography Methods 0.000 title claims description 21
- 229920000642 polymer Polymers 0.000 claims description 70
- 230000005855 radiation Effects 0.000 claims description 66
- 238000000034 method Methods 0.000 claims description 38
- 229910052710 silicon Inorganic materials 0.000 claims description 28
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 27
- 229910052796 boron Inorganic materials 0.000 claims description 27
- 239000011248 coating agent Substances 0.000 claims description 20
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 17
- 229910000077 silane Inorganic materials 0.000 claims description 16
- 238000000059 patterning Methods 0.000 claims description 14
- 239000000356 contaminant Substances 0.000 claims description 13
- 230000005540 biological transmission Effects 0.000 claims description 11
- 238000005229 chemical vapour deposition Methods 0.000 claims description 11
- -1 polysilylene Polymers 0.000 claims description 11
- 150000001875 compounds Chemical class 0.000 claims description 10
- 238000002834 transmittance Methods 0.000 claims description 10
- 238000004528 spin coating Methods 0.000 claims description 9
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 7
- 238000010943 off-gassing Methods 0.000 claims description 6
- 229920000548 poly(silane) polymer Polymers 0.000 claims description 6
- NIXOWILDQLNWCW-UHFFFAOYSA-N acrylic acid group Chemical group C(C=C)(=O)O NIXOWILDQLNWCW-UHFFFAOYSA-N 0.000 claims description 5
- 230000004888 barrier function Effects 0.000 claims description 5
- 125000005395 methacrylic acid group Chemical group 0.000 claims description 5
- 229920001296 polysiloxane Polymers 0.000 claims description 5
- 238000011109 contamination Methods 0.000 claims description 4
- 229930195733 hydrocarbon Natural products 0.000 claims description 4
- 150000002430 hydrocarbons Chemical class 0.000 claims description 4
- 239000003344 environmental pollutant Substances 0.000 claims description 2
- 231100000719 pollutant Toxicity 0.000 claims description 2
- 239000010410 layer Substances 0.000 description 66
- 229910052799 carbon Inorganic materials 0.000 description 25
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 18
- 239000010703 silicon Substances 0.000 description 18
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 15
- 230000008569 process Effects 0.000 description 15
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 14
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 14
- 229910052790 beryllium Inorganic materials 0.000 description 14
- ATBAMAFKBVZNFJ-UHFFFAOYSA-N beryllium atom Chemical compound [Be] ATBAMAFKBVZNFJ-UHFFFAOYSA-N 0.000 description 14
- 229910052750 molybdenum Inorganic materials 0.000 description 14
- 239000011733 molybdenum Substances 0.000 description 14
- 229910052758 niobium Inorganic materials 0.000 description 14
- 239000010955 niobium Substances 0.000 description 14
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 14
- 229910052726 zirconium Inorganic materials 0.000 description 14
- 235000012431 wafers Nutrition 0.000 description 13
- 239000002904 solvent Substances 0.000 description 12
- 229910052739 hydrogen Inorganic materials 0.000 description 9
- 230000003287 optical effect Effects 0.000 description 9
- 229920003986 novolac Polymers 0.000 description 8
- 238000001816 cooling Methods 0.000 description 7
- 238000004519 manufacturing process Methods 0.000 description 7
- 238000010438 heat treatment Methods 0.000 description 5
- 230000003595 spectral effect Effects 0.000 description 5
- 238000010521 absorption reaction Methods 0.000 description 4
- 238000011161 development Methods 0.000 description 4
- 239000011159 matrix material Substances 0.000 description 4
- 238000012545 processing Methods 0.000 description 4
- 238000005286 illumination Methods 0.000 description 3
- 238000003384 imaging method Methods 0.000 description 3
- 238000001459 lithography Methods 0.000 description 3
- 239000004642 Polyimide Substances 0.000 description 2
- 238000003491 array Methods 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 230000003750 conditioning effect Effects 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 238000001035 drying Methods 0.000 description 2
- 238000001704 evaporation Methods 0.000 description 2
- 230000008020 evaporation Effects 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 239000000463 material Substances 0.000 description 2
- QSHDDOUJBYECFT-UHFFFAOYSA-N mercury Chemical compound [Hg] QSHDDOUJBYECFT-UHFFFAOYSA-N 0.000 description 2
- 229910052753 mercury Inorganic materials 0.000 description 2
- 230000010363 phase shift Effects 0.000 description 2
- 229920001721 polyimide Polymers 0.000 description 2
- 239000004952 Polyamide Substances 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 230000002238 attenuated effect Effects 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 125000003636 chemical group Chemical group 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 230000005670 electromagnetic radiation Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 150000007529 inorganic bases Chemical class 0.000 description 1
- 238000007689 inspection Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 239000002346 layers by function Substances 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 230000005381 magnetic domain Effects 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 230000015654 memory Effects 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 238000001393 microlithography Methods 0.000 description 1
- 150000007530 organic bases Chemical class 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229920000555 poly(dimethylsilanediyl) polymer Polymers 0.000 description 1
- 229920002647 polyamide Polymers 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 230000037452 priming Effects 0.000 description 1
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 description 1
- 239000011253 protective coating Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- 125000005373 siloxane group Chemical group [SiH2](O*)* 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 230000005469 synchrotron radiation Effects 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000005406 washing Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/091—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/22—Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/093—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antistatic means, e.g. for charge depletion
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/11—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/31504—Composite [nonstructural laminate]
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Architecture (AREA)
- Structural Engineering (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Materials For Photolithography (AREA)
Description
基板上にフォトレジスト層を塗被することによって少なくとも部分的にこのフォトレジスト層で覆われた基板を用意する工程、
放射線システムを使って放射線の投影ビームを用意する工程、
この投影ビームの断面にパターンを付けるためにパターニング手段を使う工程、および
この放射線のパターン化したビームをこのフォトレジスト層の目標部分上に投影する工程、を含む方法に関する。
本発明は、また、フォトレジスト層を有する基板に関する。
別の実施例では、硼素を含む、即ち、B、CおよびHに基づくポリマー、例えば、カルボランポリアミド、または硼素を添加したポリマー(例えば、硼素添加ポリイミド)を使用する。
例えば、洗浄および乾燥を含む、基板の表面を整える工程、
例えば、基板の表面上にフォトレジスト層をスピンコーティングする工程を含む、この基板の表面上にフォトレジスト層を塗被する工程、
ソフトベーク中にこの基板を加熱し、加熱によってフォトレジスト溶剤の部分的蒸発が起る工程、
“チリング”中に基板を冷却する工程、
例えば、フォトレジスト層の表面上にEUV透過性トップコートをスピンコーティングする工程を含む、このフォトレジスト層の表面上にEUV透過性トップコートを設ける工程、を含む。その代りとして、別の実施例では、EUV透過性トップコートを、フォトレジスト層の塗被の直後に、このフォトレジスト層上に付ける。
放射線の投影ビームを供給するための放射線システム、
所望のパターンに従ってこの投影ビームをパターン化するのに役立つパターニング手段を支持するための支持構造体、
基板を保持するための基板テーブル、
このパターン化したビームをこの基板の目標部分上に投影するための投影システム、および
少なくとも部分的にフォトレジスト層で覆われたEUVリソグラフィ用基板、を含み、このフォトレジスト層上にEUV透過性トップコートを有することに特徴があり、このEUV透過性トップコートが以下の原子である、ベリリウム、硼素、炭素、珪素、ジルコニウム、ニオブおよびモリブデンの一つ以上を包含するグループを含むポリマーを含む投影装置も対象とする。
マスク。マスクの概念は、リソグラフィでよく知られ、それには、二値、交互位相シフト、および減衰位相シフトのようなマスク型、並びに種々のハイブリッドマスク型がある。そのようなマスクを放射線ビーム中に置くと、このマスク上のパターンに従って、このマスクに入射する放射線の選択透過(透過性マスクの場合)または選択反射(反射性マスクの場合)を生ずる。マスクの場合、この支持構造体は、一般的にマスクテーブルであり、それがこのマスクを入射放射線ビームの中の所望の位置に保持できること、および、もし望むなら、それをこのビームに対して動かせることを保証する。
プログラム可能LCDアレイ。そのような構成の例は、米国特許第5,229,872号明細書で与えられ、それを参考までにここに援用する。上記同様、この場合の支持構造体は、例えば、必要に応じて固定または可動でもよい、フレームまたはテーブルとして具体化してもよい。
放射線(例えば、13.5nmの放射線)の投影ビームPBを供給するための、放射線システム(放射線源LA、例えば、キセノン源、ビーム拡大器Ex、および照明システムILを含む)、
マスクMA(例えば、レチクル)を保持するためのマスクホルダを備え、且つこのマスクを部材PLに関して正確に位置決めするために第1位置決め手段PMに結合された第1物体テーブル(マスクテーブル)MT、
基板W(例えば、レジストを塗被したシリコンウエハ)を保持するための基板ホルダを備え、且つこの基板を部材PLに関して正確に位置決めするために第2位置決め手段PWに結合された第2物体テーブル(基板テーブル)WT、および
マスクMAの被照射部分を基板Wの目標部分C(例えば、一つ以上のダイを含む)上に結像するための投影システム(“レンズ”)PL(例えば、屈折性、反射屈折性または反射性光学素子)、を含む。
ここに図示するように、この装置は、反射型である(即ち、反射性のマスクを有する)。しかし、一般的に、それは、例えば、(透過性マスクを備える)透過型でもよい。その代りに、この装置は、上に言及した種類のプログラム可能ミラーアレイのような、他の種類のパターニング手段を使ってもよい。
1.ステップモードでは、マスクテーブルMTを本質的に固定して保持し、全マスク像を目標部分C上に一度に(即ち、単一“フラッシュ”で)投影する。次に基板テーブルWTをxおよび/またはy方向に移動して異なる目標部分CをビームPBで照射できるようにする。そして、
2.走査モードでは、与えられた目標部分Cを単一“フラッシュ”では露出しないことを除いて、本質的に同じシナリオを適用する。その代りに、マスクテーブルMTが与えられた方向(所謂“走査方向”、例えば、y方向)に速度vで動き得て、それで投影ビームPBがマスク像の上を走査させられ、同時に、基板テーブルWTがそれと共に同じまたは反対方向に速度V=Mvで動かされ、このMはレンズPLの倍率(典型的には、M=1/4または1/5)である。この様にして、比較的大きい目標部分Cを、解像度について妥協する必要なく、露出することができる。
洗浄および乾燥によって基板の表面を整える工程、
この基板の表面上にフォトレジスト層をスピンコーティングすることによって、この基板の表面上にフォトレジスト層を塗被する工程、
ソフトベーク中にこの基板を加熱し、この加熱によってフォトレジスト溶剤の部分的蒸発が起る工程、
‘チリング’中にこの基板を冷却する工程、
このフォトレジスト層の表面上にEUV透過性トップコートをスピンコーティングする工程。
これらのプロセスの適用後、この処理に後加熱および冷却が続く。
このトップコートは、EUV放射線を実質的に透過するが、ある実施例では、UVまたはDUV放射線を実質的に透過しない。
実施例1のリソグラフィ装置は、以下に説明する他の実施例にも使ってよい。
Ex ビーム拡大器
IL 照明システム
LA 線源
MA パターニング手段
MT 支持構造体
PB 投影ビーム
PL 投影システム
PRL フォトレジスト層
TC トップコート
W 基板
WT 基板テーブル
Claims (19)
- 基板上にフォトレジスト層を被覆する工程を含む、EUVリソグラフィ用基板被覆方法であって、前記フォトレジスト層上にEUV放射線の透過率が50%より高いEUV透過性トップコートを設ける工程を有することを特徴とし、前記EUV透過性トップコートが硼素を具備するグループを包含するポリマーを含むものであるEUVリソグラフィ用基板被覆方法。
- EUVリソグラフィ用基板被覆方法であって、
基板上にフォトレジスト層を被覆することによって、少なくとも部分的に前記フォトレジスト層で覆われた基板を用意する工程、
放射線システムを使って放射線の投影ビームを用意する工程、
前記投影ビームの断面にパターンを付けるためにパターニング手段を使う工程、および
前記放射線のパターン化したビームを前記フォトレジスト層の目標部分上に投影する工程、を含み、
前記フォトレジスト層上にEUV放射線の透過率が50%より高いEUV透過性トップコートを設ける工程を有することを特徴とし、前記EUV透過性トップコートが硼素を具備するグループを包含するポリマーを含むものであるEUVリソグラフィ用基板被覆方法。 - 前記トップコートが、ポリシラン、ポリシリレン、ポリシロキサン、シリル酸塩ポリヒドロキシスチレン、シランを含有するポリマー、シルセスキオキサンポリマー、アクリルシランポリマー、メタクリルシランポリマー、およびシリル酸塩ポリマーの一つ以上を含む請求項1又は請求項2に記載されたEUVリソグラフィ用基板被覆方法。
- 前記トップコートがDUVおよびUV放射線に対して50%未満の透過率を有する請求項1乃至請求項3の何れか1項に記載されたEUVリソグラフィ用基板被覆方法。
- 前記トップコートの最終厚さが20〜100nmである請求項1乃至請求項4の何れか1項に記載されたEUVリソグラフィ用基板被覆方法。
- 前記トップコートの最終厚さが30〜80nmである請求項5に記載されたEUVリソグラフィ用基板被覆方法。
- 前記フォトレジスト層上のEUV透過性トップコートをスピンコーティングまたは化学蒸着によって設ける請求項1乃至請求項6のうち何れか1項に記載されたEUVリソグラフィ用基板被覆方法。
- フォトレジスト層上のトップコートとして使うためのコートに於いて、該コートが硼素を具備するグループを包含するポリマーを含み、そして、前記コートが、a)このフォトレジスト層からの汚染物質のガス放出の減少およびb)前記フォトレジストの汚染防止の少なくとも一つを可能にするコート。
- 前記汚染物質が、水、炭化水素並びに、F、Si、P、SおよびClから成るグループから選択した少なくとも一つの原子を含む化合物から成るグループから選択した化合物である請求項8に記載されたコート。
- 前記コートが以下のポリマーである、ポリシラン、ポリシリレン、ポリシロキサン、シリル酸塩ポリヒドロキシスチレン、シランを含有するポリマー、シルセスキオキサンポリマー、アクリルシランポリマー、メタクリルシランポリマーおよびシリル酸塩ポリマーの一つ以上を含む請求項8又は請求項9に記載されたコート。
- 前記コートは、EUV放射線の透過率が50%より高いEUV透過性である請求項8乃至請求項10のうち何れか1項に記載されたコート。
- DUVおよびUV放射線に対する透過率が50%未満である請求項8乃至請求項11のうち何れか1項に記載されたコート。
- フォトレジスト層を備える基板であって、前記フォトレジスト層上にEUV放射線の透過率が50%より高いEUV透過性トップコートを有することを特徴とし、該EUV透過性トップコートが硼素を具備するグループを包含するポリマーを含む基板。
- 前記フォトレジスト層がEUVフォトレジストを含む請求項13に記載された基板。
- リソグラフィ投影装置とEUVリソグラフィ用基板とを備えるリソグラフィ投影システムであって、
前記リソグラフィ投影装置は、
放射線の投影ビームを供給するための放射線システムと、
所望のパターンに従って前記投影ビームをパターン化するパターニング手段を支持するための支持構造体と、
前記EUVリソグラフィ用基板を保持するための基板テーブルと、
前記パターン化した投影ビームを前記EUVリソグラフィ用基板の目標部分上に投影するための投影システムとを備え、
前記EUVリソグラフィ用基板は、少なくとも部分的にフォトレジスト層で覆われ、前記フォトレジスト層上にEUV放射線の透過率が50%より高いEUV透過性トップコートを有しており、前記EUV透過性トップコートは、硼素を具備するグループを包含するポリマーを含む、リソグラフィ投影システム。 - フォトレジスト層上のEUV放射線の透過率が50%より高いEUV透過性トップコートを電荷放散または導電層として使う使用法であって、前記EUV透過性トップコートが硼素を具備するグループを包含するポリマーを含む使用方法。
- フォトレジスト層上のEUV放射線の透過率が50%より高いEUV透過性トップコートの使用法に於いて、該EUV透過性トップコートが硼素を具備するグループを包含するポリマーを含む使用方法。
- フォトレジスト層上の前記EUV透過性トップコートを汚染物質障壁として使う請求項17に記載の使用法。
- 前記汚染物質が、水、炭化水素並びに、F、Si、P、SおよびClから成るグループから選択した少なくとも一つの原子を含む化合物から成るグループから選択した化合物である請求項18に記載された使用法。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
EP03076531 | 2003-05-21 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2004348133A JP2004348133A (ja) | 2004-12-09 |
JP4036849B2 true JP4036849B2 (ja) | 2008-01-23 |
Family
ID=33522345
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2004150182A Expired - Fee Related JP4036849B2 (ja) | 2003-05-21 | 2004-05-20 | Euvリソグラフィ用基板塗被方法およびフォトレジスト層を有する基板 |
Country Status (6)
Country | Link |
---|---|
US (1) | US20050008864A1 (ja) |
JP (1) | JP4036849B2 (ja) |
KR (1) | KR100713190B1 (ja) |
CN (1) | CN1573541A (ja) |
SG (1) | SG115693A1 (ja) |
TW (1) | TWI265381B (ja) |
Families Citing this family (51)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
SG129259A1 (en) * | 2002-10-03 | 2007-02-26 | Asml Netherlands Bv | Radiation source lithographic apparatus, and device manufacturing method |
JP4718893B2 (ja) * | 2005-05-13 | 2011-07-06 | 株式会社東芝 | パターン形成方法 |
US20070166640A1 (en) * | 2006-01-19 | 2007-07-19 | Yayi Wei | Defect reduction in immersion lithography |
US20070231751A1 (en) * | 2006-03-31 | 2007-10-04 | Bristol Robert L | Photoresist top coat out-of-band illumination filter for photolithography |
JP4716027B2 (ja) * | 2006-08-11 | 2011-07-06 | 信越化学工業株式会社 | レジスト保護膜材料及びパターン形成方法 |
US9110390B2 (en) * | 2007-06-12 | 2015-08-18 | Koninklijke Philps N.V. | Optical device and method of in situ treating an EUV optical component to enhance a reduced reflectivity |
JP2009111186A (ja) * | 2007-10-30 | 2009-05-21 | Toshiba Corp | 基板処理方法、基板搬送方法および基板搬送装置 |
JP2010182732A (ja) * | 2009-02-03 | 2010-08-19 | Toshiba Corp | 半導体装置の製造方法 |
JP5618557B2 (ja) * | 2010-01-29 | 2014-11-05 | 富士フイルム株式会社 | 感活性光線性または感放射線性樹脂組成物、及び該組成物を用いたパターン形成方法 |
KR101742815B1 (ko) * | 2010-07-23 | 2017-06-01 | 삼성전자 주식회사 | Duv 필터링용 코팅 조성물, 이를 이용한 포토레지스트 패턴 형성 방법 및 반도체 소자의 제조 방법 |
JP6004179B2 (ja) | 2010-10-21 | 2016-10-05 | 日産化学工業株式会社 | Euvリソグラフィー用レジスト上層膜形成組成物 |
US9081280B2 (en) | 2011-02-24 | 2015-07-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photoresist having improved extreme-ultraviolet lithography imaging performance |
KR101793316B1 (ko) * | 2011-03-16 | 2017-11-02 | 케이엘에이-텐코 코포레이션 | 박막 스펙트럼 순도 필터 코팅을 갖는 영상 센서를 사용하는 euv 화학선 레티클 검사 시스템 |
EP2729844B1 (en) * | 2011-07-08 | 2021-07-28 | ASML Netherlands B.V. | Lithographic patterning process and resists to use therein |
US9093279B2 (en) | 2011-07-20 | 2015-07-28 | Nissan Chemical Industries, Ltd. | Thin film forming composition for lithography containing titanium and silicon |
KR20140089351A (ko) | 2011-10-06 | 2014-07-14 | 닛산 가가쿠 고교 가부시키 가이샤 | 리소그래피용 레지스트 상층막 형성 조성물 |
JP5856991B2 (ja) * | 2012-05-21 | 2016-02-10 | 富士フイルム株式会社 | 化学増幅型レジスト組成物、ネガ型化学増幅型レジスト組成物、それを用いたレジスト膜、レジスト塗布マスクブランクス、フォトマスクの製造方法及びパターン形成方法、並びに、電子デバイスの製造方法 |
JP2015172606A (ja) * | 2012-07-25 | 2015-10-01 | 日産化学工業株式会社 | リソグラフィー用レジスト上層膜形成組成物及びそれを用いた半導体装置の製造方法 |
US9494864B2 (en) | 2012-09-07 | 2016-11-15 | Nissan Chemical Industries, Ltd. | Resist overlayer film forming composition for lithography and method for manufacturing semiconductor device using the same |
JP5768789B2 (ja) * | 2012-09-21 | 2015-08-26 | 信越化学工業株式会社 | レジスト材料及びこれを用いたパターン形成方法 |
JP5768788B2 (ja) * | 2012-09-21 | 2015-08-26 | 信越化学工業株式会社 | レジスト保護膜材料及びパターン形成方法 |
US20140158914A1 (en) * | 2012-12-11 | 2014-06-12 | Sandia Corporation | Optical component with blocking surface and method thereof |
JP5563051B2 (ja) | 2012-12-13 | 2014-07-30 | Azエレクトロニックマテリアルズマニュファクチャリング株式会社 | 上層膜形成用組成物およびそれを用いたレジストパターン形成方法 |
US9746768B2 (en) | 2013-01-24 | 2017-08-29 | Nissan Chemical Industries, Ltd. | Resist overlayer film forming composition for lithography and method for producing semiconductor device using the same |
WO2014119396A1 (ja) * | 2013-01-31 | 2014-08-07 | 富士フイルム株式会社 | パターン形成方法、並びに、これらを用いた電子デバイスの製造方法、及び、電子デバイス |
US20140272684A1 (en) | 2013-03-12 | 2014-09-18 | Applied Materials, Inc. | Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor |
US9354508B2 (en) | 2013-03-12 | 2016-05-31 | Applied Materials, Inc. | Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor |
US9632411B2 (en) | 2013-03-14 | 2017-04-25 | Applied Materials, Inc. | Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor |
US9417515B2 (en) | 2013-03-14 | 2016-08-16 | Applied Materials, Inc. | Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor |
US9612521B2 (en) | 2013-03-12 | 2017-04-04 | Applied Materials, Inc. | Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor |
JP6157160B2 (ja) | 2013-03-15 | 2017-07-05 | アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ | 上層膜形成用組成物およびそれを用いたレジストパターン形成方法 |
EP3054351B1 (en) * | 2013-09-26 | 2019-01-30 | National Institute for Materials Science | Highly sensitive multilayer resist film and method for improving photosensitivity of resist film |
US9804493B2 (en) | 2013-11-22 | 2017-10-31 | Samsung Electronics Co., Ltd. | Composition for forming topcoat layer and resist pattern formation method employing the same |
US9618848B2 (en) * | 2014-02-24 | 2017-04-11 | Tokyo Electron Limited | Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes |
CN106030408B (zh) | 2014-02-26 | 2019-11-05 | 日产化学工业株式会社 | 抗蚀剂上层膜形成用组合物及使用该组合物的半导体装置的制造方法 |
CN106462073B (zh) | 2014-05-21 | 2019-11-29 | Az电子材料(卢森堡)有限公司 | 上层膜形成用组合物以及使用了其的抗蚀图案形成方法 |
KR102432661B1 (ko) | 2015-07-07 | 2022-08-17 | 삼성전자주식회사 | 극자외선용 포토레지스트 조성물 및 이를 이용하는 포토레지스트 패턴의 형성 방법 |
US10429745B2 (en) | 2016-02-19 | 2019-10-01 | Osaka University | Photo-sensitized chemically amplified resist (PS-CAR) simulation |
US10048594B2 (en) | 2016-02-19 | 2018-08-14 | Tokyo Electron Limited | Photo-sensitized chemically amplified resist (PS-CAR) model calibration |
KR102177192B1 (ko) | 2016-05-13 | 2020-11-10 | 도쿄엘렉트론가부시키가이샤 | 광 작용제의 사용에 의한 임계 치수 제어 |
KR102475021B1 (ko) | 2016-05-13 | 2022-12-06 | 도쿄엘렉트론가부시키가이샤 | 감광 화학물질 또는 감광 화학 증폭형 레지스트의 사용에 의한 임계 치수 제어 |
KR101850010B1 (ko) | 2016-12-07 | 2018-04-19 | 한국생산기술연구원 | 카보레인 복합체를 포함하는 고에칭 콘트라스트 물질을 이용한 나노패턴 제조방법 |
JP6875325B2 (ja) * | 2018-05-21 | 2021-05-19 | 信越化学工業株式会社 | パターン形成方法 |
JP6933605B2 (ja) | 2018-05-21 | 2021-09-08 | 信越化学工業株式会社 | パターン形成方法 |
US20200105522A1 (en) * | 2018-09-27 | 2020-04-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Photoresist composition and method of forming photoresist pattern |
US11092893B2 (en) | 2018-12-10 | 2021-08-17 | Kla Corporation | Inspection sensitivity improvements for optical and electron beam inspection |
WO2020207759A1 (en) * | 2019-04-12 | 2020-10-15 | Asml Netherlands B.V. | Method and apparatus for forming a patterned layer of material |
EP3722457A1 (en) * | 2019-04-12 | 2020-10-14 | ASML Netherlands B.V. | Method and apparatus for forming a patterned layer of material |
KR20220046598A (ko) | 2019-08-16 | 2022-04-14 | 도쿄엘렉트론가부시키가이샤 | 확률 중심 결함 교정을 위한 방법 및 공정 |
WO2023243585A1 (ja) * | 2022-06-14 | 2023-12-21 | セントラル硝子株式会社 | レジスト上層膜形成用樹脂組成物、パターン形成方法および電子デバイス製造方法 |
WO2023243586A1 (ja) * | 2022-06-14 | 2023-12-21 | セントラル硝子株式会社 | 電子デバイス製造方法および積層体 |
Family Cites Families (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5240812A (en) * | 1990-09-18 | 1993-08-31 | International Business Machines Corporation | Top coat for acid catalyzed resists |
JPH05240812A (ja) * | 1992-02-28 | 1993-09-21 | Uchu Kankyo Riyou Kenkyusho:Kk | 熱伝導率測定方法 |
KR970010687B1 (ko) * | 1993-11-05 | 1997-06-30 | 엘지반도체 주식회사 | 쌍방형 전하결합소자 |
US6007963A (en) * | 1995-09-21 | 1999-12-28 | Sandia Corporation | Method for extreme ultraviolet lithography |
KR100419029B1 (ko) * | 1999-08-31 | 2004-02-19 | 주식회사 하이닉스반도체 | 알칼리 처리 과정을 포함하는 포토레지스트 패턴 형성방법 |
KR100400331B1 (ko) * | 1999-12-02 | 2003-10-01 | 주식회사 하이닉스반도체 | 포토레지스트 오버코팅용 조성물 및 이를 이용한포토레지스트 패턴 형성방법 |
KR100586913B1 (ko) * | 2000-08-25 | 2006-06-07 | 에이에스엠엘 네델란즈 비.브이. | 리소그래피 장치, 디바이스 제조 방법 및 이것에 의해제조된 디바이스 |
TWI240151B (en) * | 2000-10-10 | 2005-09-21 | Asml Netherlands Bv | Lithographic apparatus, device manufacturing method, and device manufactured thereby |
US6686132B2 (en) * | 2001-04-20 | 2004-02-03 | The Regents Of The University Of California | Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake |
KR100390991B1 (ko) * | 2001-05-29 | 2003-07-12 | 주식회사 하이닉스반도체 | 반도체소자의 감광막패턴 형성방법 |
DE10134231B4 (de) * | 2001-07-13 | 2006-06-14 | Infineon Technologies Ag | EUV-Reflektionsmaske |
-
2004
- 2004-05-17 SG SG200402728A patent/SG115693A1/en unknown
- 2004-05-19 US US10/848,587 patent/US20050008864A1/en not_active Abandoned
- 2004-05-20 KR KR1020040036001A patent/KR100713190B1/ko not_active IP Right Cessation
- 2004-05-20 TW TW93114271A patent/TWI265381B/zh not_active IP Right Cessation
- 2004-05-20 JP JP2004150182A patent/JP4036849B2/ja not_active Expired - Fee Related
- 2004-05-20 CN CNA2004100714775A patent/CN1573541A/zh active Pending
Also Published As
Publication number | Publication date |
---|---|
SG115693A1 (en) | 2005-10-28 |
CN1573541A (zh) | 2005-02-02 |
TWI265381B (en) | 2006-11-01 |
KR20040101025A (ko) | 2004-12-02 |
TW200510955A (en) | 2005-03-16 |
US20050008864A1 (en) | 2005-01-13 |
KR100713190B1 (ko) | 2007-05-02 |
JP2004348133A (ja) | 2004-12-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4036849B2 (ja) | Euvリソグラフィ用基板塗被方法およびフォトレジスト層を有する基板 | |
JP4137990B2 (ja) | 過フルオロポリエーテル液ペリクル | |
US20070258073A1 (en) | Enhanced lithographic resolution through double exposure | |
JP2008311684A (ja) | リソグラフィ装置及びデバイス製造方法 | |
EP1480078A1 (en) | Method for coating a substrate for EUV lithography and substrate with photoresist layer | |
JP4299262B2 (ja) | リソグラフィ装置、デバイス製造方法 | |
JP2004040107A (ja) | 自己集合単分子層を伴う光学エレメントを備えたeuvリソグラフィ投影装置、自己集合単分子層を伴う光学エレメント、自己集合単分子層を適用する方法、デバイス製造法およびそれによって製造したデバイス | |
JP2005020009A (ja) | リソグラフィ投影装置およびデバイス製造方法 | |
US7081945B2 (en) | Device manufacturing method, device manufactured thereby and lithographic apparatus therefor | |
US20040130693A1 (en) | Lithographic apparatus, optical element and device manufacturing method | |
JP2004006690A (ja) | リソグラフ装置およびデバイス製造方法 | |
US7420653B2 (en) | Lithographic projection apparatus, mirror, method of supplying a protective cap layer, device manufacturing method and device manufactured accordingly | |
JP2004134743A (ja) | リソグラフ装置およびデバイス製造方法 | |
JP2004146822A (ja) | リソグラフ装置およびデバイス製造方法 | |
TWI232356B (en) | Lithographic projection apparatus, device manufacturing method and device manufactured thereby | |
JP3837405B2 (ja) | リソグラフィ装置およびデバイス製造方法 | |
US7713665B2 (en) | Lithographic apparatus and patterning device | |
US20040120458A1 (en) | Method of fabricating an optical element, lithographic apparatus and device manufacturing method | |
US7088421B2 (en) | Lithographic apparatus, device manufacturing method, and device manufactured thereby | |
US20050002003A1 (en) | Lithographic apparatus and device manufacturing method | |
EP1394815B1 (en) | Lithographic apparatus comprising a Mo/Si-multilayer with capping layer | |
EP1426821B1 (en) | Method of fabricating an optical element, lithographic apparatus and device manufacturing method | |
EP1248148A1 (en) | Lithographic patterning means with protective layer | |
EP1369744A1 (en) | Lithographic apparatus and device manufacturing method |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20060904 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20070125 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20070129 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20070425 |
|
RD05 | Notification of revocation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7425 Effective date: 20070530 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20070604 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20070810 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20071019 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20071030 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20101109 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20111109 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20111109 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20121109 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20121109 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20131109 Year of fee payment: 6 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |